CN104517892A - 使用复合peald和pecvd方法的可变深宽比特征的间隙填充 - Google Patents

使用复合peald和pecvd方法的可变深宽比特征的间隙填充 Download PDF

Info

Publication number
CN104517892A
CN104517892A CN201410521390.7A CN201410521390A CN104517892A CN 104517892 A CN104517892 A CN 104517892A CN 201410521390 A CN201410521390 A CN 201410521390A CN 104517892 A CN104517892 A CN 104517892A
Authority
CN
China
Prior art keywords
reactant
gap
reative cell
plasma
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410521390.7A
Other languages
English (en)
Other versions
CN104517892B (zh
Inventor
康胡
尚卡尔·斯娃米纳森
钱俊
金万基
丹尼斯·豪斯曼
巴特·J·范施拉芬迪克
阿德里安·拉瓦伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/137,860 external-priority patent/US9257274B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201710839679.7A priority Critical patent/CN107665811B/zh
Publication of CN104517892A publication Critical patent/CN104517892A/zh
Application granted granted Critical
Publication of CN104517892B publication Critical patent/CN104517892B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Abstract

本发明涉及使用复合PEALD和PECVD方法的可变深宽比特征的间隙填充,具体提供了用于填充半导体衬底上的一个或多个间隙的方法及设备。公开的实施方式尤其适用于在窄特征和宽特征中进行无接缝无孔洞的填充。所述方法可以在没有任何中间蚀刻操作的情况下进行以获得单步沉积。在多种实施方式中,使用新型PEALD填充机理进行第一操作以填充窄间隙并且在宽间隙中形成衬里。可以使用PECVD方法进行第二操作以继续填充宽间隙。

Description

使用复合PEALD和PECVD方法的可变深宽比特征的间隙填充
技术领域
本发明涉及半导体处理领域,具体涉及使用复合PEALD和PECVD方法的可变深宽比特征的间隙填充。
背景技术
集成电路的制造包括许多各式各样的工序。频繁采用的一项操作是在衬底上或衬底中图案化的特征之间的间隙中沉积介电膜。沉积这种材料的一个目的是在间隙中形成无孔洞、无接缝的填充物。由于器件尺寸在例如DRAM、闪存和逻辑的环境下变得越来越小,因此越来越难以获得这种类型的理想填充。
尽管例如高密度等离子体(HDP)、亚常压化学气相沉积(SACVD)和低压化学气相沉积(LPCVD)的沉积方法已经用于间隙填充,但是这些方法无法实现理想的填充性能。可流动的化学气相沉积和旋涂电介质(SOD)方法可以实现所需的填充,但是易于沉积高孔隙度的膜。另外,这些方法特别复杂并且整合的成本太高,因为它们需要许多额外的工序。原子层沉积(ALD)工艺也用于间隙填充,但是这些工艺需要很长的加工时间并且产量低,特别是对于大间隙。在一些情况下,使用多步骤沉积工艺,包括在后续沉积操作之间要求明显蚀刻操作的沉积-蚀刻-沉积工艺。可以进行蚀刻来弥补或防止间隙中形成孔洞。尽管这种方法是有用的,但是可能优选的是,使用仅涉及沉积而不要求蚀刻操作的过程。
另外的挑战是在衬底上同时填充不同尺寸的间隙。例如,为小深宽比的宽间隙优化的沉积方法可能不适合用于填充大深宽比的窄间隙,并且反之亦然。因此,需要一种在间隙中实现无孔洞、无接缝填充的电介质材料的方法,特别是可用于同时填充各种尺寸的间隙的方法。
发明内容
本文中的某些实施方式涉及用于填充半导体衬底上的间隙的方法及设备。在某些情况下,通过等离子体增强原子层沉积(PEALD)操作来填充间隙。在其他情况下,通过包括PEALD和等离子体增强化学气相沉积(PECVD)操作两者的混合方法来填充间隙。在本文中的实施方式的一方面,提供了一种用于填充间隙的方法,该方法包括:(a)引导气相的第一反应物进入里面有衬底的反应室中,并且使所述第一反应物能吸附在所述衬底表面上;(b)引导气相的第二反应物进入所述反应室中,并且使所述第二反应物能吸附在所述衬底表面上;(c)使所述衬底表面暴露于等离子体以驱动所述衬底表面上所述第一反应物与所述第二反应物之间的表面反应,从而形成构成所述间隙的底部和侧壁的衬里的膜层;(d)在不进行抽空(pumpdown)的情况下清扫(sweep)所述反应室;并且(e)重复操作(a)至(d)以形成额外的膜层,其中当所述间隙的相对侧壁上相对的膜层彼此靠近时,所述相对的膜成上存在的表面基团彼此交联,从而填充所述间隙。所述方法可用于在不形成孔洞或接缝的情况下填充所述间隙。
在一些实施方式中,所述第一反应物是含硅反应物,并且所述第二反应物是氧化反应物。例如,所述第一反应物可以包括双叔丁基氨基硅烷(BTBAS)。在其他实例中,所述第二反应物可以包括氧气和/或一氧化二氮。在各种情况下,间隙是凹角的。另外,在许多实施方式中,通过可以至少部分表征为自底向上的填充机理的机理来填充间隙。这种自底向上的填充机理可以实现无接缝无孔洞的填充,甚至在间隙是凹角的情况下也如此。
在公开的实施方式的另一方面,提供了一种填充衬底表面上的间隙的方法,包括:(a)引导气相的第一反应物进入里面有所述衬底的反应室中,并且使所述第一反应物能吸附在所述衬底表面上;(b)引导气相的第二反应物进入所述反应室中,并且使所述第二反应物能吸附在所述衬底表面上;(c)使所述衬底表面暴露于等离子体以驱动所述衬底表面上所述第一反应物与所述第二反应物之间的表面反应,从而形成构成所述间隙的底部和侧壁的衬里的膜层,其中所述膜在所述间隙的场区域和上侧壁附近比在所述间隙的底部和下侧壁附近致密和/或薄。所述方法可以包括在进行(c)之后在不进行抽空的情况下清扫所述反应室的操作(d)。在一些实施方式中,所述方法包括重复操作(a)至(c)(或(a)至(d))以形成额外的膜层,从而填充所述间隙。在某些实施方式中,在不形成孔洞或接缝的情况下可以通过自底向上的填充机理填充所述间隙。
在公开的实施方式的另一方面,提供了一种在衬底表面上填充间隙的方法,所述方法包括:(a)引导气相的第一反应物进入里面有所述衬底的反应室中,并且使所述第一反应物能吸附在所述衬底表面上;(b)引导气相的第二反应物进入所述反应室中,并且使所述第二反应物能吸附在所述衬底表面上;(c)使所述衬底表面暴露于等离子体以驱动所述衬底表面上所述第一反应物与所述第二反应物之间的表面反应,从而形成在所述间隙的底部和侧壁上形成衬里的膜层;(d)在不进行抽空的情况下清扫所述反应室;并且(e)重复操作(a)至(d)以形成额外的膜层,其中在所述间隙的底部和下侧壁附近的膜中比在所述间隙的场区域和上侧壁附近的膜中优先掩埋一种或多种反应物的配体。所述方法可以包括在进行(c)之后在不进行抽空的情况下清扫所述反应室的操作(d)。在某些实施方式中,在不形成孔洞或接缝的情况下可以通过自底向上的填充机理填充所述间隙。
在公开的实施方式的其他方面,提供了一种在衬底表面上填充间隙的方法,包括:(a)引导气相的第一反应物进入里面有所述衬底的反应室中,并且使所述第一反应物能吸附在所述衬底表面上;(b)引导气相的第二反应物进入所述反应室中,并且使所述第二反应物能吸附在所述衬底表面上;(c)使所述衬底表面暴露于等离子体以驱动所述衬底表面上所述第一反应物与所述第二反应物之间的表面反应,从而形成构成所述间隙的衬里的膜;(d)清扫或净化所述反应室;(e)引导气相的第三反应物和气相的第四反应物同时进入所述反应室中;并且(f)从至少所述气相反应物产生等离子体以驱动第三反应物和第四反应物之间的气相反应,其中所述气相反应物产生间隙填充材料,并且其中所述间隙填充材料部分或完全填充所述衬底表面上的所述间隙。
所述第一反应物和所述第二反应物可以与所述第三和第四反应物中的至少一种相同。例如,所述第一反应物和所述第二反应物均可以与所述第三和第四反应物相同。在其他情况下,所述第一和第二反应物与所述第三或第四反应物之间可以不存在重叠。在许多情况下,(c)中形成的膜的材料与(f)中形成的间隙填充材料相同。例如,(c)中形成的膜和(f)中形成的间隙填充材料可以是氧化硅。在这些情况下,所述第一反应物可以是含硅反应物,并且所述第二反应物可以是氧化反应物。例如,所述第一反应物可以包括BTBAS。在其他实例中,所述第二反应物可以包括氧气和/或一氧化二氮。在这些和其他情况中,第三反应物的实例可以是TEOS或硅烷,第四反应物的实例是氧化反应物。
在一些实施方式中,在操作(e)至(f)之前重复操作(a)至(c),并且在每次重复操作(c)之后不进行抽空。在这些和其他情况中,所述方法可以在没有任何中间蚀刻操作的情况下进行。公开的实施方式的一个优点是所述方法可以在单个反应室中执行。在许多情况下,在操作(a)至(f)的任意一个期间或任意两者之间没有从所述反应室去除所述衬底。在一些实施方式中,操作(a)至(c)包括形成保形膜,所述保形膜在所述间隙的底部比在所述间隙的上侧壁厚。这可以通过各种方式实现。在一些实施方式中,操作(c)可以包括使所述间隙顶部附近的膜比所述间隙底部附近的膜优先致密化。在这些或其他实施方式中,操作(c)可以包括在所述间隙的底部附近的膜比在所述间隙的上侧壁附近的膜中优先掩埋一种或多种反应物的配体。操作(c)还可以包括促进形成在所述间隙的第一侧壁上的膜与形成在所述间隙的相对侧壁上的膜之间的交联。
在公开的实施方式的又另一个方面中,提供了一种在衬底表面上填充间隙的方法,所述方法包括:(a)引导气相的第一反应物进入里面有所述衬底的反应室中,并且使所述第一反应物能吸附在所述衬底表面上,其中所述衬底至少具有临界尺寸小于约50nm的窄间隙和临界尺寸大于或等于约50nm的宽间隙;(b)引导气相的第二反应物进入所述反应室中,并且使所述第二反应物能吸附在所述衬底表面上;(c)使所述衬底表面暴露于等离子体以驱动所述衬底表面上所述第一反应物与所述第二反应物之间的表面反应,从而形成膜,其中所述膜完全填充所述窄间隙并且形成所述宽间隙的衬里;(d)清扫或净化所述反应室;(e)引导气相的第三反应物并且引导气相的第四反应物同时进入所述反应室中;并且(f)从所述气相反应物产生等离子体以驱动所述第三和第四反应物之间的气相反应,其中所述气相反应物产生间隙填充材料,并且其中所述间隙填充材料部分或完全填充所述衬底表面上的所述间隙。
在一些情况下,所述窄间隙的深宽比大于约4:1,并且所述宽间隙的深宽比小于或等于约4:1。在一些实施方式中,所述窄间隙可以是凹角的。甚至在所述窄间隙是凹角的情况下,也可以在不形成接缝或孔洞的情况下填充间隙。在一些实施方式中,在操作(e)至(f)之前重复操作(a)至(c),并且在每次重复操作(c)之后不进行抽空。在这些或其他情况下,(c)中形成的膜的材料可以与(f)中形成的间隙填充材料相同。在许多实施方式中,所述方法在没有任何中间蚀刻操作的情况下进行。公开的实施方式使得在不形成接缝或孔洞的情况下能填充窄间隙和宽间隙。
在所公开的实施方式的其他方面,公开了用于填充在半导体衬底上的间隙的设备。所述设备可以包括:反应室,衬底支架,等离子体产生源,一个或多个工艺气体入口,一个或多个出口,和控制器。所述控制器可以被配置成执行本文中公开的任意一种方法。
公开的实施方式的另一方面是一种使用电介质材料填充半导体衬底上的一个或多个间隙的方法,所述方法包括:(a)在所述衬底上的一个或多个间隙中通过等离子体增强原子层沉积表面反应沉积含硅膜以使用所述含硅膜部分填充所述一个或多个间隙;并且(b)通过等离子体增强化学气相沉积气相反应在(a)中沉积的膜上沉积额外的含硅膜以使用所述含硅膜完成所述一个或多个间隙的填充。
以下将参照附图描述这些和其他特征。
附图说明
图1是通过等离子体增强原子层沉积(PEALD)过程沉积膜的方法的流程图。
图2是具有可以根据公开的实施方式进行填充的不同深宽比的间隙的衬底。
图3示出了在执行PEALD沉积工艺之后图2的衬底。
图4示出了图2和图3的窄间隙的在执行PEALD工艺以填充间隙之后的近距离视图。
图5是通过等离子体增强化学气相沉积(PECVD)过程沉积膜的方法的流程图。
图6示出了可用于实施公开的方法的设备的方框图。
图7示出了可用于实施公开的方法的多工位设备。
图8示出了根据公开的PEALD方法填充的部分填充的高深宽比间隙。
图9至图11示出了根据公开的PEALD方法填充的高深宽比间隙的额外视图。
图12示出了根据公开的PECVD方法用沉积的二氧化硅填充的宽间隙。
具体实施方式
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域普通技术人员会理解“部分制造的集成电路”可以指的是在硅晶片上进行的许多集成电路制造的任意一个期间的硅晶片。半导体器件行业中使用的晶片或衬底可以具有200mm或300mm或450mm的直径。以下详细描述假设本发明在晶片上实施。然而,本发明不限于此。工件可以具有多种形状、大小和材料。除半导体晶片之外,能够利用本发明的其他工件包括各种制品,例如,印刷电路板、玻璃面板等。
在以下描述中,阐述了许多具体细节以便提供对提出的实施方式的透彻理解。公开的实施方式可以在没有一些或所有的这些具体细节的情况下实施。在其他实例中,未详细描述公知的方法操作以便不会不必要地模糊公开的实施方式。尽管将会结合具体实施方式描述所公开的实施方式,但是应当理解,这些实施方式并不旨在限制所公开的实施方式。
常规的间隙填充技术未能在高深宽比的间隙中获得无孔无缝的高密度膜。HDP、SACVD和LPCVD仅具有有限的填充性能,并且通常导致形成孔洞和接缝。这些孔洞和接缝在化学机械抛光(CMP)操作之后或者在进行回蚀刻之后会显露出来。这些显露的接缝和孔洞就会截留随后沉积的材料,例如多晶硅和钨。这些材料在后续的CMP或回蚀刻操作中通常未完全移除,并且可能留在器件中造成短路和/或产率损失。可流动CVD(例如,可流动氧化物)和SOD技术具有复杂的集成方案,会导致与涉及的各种额外步骤有关联的高成本。
本文中的某些实施方式涉及在半导体衬底上填充不同尺寸的间隙的混合方法。该方法的第一部分涉及ALD操作,例如,等离子体增强ALD(PEALD)操作。ALD操作可以以新的方式执行以促进在窄间隙中自底向上式填充。这种自底向上填充机理有助于实现无孔洞、无接缝的填充,特别是在窄间隙(例如,临界尺寸(CD)约50nm或更小的间隙)和/或高深宽比(例如,深宽比约4:1或更大)的间隙中。ALD操作同样用于在衬底上存在的具有低深宽比(例如,深宽比约4:1或更小)的宽间隙(例如,临界尺寸大于约50nm的间隙)上形成层,但不是完全填充该宽间隙。
该方法的第二部分涉及用于填充宽间隙的剩余部分的等离子体增强化学气相沉积(PECVD)方法。在某些实施方式中,这种方法可以使用直接(原位)电容耦合等离子体来执行。在许多实施方式中,采用射频(RF)等离子体源,但可以采用能产生直接等离子体的任何类型的等离子体源,包括,微波和直流源。另外,在一些实施方式中,可以采用远程产生的等离子体。根据多种实施方式,远程等离子体可以是电容耦合或电感耦合等离子体。
在本文中描述的PECVD方法中使用的等离子体可以具有比由原位电感耦合等离子体发生器(例如,HDP工艺中使用的等离子体发生器)产生的高密度等离子体的密度的低的等离子体密度。例如,在HDP工艺中,等离子体密度可以在约1011至1013个离子/cm3的量级,而对于某些实施方式,PECVD工艺中的等离子体密度约108至1010个离子/cm3。如上所述,HDP方法通常不会产生所需的填充结果,并且通常要求在后续沉积步骤之间使用蚀刻操作。在HDP方法中,带电的电介质前体物质指向下以填充间隙。这导致一些材料溅射,这就会重新沉积在间隙的侧壁上,尤其是在间隙顶部附近,以及在场区域中。另外,室中存在的未带电同样可以沉积在上侧壁区域中。这种不需要的沉积可能产生以形成侧壁沉积物和顶帽,这妨碍均匀填充间隙。蚀刻步骤可以用于消灭HDP中产生的不期望的上侧壁沉积,但这增加了沉积方法的复杂度。如果不执行蚀刻步骤,在不形成孔洞的情况下通常会无法填充间隙。HDP方法也比PECVD方法实施起来成本高,且产率低。
根据多种实施方式,可以在同一室中实施PEALD和PECVD方法。这些类型的工艺两者具有相似的压力和流态,并且可以使用相同的射频功率源。另外,PECVD方法可以在单个步骤中实施,这意味着不需要居间的蚀刻操作(或者其他工艺,例如沉积工艺)。相比之下,在同一室中执行PEALD和HDP工艺不太实际。首先,这两种工艺在基本上不同的压力范围内进行。PEALD工艺通常在几托的范围内进行,并且得益于用于净化的高气体流量。HDP工艺在毫托范围内进行,这要求比PEALD使用较低的气体流量。接着,HDP工艺通常在大体积室内进行,而ALD工艺得益于基本上较小的体积。另外,HDP工艺通常要求与PEALD有不同的功率源,这会使反应器设计进一步复杂。
尽管HDP工艺表现出良好的间隙填充,但是HDP工艺存在涉及“禁隙”尺寸的工程问题。在使用混合ALD/HDP沉积方法中,在间隙的临界尺寸稍大于沉积的ALD层的厚度的两倍的情况下会存在禁隙。在这些情况中,HDP工艺无法填充剩余的间隙。本文所述的PECVD方法可以填充包括此前使用PEALD形成衬里的间隙。在使用PEALD形成衬里/填充的任何具有挑战性的结构之后,PECVD可以用于以不太保形的方式填充剩余的结构。
PECVD操作的优点在于获得高沉积速率以填充较大的间隙,这些间隙单独通过ALD工艺会耗费很长的时间来填充。然而,在一些实施方式中,这些方法仅包括执行PEALD的第一操作。
在多种实施方式中,在同一室中执行PEALD和PECVD操作。这种设置是有利的,因为不需要将衬底从PEALD反应室转移到PECVD反应室。因此,不需要担心水分到膜上或者进入膜中,并且没有相应的要求来执行除气操作或高温退火以在执行PECVD操作之前去除水分。单室方法的另一个有益效果是减少资本成本、周期时间和流程复杂性。
可以改变上述基本方法来获得不同的混合填充方案。在一个实例中,该方法的第一部分包括在用于填充高深宽比的间隙的最佳条件下执行的PEALD操作,并且该方法的第二部分包括较不严格的PEALD操作,例如具有减少的剂量和净化时间的操作。这些较不严格的PEALD操作也可以促进PECVD或部分PECVD沉积。又如,蚀刻步骤用于使间隙轮廓呈锥形。蚀刻步骤可以在该方法的第一部分与该方法的第二部分之间执行(例如,在PEALD操作与PECVD操作之间)或者在该方法的单个部分(例如,在两个PEALD操作之间或者在两个PECVD操作之间)中执行。当然,可以适当组合这些方法。最佳方案取决于深宽比的实际分布以及衬底上存在的间隙尺寸。
用于在衬底上填充间隙的PEALD和PECVD组合方法详见于美国专利申请No.13/084,399,该申请通过上述引用并入本文中。在某些情况下,如美国专利申请No.13/084,399所述,在PEALD操作与PECVD操作之间可以存在过渡阶段,在此期间PEALD表面反应和PECVD气相反应两者同时进行。
在这些实施方式中,完整的膜是部分由ALD/CFD并且部分由例如PECVD之类的CVD过程产生的。通常,首先执行沉积过程的ALD/CFD部分,其次执行PECVD部分,尽管没必要是这种情况。相比于单独使用CVD所观察到的台阶覆盖性,与CVD过程混合的ALD/CFD可以改善台阶覆盖性,并且另外相比于单独使用ALD/CFD观察到的沉积速率,与CVD过程混合的ALD/CFD可以提高比单独使用ALD/CFD观察到的沉积速率。在一些情况下,在一种ALD/CFD反应物流动时施加等离子体或其他激活以便产生寄生的CVD操作,并且从而获得更高的沉积速率和不同类膜等。
在某些实施方式中,可以采用两个或更多个ALD/CFD阶段,并且/或者可以采用两个或更多个CVD阶段。例如,通过ALD/CFD可以沉积膜的初始部分,接着通过CVD沉积膜的中间部分,并且通过ALD/CFD沉积膜的最终部分。在这种实施方式中,可能有利的是,在通过ALD/CFD沉积的膜的最终部分之前,例如通过等离子体处理或蚀刻来修改膜的CVD部分。
在ALD/CFD和CVD阶段之间可以采用过渡阶段。在这种过渡阶段采用的条件不同于在ALD/CFD亦或CVD阶段中采用的这些条件。通常,尽管不一定,这些条件允许同时进行ALD/CFD表面反应和CVD型气相反应。过渡阶段通常涉及暴露于等离子体,例如可以是脉冲等离子体。另外,过渡阶段可以涉及以低流速(即,显著低于在过程的对应的ALD/CFD阶段中采用的流率)输送一种或多种反应物。
方法
等离子体增强原子层沉积
公开的PEALD工艺可用于获得无孔洞无接缝填充的较窄/高深宽比特征。未料到的是,这些过程的某些实施方式似乎导致自底向上的填充机理,其中当填充间隙时,材料优选地沉积在间隙的底部附近,与间隙的顶部相反。尽管在侧壁以及场区域发生沉积,但是膜在间隙的底部处/附近沉积更厚,并且在许多情况下,在填充间隙之后,获得锥形轮廓。锥形轮廓被定义成意味着膜在间隙的底部附近沉积较厚并且在间隙的顶部附近沉积较薄,如以下试验部分所示。锥形轮廓特别适用于在高深宽比特征中实现没有孔洞或接缝的高质量填充。这种填充机理未被预料到,因为原子层沉积方法通常导致因侧壁朝着彼此靠近而形成接缝。通过促进自底向上填充,可以避免这种接缝并且得到更稳健的器件。
不希望受到任何理论或作用机理的限制,相信,自底向上填充机理可以是由间隙顶部附近优先的膜致密化引起的。由于膜暴露于等离子体,等离子体中存在的物质(尤其是离子)轰击膜表面,从而使膜紧凑且致密。在合适的条件下,在间隙顶部附近优先发生这种致密化。由于间隙的形状,离子更容易轰击场区以及间隙顶部附近的膜,与受到更多保护的间隙的底部附近相反。因此,顶部附近的膜比沟槽底部附近的材料更密且更厚,沟槽底部附近的材料保持较薄且不太密。
可以促进无接缝无孔洞的自底向上填充的另一个因素是在间隙的相反侧壁上存在的基团之间可能发生的交联。随着沉积进行并且侧壁朝着彼此靠近,末端基团可以彼此交联,从而避免任何接缝。在间隙填充二氧化硅膜的情况中,例如,一个侧壁上的表面羟基/硅烷醇可以与相对壁上的表面羟基/硅烷醇交联,从而释放水并形成硅氧化物基质。这些末端交联基团会优先形成在间隙的侧壁上。
可以促进无接缝无孔洞的自底向上填充的另一个因素是可以从膜以非均匀方式释放配体副产物,使得副产物优先被截留在间隙的底部或附近,与间隙的顶部相反。这种截留会导致特征内更高的沉积速率,尤其是在间隙的底部附近。例如,在双叔丁基氨基硅烷(BTBAS)用作前体的情况下,能被截留的一种配体副产物是叔-丁胺(TBA)。然而,应当理解,在配体滞留在生长的膜中的情况下,膜的性能会受到一定程度的影响。
图1是执行等离子体增强原子层沉积过程100的方法的流程图。过程100在操作101开始,在包含衬底的反应室中提供一定剂量的第一反应物。衬底中通常具有将要通过PEALD工艺部分或完全填充的间隙。在一个实施方式中,PEALD工艺100完全填充第一种类型的间隙,并且部分填充(例如,形成衬里)第二种类型的间隙,以下将作进一步描述。在各种情况下,第一反应物可以是含硅反应物。接着,在操作103,例如使用惰性气体或氮气载气来净化反应室。这有助于从反应室移除任何剩余的第一反应物。
在操作105,第二反应物提供给反应室。在某些情况下,第二反应物是氧化反应物。第二反应物也可以是反应物的混合物。在特定实施方式中,第二反应物是大致等体积流量的氧气和一氧化二氮。本文中使用的“大致相等的体积流”的意思是以SLM测得的第一物质的流量和第二物质的流量的偏差不大于约20%。在操作105中提供第二反应物,该操作可以包括在操作107中使反应物流动与等离子体激活一致之前使反应物预流动。当激活等离子体时,等离子体驱动衬底表面上第一反应物和第二反应物之间的反应。接着,等离子体熄灭,然后例如使用惰性气体或氮气载气净化反应室。操作109被称为后射频净化。
方法100通常重复多次以形成所需的膜厚度。通过使用本文公开的条件和方法,方法100可以导致具有锥形轮廓且自底向上填充特征的填充。这些因素促进无孔洞无接缝填充。有利的是,通过公开的方法沉积的膜相当致密。
在特定实例中,操作101包括提供BTBAS(或其他主要反应物),流速为约0.5-2.5mL/min,或约1.5-2.5L/min,例如,2mL/min,时间段约为0.1s(秒)至1s,或者约0.2s至0.5s,例如约0.3s。操作103包括使用惰性气体净化反应室,时间在约0.1s至1s之间,或者在约0.2s至0.5s之间,例如,约0.3s。操作105包括使O2和N2O共同流动,流速均在约2SLM至20SLM之间,或者均在约8SLM至12SLM之间,例如,均约为10SLM。与反应物输送一致,在操作107产生等离子体,使用的射频功率在约300W至10kW之间,或者在约4kW至6kW之间,例如约5kW。这些值代表输送的总的射频功率,这些功率在四个工位/基座之间分配。等离子体暴露的持续时间在约10ms与3s之间,或者在约0.25s至1s之间,例如,约0.5s。用于产生等离子体的射频频率可以是约13.56MHz或27MHz。接着,在操作109使用惰性气体净化反应室,持续时间在约10ms与5s之间,或者在约50ms至150ms之间,例如约90ms。应当理解,上述条件是实例,其他反应物、流速、脉冲时间和功率在适当情况下适用于特定的实施方式。
本文中描述的PEALD方法可以是保形膜沉积(CFD)方法。于2011年4月11日提交的名称为“等离子体激活保形膜沉积”的美国专利申请No.13/084,399中进一步讨论并描述了等离子体增强的保形膜沉积技术和设备,该专利申请通过引用的方式全部并入本申请中。
PEALD反应物
所公开的方法和设备不限于使用特定的前体。尽管已经证实这些方法适用于某些前体(如试验部分所示),但相信这些方法也同样适用于各种其他的前体以获得类似有益效果。
至少一种反应物通常会包括在室温下为固体的元素,该元素通过PEALD/PECVD方法并入形成的膜中。该反应物可以称为主要反应物。主要反应物通常包括例如金属(例如,铝、钛等)、半导体(例如,硅、锗等)和/或非金属或准金属(例如,硼)。其他反应物有时候称为辅助反应物或共反应物。共反应物的非限制性实例包括氧气、臭氧、氢、肼、水、一氧化碳、一氧化二氮、氨、烷基胺等。共反应物还可以是如上所述的反应物的混合物。
PEALD/PECVD工艺可以用于沉积范围广泛的膜类型,并且在特定的实施方式中使用这些膜类型来填充间隙。尽管本文中大部分讨论集中在形成未掺杂的二氧化硅,但是也可以形成其他膜类型,例如,氮化物、碳化物、氮氧化物、掺碳氧化物、掺氮氧化物、硼化物等。氧化物包括范围广泛的材料,包括未掺杂的硅玻璃(UGS)、掺杂的硅玻璃。掺杂的玻璃的实例包括掺硼硅玻璃(BSG)、掺磷硅玻璃(PSG)和掺杂硼磷的硅玻璃(BPSG)。再者,PEALD/PECVD工艺可以用于金属沉积和特征填充。
尽管公开的实施方式不限于特定的反应物,但是以下提供了反应物的示例性列表。
在某些实施方式中,沉积的膜是含硅膜。在这些情况中,含硅反应物可以是例如硅烷、卤代硅烷或氨基硅烷。硅烷包含氢基和/或碳基团,但是不包含卤素。硅烷的示例是甲硅烷(SiH4),乙硅烷(Si2H6)和有机硅烷,例如甲基硅烷,乙基硅烷,异丙基硅烷,叔丁基硅烷,二甲基硅烷,二乙基硅烷,二-叔-丁基硅烷,烯丙基硅烷,仲丁基硅烷,叔己基硅烷,异戊硅烷,叔丁基二硅烷,二-叔丁基乙硅烷,正硅酸乙酯(也称为四乙氧基硅烷或TEOS)等。卤素硅烷包含至少一个卤素基,并且可以包含或不包含氢和/或碳基团。卤素硅烷的示例是碘硅烷、溴硅烷、氯硅烷和氟硅烷。在本文描述的某些实施方式中,尽管卤素硅烷,特别是氟硅烷,可以形成能够蚀刻硅材料的反应性卤化物,但是当等离子体被激励时,不存在含硅反应物。具体的氯硅烷是四氯硅烷(SiCl4),三氯硅烷(HSiCl3),二氯硅烷(H2SiCl2),单氯硅烷(ClSiH3),氯烯丙基硅烷,氯甲基硅烷,二氯甲基硅烷,氯二甲基硅烷,氯乙基硅烷,叔丁基氯硅烷,二-叔丁基氯硅烷,氯异丙基硅烷,氯仲-丁基硅烷,叔-丁基二甲基氯硅烷,叔己基二甲基氯硅烷等。氨基硅烷包含至少一个结合在硅原子上的氮原子,但是还可以包含氢、氧、卤素和碳。氨基硅烷的示例是单、双、三和四氨基硅烷(分别为H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4),以及经取代的单、双、三和四氨基硅烷,例如,叔丁基氨基硅烷,甲基氨基硅烷,叔丁基硅烷胺,二(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS),甲硅烷基氨基甲酸叔丁酯,SiH(CH3)-(N(CH3)2)2,SiHCl-(N(CH3)2)2,(Si(CH3)2NH)3等。氨基硅烷的进一步示例是三甲硅烷基胺(N(SiH3))。
在其他情况下,沉积的膜包括金属。可以形成的含金属的膜的实例包括铝、钛、铪、钽、钨、锰、镁、锶等的氧化物和氮化物,以及单质金属膜。前体的实例包括金属烷基胺、金属醇盐、金属烷基酰胺、金属卤化物、金属β-二酮化物、金属羰基化合物、有机金属化合物等。合适的含金属前体将包括希望并入膜中的金属。例如,通过使五(二甲氨基)钽与氨或其他还原剂发生反应可以沉积含钽层。可以采用的含金属前体的其他实例包括三甲基铝、四乙氧基钛、四二甲基氨基钛、四(乙基甲基酰胺)铪(hafniumtetrakis(ethylmethylamide))、双(环戊二烯基)锰、双(正丙基环戊二烯基)镁等。
在某些具体实施中,使用含氧氧化反应物。含氧氧化反应物的实例包括氧气、臭氧、一氧化二氮、一氧化碳等。
在一些实施方式中,沉积的膜含有氮,并且使用含氮反应物。含氮反应物包含至少一个氮,例如,氨,肼,胺类(含碳的胺类),如甲胺,二甲胺,乙胺,异丙胺,叔丁胺,二-t-丁基胺,环丙胺,仲丁胺,环丁基胺,异戊胺,2-甲基丁-2-胺,三甲胺,二异丙基胺,二乙基异丙胺,二-叔丁基肼,以及例如苯胺、吡啶和苄胺之类的含芳族胺。胺可以是伯、仲、叔或季(例如,四烷基铵化合物)。含氮反应物可以包含除氮之外的杂原子,例如,羟胺,叔丁氧羰胺和N-叔丁基羟胺是含氮反应物。
可以使用例如本领域技术人员在本文中提供的教导所给定的领域中明白或容易辨识的其他前体。
间隙条件
所公开的PEALD工艺特别适用于填充具有较高深宽比(AR>约4:1)的较窄间隙(CD<约50nm)。但是,也可以在大间隙或具有较小AR的间隙上执行该过程。
在多种实施方式中,在具有至少两种不同类型的间隙的衬底上执行PEALD工艺。第一种类型可以包括CD小于约50nm并且/或者AR大于约4:1的间隙。第一种类型被称为窄间隙。第二种类型可以包括CD大于约50nm并且/或者AR小于约4:1的间隙。第二种类型被称为宽间隙。由于上述原因,难以同时填充窄间隙和宽间隙。表征不同类型的间隙的另一种方式是相对比较它们彼此的尺寸。在一些情况下,宽间隙比窄间隙宽至少约2倍,或至少约5倍,或至少约10倍。在这些或其他情况下,窄间隙的AR可以比宽间隙的AR大至少约2倍,或至少约5倍,或至少约10倍。
对于在具有窄间隙和宽间隙两者的衬底上执行PEALD工艺的许多实施方式中,PEALD工艺用于完全填充窄间隙,并且在宽间隙的表面形成衬里。图2表示具有两种不同类型的间隙202和204的衬底200。间隙的深宽比按照间隙的高度除以间隙的宽度计算。图2中标出了这些尺寸。间隙202是深宽比稍大于4:1的窄间隙。间隙204是深宽比约1:2的宽间隙。
图3示出了在执行PEALD沉积过程以沉积氧化物层210之后的同一衬底200。窄间隙202被完全填充,而宽间隙204形成有氧化物材料210的衬里。沉积在宽间隙204的底部上的膜210可以比沉积在间隙204的侧壁上的膜210稍厚。然而,由于窄间隙202被材料填充,所以这种厚度差更加明显。
图4示出了在PEALD沉积过程期间的某一时间衬底200的一部分。具体地讲,窄间隙202被图示为中间沉积。沉积的氧化物层210具有锥形轮廓,使得间隙顶部附近的膜较薄,且间隙底部附近的膜较厚。这样导致底部比顶部窄的缩小的间隙。这种形状对于促进无孔洞无接缝的填充是理想的。随着材料填充到间隙的底部,上述机理(例如,优先膜致密化,优先配体滞留和/或交联)会发挥作用以填充特征而不具有任何孔洞或接缝。在以下试验部分中包括证明这种填充机理的试验结果。
此前在PEALD型工艺中未观察到这种填充机理。相反,常规的PEALD工艺形成没有这种锥形轮廓的膜,而是更垂直的侧壁朝着彼此生长并且在中央汇合。在这些常规方法中,化学物质会滞留在间隙中央处形成极窄的孔洞/接缝中。这种滞留很可能发生,部分是因为间隙的整个高度基本上同时靠近。相反,对于所公开的方法,侧壁朝着间隙的底部彼此靠近的程度更大,与间隙的顶部相反。因此,由于侧壁朝着彼此生长,沉积的膜的底部向上生长,并且间隙中存在的化学物被推出。这样导致一种避免接缝和孔洞形成的过程,从而产生极高质量的填充的间隙。
在一些实施方式中,通过PEALD操作填充的间隙具有凹角轮廓。换句话讲,间隙在上部窄并且在下部宽。已经观察到,即使对于具有一定程度的凹角轮廓的间隙,使用公开的PEALD工艺也可以实现自底向上填充。以下在试验部分示出了这些结果。
室条件
PEALD工艺已被证明在温度变化方面具有相当的弹性。具体地讲,该过程已经被证明适用于200℃和400℃。在一些实施方式中,因此,该过程在约200℃至400℃之间的温度进行。然而,在其他情况下,温度可以在该范围之外。
在PEALD工艺期间,反应室内的压力可以在约1托至10托之间,或者在约3托至7托之间,例如,约6托。
等离子体生成条件
在PEALD操作中,衬底暴露于等离子体以驱动第一反应物与第二反应物之间的反应。各种类型的等离子体可以用于驱动这种反应,包括电容耦合等离子体和电感耦合等离子体。可以使用特种类型的等离子体发生器,包括RF、DC和微波等离子体发生器。此外,根据多种实施方式,等离子体可以是直接等离子体或远程等离子体。
用于产生等离子体的气体可以包括惰性气体,例如,氩气或氦气。气体也通常包括反应物中的一种,例如,在形成氧化物膜时,包括氧化反应物。
在许多情况下,射频信号用于驱动等离子体形成。在一些实施方式中,所施加的射频仅是高频射频,例如,在约13.56MHz或27MHz的频率。在其他实施方式中,射频同样具有低频成分。用于驱动等离子体形成的射频功率可以在约300W与约10kW之间。在一些情况下,输送的射频功率在约4kW至6kW之间,例如约5kW。这些值代表输送的总的功率,这些功率在四个工位/基座之间分配。
于2011年4月11日提交的名称为“等离子体激活保形膜沉积”的美国专利申请No.13/084,399中讨论了额外的等离子体生成条件,该专利申请通过引用的方式全部并入本申请中。
等离子体暴露的持续时间可以在不同的实施方式之间变化。在一些情况下,施加射频功率的时间在约10ms与3s之间,或者在约0.25s与约1s之间。在特定实例中,射频功率施加约0.5s。射频功率和射频时间确定输送到室的射频通量。已经发现的是,通过增加射频通量(通过增加射频时间亦或功率),可以减小膜的湿蚀刻率(WER)。因为已经证实PEALD工艺对于不同的射频条件具有相当弹性,所以这些变量可用于获得可调的WER。
净化条件
一般来讲,在PEALD反应的单个周期内进行两次清除/净化操作。在一定剂量的第一反应物输送到加工室之后进行第一次净化,并且可以称为反应物净化。进行这次净化用于清扫任何剩余的未吸收的第一反应物。在衬底暴露于等离子体之后进行第二次净化,并且可以称为后射频净化。进行这次净化用于清扫任何剩余的反应物,以及任何膜形成副产物。
有各种方法来净化反应室。一种方法涉及向室供应非反应物气体流(例如,氩气、氦气、氮气等)以清除任何不希望的物质。清扫之后,反应室内的压力保持基本恒定。净化反应室的另一种方法是执行抽空。在这种情况下,供应真空并且排空反应室。在排空期间,反应室内的压力显著减小,例如,减小到小于约1托。
已经发现,在后射频净化包括清扫的情况下,与抽空相比,间隙填充结果更好。不希望受到特定理论或作用机理的限制,相信,包括存在或不存在抽空的后射频条件可以影响沉积膜的表面上存在的表面功能。这种表面功能可以确定是否由于填充间隙而在相对的侧壁之间发生交联。促进所需的自底向上沉积模式的一种方式是清扫反应室,而不是执行抽空。因此,在某些实施方式中,在PEALD沉积期间,在等离子体暴露之后不进行抽空。但是,在一些情况下,可以在PEALD操作与PECVD操作之间执行抽空。
执行反应物净化的持续时间可以在约0.1s至1s之间,例如,在约0.2s至0.5s之间。在特定实例中,反应物净化的持续时间约0.3s。
后射频净化的持续时间可以在约0.01s至5s之间,例如,在约0.05s至0.15s之间。在一种情况下,后射频净化的持续时间约0.09s。
等离子体增强化学气相沉积
可以在PEALD工艺之后执行本文中公开的PECVD方法以完成仅部分填充/形成衬里的填充中的间隙。这种方法比单独的PEALD工艺有利,因为该方法提供高得多的沉积速率,从而导致减少的加工时间和增大的产率。因此,PEALD工艺可以用于填充小间隙并且在大间隙中形成衬里,然后PECVD过程可以用于完成大间隙填充。这提供了一种填充各种尺寸和深宽比的特征的便捷方式。在许多情况下,在没有任何中间蚀刻操作的情况下可以填充间隙。
在PECVD反应中,衬底暴露于一种或多种挥发性前体,这些前体发生反应并且/或者分解以在衬底表面上产生所需的沉积。图5示出了使用PECVD填充间隙的方法500的流程图。在多种实施方式中,可以在图1的方法100之后执行方法500。PECVD方法一般在操作501开始,使一种或多种反应物流入反应室。在操作503生成等离子体时,反应物输送可以连续进行。在操作505中,衬底表面暴露于等离子体,这使得在衬底表面上产生沉积。该过程持续进行直到达到所需的膜厚度。在操作507,等离子体熄灭并且反应物流停止。接着,在操作509净化反应室。
在一个示例的过程中,操作501包括使TEOS以约为1mL/min至20mL/min的速率并且使O2以约2000sccm至30000sccm的速率流动。射频功率具有约200W至3000W的高频成分以及200W至2500W的低频成分(在四个工位之间分配)。高频频率约为13.56MHz或27MHz,而低频频率约300kHz至400kHz。反应室中的压力在约1托至10托之间,并且温度在约100℃至450℃之间。当然,应当理解的是,在其他实施方式中,反应物、室条件、计时等可以根据所需的膜和应用的变化而变化。这部分中提供的值并非旨在限制。
以下专利文献中进一步讨论并描述了PECVD方法和设备,这些专利文献通过引用的方式全部并入本申请中:名称为“用于调节硬掩模中的氢含量的脉冲PECVD方法”的美国专利No.7,381,644;名称为“用于调节硬掩模中的氢含量的脉冲PECVD方法”的美国专利No.8,110,493;名称为“减少PECVD的TEOS膜中的缺陷的方法”的美国专利No.7,923,376;于2012年5月23日提交的名称为“光滑硅膜的PECVD沉积”的美国专利申请No.13/478,999。
在许多情况下,在PEALD工艺与PECVD工艺之间没有停机时间。例如,可以通过熄灭等离子体,执行后射频净化(使用或不使用抽空),然后立即流入PECVD反应物,由此结束PEALD工艺。
在一些实施方式中,于2011年4月11日提交的名称为“等离子体激活的保形膜沉积”的美国专利申请No.13/084,399中讨论并描述了混合PEALD/PECVD方法,该专利申请通过引用的方式全部并入本申请中。
PECVD反应物
可以使用与ALD反应的反应物相同的反应物亦或不同的反应物来执行PECVD反应。在一个实施方式中,使用O2/N2O的混合物以及BTBAS执行PEALD反应,并且使用TEOS和/或硅烷执行PECVD反应。已经发现的是,TEOS和硅烷反应物尤其适用于进行PECVD反应。一般来讲,在PEALD反应物部分中上述列举的反应物可以用于PECVD反应。
反应物的流速可以根据所需的工艺的变化而变化。在PECVD的一个实施方式中,未掺杂的硅玻璃(USG),SiH4用作反应物,并且流速在约100sccm至1500sccm之间,N2O的流速在2000sccm至20000sccm之间。在涉及使用TEOS的PECVD的另一个实施方式中,TEOS的流量在约1mL/min至20mL/min之间,并且O2的流速在约2000sccm至30000sccm的之间。
室条件
在某些实施方式中,在PECVD反应期间,反应室的温度可以在约50℃至450℃之间。这个范围可以特别适于使用硅烷的反应。在使用其他反应物的情况下,温度范围可以更小或更宽,例如,在使用TEOS的情况下,在约100℃至450℃之间。
在PECVD反应期间,反应室内的压强可以在约1托至10托之间,例如,约5托。
因为PEALD操作与PECVD操作之间的室条件非常类似,所以容易在单个反应室中实施两种类型的反应。如上所述,这是有利的,因为这样减小或消除了由于衬底在加工室之间移动而使水分进入膜的风险,并且减少了在两个过程之间对执行除气操作的需求。
等离子体发生条件
通过暴露于等离子体来驱动PECVD反应。等离子体可以是电容耦合等离子体或远程产生的电感耦合等离子体。由于上述原因,优选的是,避免使用原位电感耦合等离子体。
用于产生等离子体的气体包括至少一种反应物。等离子体生成气体也可以包括其他物质。例如,在某些实施方式中,等离子体生成气体包括惰性气体。
用于驱动等离子体形成的频率可以包括低频成分和高频成分两者。在一些实施方式中,高频成分可以约为13.56MHz或约为27MHz。低频频率可以在约300-400kHz之间。用于驱动等离子体形成的高频射频功率可以在约200W至3000W之间。用于驱动等离子体形成的低频射频功率可以在约200W至2500W之间。这些功率水平代表输送的总的功率,这些功率在四个工位之间分配。等离子体暴露的持续时间取决于沉积膜的期望厚度。
在一些实施方式中,可以使用脉冲PECVD方法。这些方法可以涉及脉冲前体和/或射频功率水平。
净化条件
通常在完成PECVD沉积之后进行净化。执行净化以从反应室去除反应物和任何副产物。因为在这个点已经沉积膜,所以与PEALD反应相比,净化条件不太重要,因为已经形成PEALD膜,所以PEALD反应要求多次反复反应物净化和后射频净化。
设备
用于执行公开的方法的合适的设备通常包括用于完成工艺操作的硬件以及具有指令的系统控制器,所述指令用于控制根据本发明的工艺操作。例如,在一些实施方式中,硬件可以包括在加工装备中包括的一个或多个PEALD、PECVD或结合的PEALD/PECVD处理站。
图6提供了可用于实施公开的实施方式的示例性设备的方框图。如图所示,反应器600包括加工室624,该加工室624封闭反应器的其他元件并且用于容纳例如由电容器型系统产生的等离子体,该系统包括与接地的加热部件620结合工作的喷头614。连接至匹配网络606的高频射频发生器602以及低频射频发生器604连接至喷头614。匹配网络606供应的功率和频率足以从工艺气体产生等离子体,例如400W至700W的总能量。在本发明的一个实施方式中,使用低频射频发生器和高频射频发生器两者。在典型过程中,高频射频成分一般在2MHz至60MHz之间,在优选实施方式中,高频成分约为13.56MHz或27MHz。低频射频成分一般在约250kHz至400kHz之间,在特定实施方式中,低频成分约350kHz。
在反应器内,晶片基座618支撑衬底616。基座通常包括卡盘、拨块或升降销以在沉积和/或等离子体处理反应期间或间隔夹持并转移衬底。卡盘可以是在本行业和/或研究中可获得使用的静电卡盘、机械卡盘或各种其他类型的卡盘。
工艺气体经由入口612引入。多气源线610连接至歧管608。气体可以经过预混合或未经预混合。采用合适的阀门和质量流控制机构来确保在过程的沉积和等离子体处理阶段期间输送合适的气体。在化学前体以液体形式输送的情况中,采用液体流控制机构。然后液体在达到沉积室之前在歧管中输送期间被加热到其蒸发点以上而蒸发并与其他工艺气体混合。
工艺气体经由出口622流出室600。真空泵626(例如,一级或两级机械式干泵和/或涡轮分子泵)通过闭环控制的流量限制装置(例如,节流阀或摆阀)通常抽出工艺气体并且在反应器内维持合适稳定的低压。
本发明可以在多工位或单工位设备上实施。在具体实施方式中,使用具有4工位沉积方案的300mm的Novellus VectorTM设备或者具有6工位沉积方案的200mm的SequelTM设备。能够在每次沉积和/或后沉积等离子体退火处理之后使晶片转位直到完成所有要求的沉积和处理,或者在使晶片转位之前可以在单个工位进行多次沉积和处理。已经表明在任一种情况下的膜应力相同。然而,在一个工位进行多次沉积/处理明显比在每次沉积和/或处理之后转位快。
图7示出了多工位加工工具2400的实施方式的示意图,该多工位加工工具具有入站装载锁2402和出站装载锁2404,任意一个或两个装载锁可以包括远程等离子体源。在大气压下,机械手2406被配置成将穿过吊舱2408装载到晶片匣的晶片经由大气端口2410移动到入站装载锁2402中。机械手将晶片放置在入站装载锁2402中的基座2412上,并且大气端口2410关闭,并且装载锁被抽空。在入站装载锁2402包括远程等离子体源的情况中,晶片可以在引入到加工室2414之前在装载锁中暴露以进行远程等离子体处理。另外,晶片同样可以在入站装载锁2402中受热,例如,用于去除水分和吸附气体。接着,通往加工室2414的室输送端口2416打开,并且另一个机械手(未示出)将晶片放入反应器中,在反应器中所示的第一工位的基座上以用于加工。尽管图4所示的实施方式包括装载锁,但是应当理解,在一些实施方式中,可以提供晶片进入处理站的直接入口。
图示的加工室2414包括四个处理站,在图4所示的实施方式中从1编号到4。每个工位具有受热的基座(工位1的图示为2418)和气体管道入口。应当理解,在一些实施方式中,每个处理站可以具有不同的或多个用途。例如,在一些实施方式中,处理站可以在PEALD与PECVD处理模式之间切换。另外或可替代地,在一些实施方式中,加工室2414可以包括一个或多个配对的PEALD和PECVD处理站。尽管图示的加工室2414包括四个工位,应当理解,根据本发明的加工室可以具有任意合适数量的工位。例如,在一些实施方式中,加工室可以具有四个或更多个工位,尽管在其他实施方式中,加工室可以具有三个或更少的工位。
图7还示出了用于在加工室2414内转移晶片的晶片搬运系统2490的实施方式。在一些实施方式中,晶片搬运系统2490可以在多个处理站之间并且/或者在处理站与装载锁之间转移晶片。应当理解,可以采用任何合适的晶片搬运系统。非限制性实例包括晶片旋转货架和晶片搬运机械手。图7还示出了用于控制工艺条件和加工装备2400的硬件状态的系统控制器2450的实施方式。系统控制器2450可以包括一个或多个存储设备2456,一个或多个大容量存储设备2454和一个或多个处理器2452。处理器2452可以包括CPU或计算机,模拟和/或数字输入/输出连接件,步进电机控制板等。
在一些实施方式中,系统控制器2450控制加工装备2400的所有活动。系统控制器2450执行存储在大容量存储设备2454、加载到存储设备2456中并且在处理器2452上运行的系统控制软件2458。系统控制软件2458可以包括用于控制计时、气体混合、室压和/或工位压力、室温和/或工位温度、净化条件和计时、晶片温度、射频功率水平、射频频率、衬底、基座、卡盘和/或衬托器位置以及加工装备2400执行特定工艺的其他参数的指令。系统控制软件2458可以被配置成任何合适的方式。例如,可以写入多个加工工具组件的子程序或控制对象以根据公开的方法控制加工工具组件执行多个加工工具过程所需的操作。系统控制软件2458可以编码成任何合适的计算机可读的编程语言。
在一些实施方式中,系统控制系统2458可以包括用于控制如上所述的多个参数的输入/输出控制(IOC)序列指令。例如,PEALD工艺的每个阶段可以包括由系统控制器2450执行的一个或多个指令。PEALD的配方阶段中可以包括用于设定对应的PEALD工艺阶段的工艺条件的指令。在一些实施方式中,可以顺序布置PEALD的配方阶段,使得与工艺阶段同时执行用于PEALD的工艺阶段的所有指令。PECVD工艺和混合PEALD/PECVD工艺同样如此。
在一些实施方式中可以采用存储在与系统控制器2450相关联的大容量存储设备2454和/或存储设备2456上的其他计算机软件和/或程序。用于该目的程序或程序段的实例包括衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于加工装备组件的程序代码,该加工装备组件用于将衬底装载到基座2418上并且控制衬底与加工装备2400的其他零件之间的间距。
工艺气体控制程序可以包括用于控制气体成分和流速的代码以及任选地用于在沉积之前使气体流入一个或多个处理站以便使处理站内的压力稳定的代码。压力控制程序可以包括用于通过调节(例如,加工站的排气系统中的节流阀)流入加工站中的气流等来控制加工站中的压力的代码。
加热控制系统可以包括用于控制流到加热单元的电流的代码,该加热单元用于加热衬底。可替代地,加热控制程序可以控制传热气体(例如,氦气)到衬底的输送。
等离子体控制程序可以包括用于设定施加在一个或多个加工站中的加工电极上的射频功率水平的代码。
在一些实施方式中,可以存在与系统控制器2450相关联的用户界面。用户界面可以包括显示屏、设备和/或加工条件的图像软件显示器以及用户输入设备,例如,指针设备、键盘、触屏、麦克风等。
在一些实施方式中,由系统控制器2450调节的参数可以涉及工艺条件。非限制性实例包括工艺气体成分和流速、温度、压力、等离子体条件(例如,射频偏置功率水平)、压力、温度等。这些参数可以以配方的形式提供给用户,可以利用用户接口来输入配方。
用于监测过程的信号可以由系统控制器2450的模拟和/或数字输入连接从多个加工装备传感器提供。加工装备2400的模拟和数字输出连接可以输出用于控制工艺的信号。可以监测的加工装备传感器的非限制性实例包括质量流控制器、压力传感器(例如压力计)、热电偶等。可以与来自这些传感器的数据一起使用适当编程的反馈和控制算法来维持工艺条件。
系统控制器2450可以提供用于实施上述沉积工艺的程序指令。程序指令可以控制各种工艺参数,例如直流功率水平、射频功率水平、射频偏置功率水平、压力、温度等。这些指令可以控制这些参数以根据本文所述的多个实施方式原位沉积膜堆层。
光刻图案化膜通常包括以下步骤中的一些或全部,每个步骤允许使用多种可用的工具:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在工件上,工件例如,上面形成有氮化硅膜的衬底;(2)使用热板或炉或其他合适的固化工具固化光致抗蚀剂;(3)使用例如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使用例如湿式清洗台或喷洒显影器之类的工具使光致抗蚀剂显影以便选择性地去除抗蚀剂从而使其图案化;(5)通过使用干式或等离子体辅助刻蚀工具将蚀刻剂图案转移到下方的膜或工件;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方式中,在涂覆光致抗蚀剂之前可以沉积可灰化硬掩模层(例如,无定形碳层)和另一个合适的硬掩模层(例如,抗反射层)。
应当理解,本文所述的配置和/或方法在本质上是示例性的,并且不应当以限制意义看待这些具体的实施方式或实例,因为众多变化是可行的。本文所述的具体程序或方法可以代表一个或多个任意数量的加工策略。如此,图示的各种动作可以按照图示的顺序、其他顺序或并列地执行,或者在一些情况省略。同样地,可以改变上述过程的顺序。
本发明的主题包括多个过程、系统及配置和其他特征、功能、操作和/或本文所述的性能的所有新的且非显而易见的组合和子组合以及它们的任意和所有的等同形式。
实验
图8提供了在PEALD工艺中根据公开的方法部分地填充有二氧化硅膜804的间隙802。设置标记物806以便评价氧化物膜804的保形性。为了清楚起见,在图8中仅设置一个标记物。每个标记物806具有相同的高度。因此,显然沉积的膜在底部比顶部厚。另外,下侧壁比上侧壁厚,上侧壁和下侧壁两者都比顶部区域厚。顶部附近的膜厚度与顶角处的膜厚度大致相同。在约400℃的温度沉积氧化硅膜804,BTBAS以2mL/min的流量持续时间约0.3s,接着进行反应物净化,清扫持续时间约为0.3s,接着均以10SLM的流量输送O2/N2O的混合物,与暴露于射频等离子体0.5s一致,接着进行后射频净化,持续时间为0.09s。等离子体是高频等离子体,约5kW的功率在四个基座之间分配。膜804表现出锥形轮廓,这对于填充间隙是理想的,特别是具有大深宽比的间隙。尽管用于形成膜804的PEALD工艺在间隙802被完全填充之前终止(以便观察填充行为),但是PEALD工艺可以继续进行以完全填充间隙802而不形成任何接缝或孔洞。
图9示出了具有根据公开的PEALD方法使用二氧化硅填充的多个间隙的衬底。在这种情况下,间隙的深宽比约为7:1,临界尺寸近似约30nm。沉积的膜致密,并且没有表现出任何接缝或孔洞。
图10示出了根据公开的PEALD方法填充的间隙的放大视图。在填充物中没有检测到接缝或孔洞。
图11示出了根据公开的PEALD方法的具有被填充的高深宽比(AR约8:1)的衬底。要注意的是,右侧的间隙表现出相同程度的凹角。标记物A和B是同一长度。可以看出,间隙在标记物B处比标记物A处更宽。尽管宽度差相当轻微,但是甚至很小程度的凹角也会导致在许多常规的方法中形成孔洞。
应该指出的是,图8至图11所示的间隙在未执行蚀刻操作的情况下进行填充。
图12示出了根据使用TEOS的公开的PECVD方法在约200℃用二氧化硅填充的宽间隙。沉积的膜约厚,并且表现出良好的间隙填充性能,且没有孔洞或接缝。没有进行蚀刻操作。

Claims (33)

1.一种填充在衬底表面上的间隙的方法,所述方法包括:
(a)引导气相的第一反应物进入里面有所述衬底的反应室中,并且使所述第一反应物能吸附在所述衬底表面上;
(b)引导气相的第二反应物进入所述反应室中,并且使所述第二反应物能吸附在所述衬底表面上;
(c)使所述衬底表面暴露于等离子体以驱动所述衬底表面上所述第一反应物与所述第二反应物之间的表面反应,从而形成构成所述间隙的底部和侧壁的衬里的膜层;
(d)在不进行抽空的情况下清扫所述反应室;并且
(e)重复操作(a)至(d)以形成额外的膜层,其中当所述间隙的相对侧壁上相对的膜层彼此靠近时,所述相对的膜层上存在的表面基团彼此交联,从而在不形成孔洞或接缝的情况下填充所述间隙。
2.根据权利要求1所述的方法,其中所述第一反应物是含硅反应物,并且所述第二反应物是氧化反应物。
3.根据权利要求2所述的方法,其中所述第一反应物包括双叔丁基氨基硅烷。
4.根据权利要求2所述的方法,其中所述第二反应物包括氧气和/或一氧化二氮。
5.根据权利要求4所述的方法,其中所述第二反应物包括氧气和一氧化二氮,并且其中氧气的体积流率和一氧化二氮的体积流率的差异不超过约20%。
6.根据权利要求1至5中任一项所述的方法,其中所述间隙是凹角的。
7.根据权利要求1至5中任一项所述的方法,其中所述间隙通过自底向上填充机理进行填充。
8.一种在衬底表面上填充间隙的方法,所述方法包括:
(a)引导气相的第一反应物进入里面有所述衬底的反应室中,并且使所述第一反应物能吸附在所述衬底表面上;
(b)引导气相的第二反应物进入所述反应室中,并且使所述第二反应物能吸附在所述衬底表面上;
(c)使所述衬底表面暴露于等离子体以驱动所述衬底表面上所述第一反应物与所述第二反应物之间的表面反应,从而形成构成所述间隙的底部和侧壁的衬里的膜层,其中所述膜在所述间隙的场区域和上侧壁附近比在所述间隙的底部和下侧壁附近致密;
(d)在不进行抽空的情况下清扫所述反应室;并且
(e)重复操作(a)至(d)以形成额外的膜层,从而在不形成孔洞或接缝的情况下通过自底向上填充机理填充所述间隙。
9.一种在衬底表面上填充间隙的方法,所述方法包括:
(a)引导气相的第一反应物进入里面有所述衬底的反应室中,并且使所述第一反应物能吸附在所述衬底表面上;
(b)引导气相的第二反应物进入所述反应室中,并且使所述第二反应物能吸附在所述衬底表面上;
(c)使所述衬底表面暴露于等离子体以驱动所述衬底表面上所述第一反应物与所述第二反应物之间的表面反应,从而形成构成所述间隙的底部和侧壁的衬里的膜层,其中在所述间隙的底部和下侧壁附近的膜中比在所述间隙的场区域和上侧壁附近的膜中优先掩埋配体;
(d)在不进行抽空的情况下清扫所述反应室;并且
(e)重复操作(a)至(d)以形成额外的膜层,从而在不形成孔洞或接缝的情况下通过自底向上的填充机理填充所述间隙。
10.一种在衬底表面上填充间隙的方法,所述方法包括:
(a)引导气相的第一反应物进入里面有所述衬底的反应室中,并且使所述第一反应物能吸附在所述衬底表面上;
(b)引导气相的第二反应物进入所述反应室中,并且使所述第二反应物能吸附在所述衬底表面上;
(c)使所述衬底表面暴露于等离子体以驱动所述衬底表面上所述第一反应物与所述第二反应物之间的表面反应,从而形成构成所述间隙的衬里的膜;
(d)清扫或净化所述反应室;
(e)引导气相的至少第三反应物进入所述反应室中;并且
(f)从至少所述第三反应物产生等离子体以驱动气相反应,其中所述气相反应物产生间隙填充材料,并且其中所述间隙填充材料部分或完全填充所述衬底表面上的所述间隙。
11.根据权利要求10所述的方法,其中操作(a)至(c)包括形成保形膜,所述保形膜在所述间隙的底部比在所述间隙的上侧壁厚。
12.根据权利要求10所述的方法,其中操作(c)包括使所述间隙顶部附近的膜比所述间隙底部附近的膜优先致密化。
13.根据权利要求10所述的方法,其中操作(c)包括在所述间隙的底部附近的膜中比在所述间隙的上侧壁附近的膜中优先掩埋配体。
14.根据权利要求10所述的方法,其中在操作(e)至(f)之前重复操作(a)至(c),并且其中在每次重复操作(c)之后不进行抽空。
15.根据权利要求10至14中的任一项所述的方法,其中操作(f)中的所述等离子体是电容耦合等离子体。
16.根据权利要求10至14中的任一项所述的方法,其中所述第一反应物和所述第二反应物中的至少一种与所述第三反应物相同。
17.根据权利要求16所述的方法,其中操作(e)进一步包括在引导所述第三反应物到所述反应室中的同时引导气相的第四反应物到所述反应室中。
18.根据权利要求10至14中的任一项所述的方法,其中(c)中形成的所述膜包括与(f)中形成的所述间隙填充材料相同的材料。
19.根据权利要求10至14中的任一项所述的方法,其中所述方法在没有任何中间蚀刻操作的情况下进行。
20.根据权利要求10至14中的任一项所述的方法,其中所述第一反应物是含硅反应物,并且所述第二反应物是氧化反应物。
21.根据权利要求20所述的方法,其中所述第一反应物包括双叔丁基氨基硅烷。
22.根据权利要求20所述的方法,其中所述第二反应物包括氧气和/或一氧化二氮。
23.根据权利要求22所述的方法,其中所述第二反应物包括氧气和一氧化二氮,并且其中氧气的体积流率和一氧化二氮的体积流率的差异不超过约20%。
24.根据权利要求10至14中的任一项所述的方法,其中所述第三反应物是正硅酸乙酯或硅烷。
25.根据权利要求10至14中的任一项所述的方法,其中在操作(a)至(f)中的任意一个期间或任意两者之间没有从所述反应室去除所述衬底。
26.一种在衬底表面上填充间隙的方法,所述方法包括:
(a)引导气相的第一反应物进入里面有所述衬底的反应室中,并且使所述第一反应物能吸附在所述衬底表面上,其中所述衬底至少具有临界尺寸小于约50nm的窄间隙和临界尺寸大于或等于约50nm的宽间隙;
(b)引导气相的第二反应物进入所述反应室中,并且使所述第二反应物能吸附在所述衬底表面上;
(c)使所述衬底表面暴露于等离子体以驱动所述衬底表面上所述第一反应物与所述第二反应物之间的表面反应,从而形成膜;
(d)清扫或净化所述反应室;
(e)重复操作(a)至(d),其中形成的所述膜完全填充所述窄间隙并且构成所述宽间隙的衬里;
(f)引导气相的至少第三反应物进入所述反应室中;并且
(g)在所述第三反应物流到所述反应室的同时使所述衬底表面暴露于等离子体以驱动气相反应,其中所述气相反应物产生间隙填充材料,并且其中所述间隙填充材料部分或完全填充所述衬底表面上的所述宽间隙。
27.根据权利要求26所述的方法,其中所述窄间隙的深宽比大于约4:1,并且所述宽间隙的深宽比小于或等于约4:1。
28.根据权利要求26所述的方法,其中所述窄间隙是凹角的并且在不形成接缝或孔洞的情况下被填充。
29.根据权利要求26所述的方法,其中在每次重复操作(c)之后不进行抽空。
30.根据权利要求26至29中的任一项所述的方法,其中操作(g)中的所述等离子体是电容耦合等离子体。
31.根据权利要求26至29中的任一项所述的方法,其中(c)中形成的所述膜包括与(g)中形成的所述间隙填充材料相同的材料。
32.根据权利要求26至29中的任一项所述的方法,其中所述方法在没有任何中间蚀刻操作的情况下进行。
33.一种使用电介质材料填充半导体衬底上的一个或多个间隙的设备,包括:
反应室;
用于引导反应物到所述反应室的入口;
用于从所述反应室清除材料的出口;
等离子体发生器;以及
控制器,所述控制器具有指令以根据权利要求1至32中的任一项所述的方法填充所述半导体衬底上的所述一个或多个间隙。
CN201410521390.7A 2013-09-30 2014-09-30 使用复合peald 和pecvd 方法的可变深宽比特征的间隙填充 Active CN104517892B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710839679.7A CN107665811B (zh) 2013-09-30 2014-09-30 使用复合peald和pecvd方法的可变深宽比特征的间隙填充

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361884923P 2013-09-30 2013-09-30
US61/884,923 2013-09-30
US14/137,860 US9257274B2 (en) 2010-04-15 2013-12-20 Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US14/137,860 2013-12-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710839679.7A Division CN107665811B (zh) 2013-09-30 2014-09-30 使用复合peald和pecvd方法的可变深宽比特征的间隙填充

Publications (2)

Publication Number Publication Date
CN104517892A true CN104517892A (zh) 2015-04-15
CN104517892B CN104517892B (zh) 2017-10-20

Family

ID=52792999

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710839679.7A Active CN107665811B (zh) 2013-09-30 2014-09-30 使用复合peald和pecvd方法的可变深宽比特征的间隙填充
CN201410521390.7A Active CN104517892B (zh) 2013-09-30 2014-09-30 使用复合peald 和pecvd 方法的可变深宽比特征的间隙填充

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710839679.7A Active CN107665811B (zh) 2013-09-30 2014-09-30 使用复合peald和pecvd方法的可变深宽比特征的间隙填充

Country Status (3)

Country Link
KR (3) KR102368432B1 (zh)
CN (2) CN107665811B (zh)
TW (1) TWI649803B (zh)

Cited By (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107871652A (zh) * 2016-09-26 2018-04-03 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN108220918A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 改良型等离子强化原子层沉积方法
CN108352300A (zh) * 2015-11-13 2018-07-31 应用材料股份有限公司 利用选择性表面修改填充结构的技术
CN108411281A (zh) * 2017-02-09 2018-08-17 Asm Ip控股有限公司 通过热ald和peald沉积氧化物膜的方法
CN110537243A (zh) * 2017-04-19 2019-12-03 商先创国际股份有限公司 用来在半导体基材上形成膜层的方法与装置及半导体基材
CN111344857A (zh) * 2017-09-13 2020-06-26 朗姆研究公司 使用牺牲蚀刻盖层的高深宽比特征的介电间隙填充
CN111630203A (zh) * 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN114761612A (zh) * 2019-12-02 2022-07-15 朗姆研究公司 原位pecvd覆盖层
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
TWI642803B (zh) * 2015-09-11 2018-12-01 慧盛材料美國責任有限公司 用於沉積一保形的金屬或類金屬氮化矽膜的方法及所形成的膜
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102617145B1 (ko) 2018-10-02 2023-12-27 삼성전자주식회사 가변 저항 메모리 장치
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
CN102906304A (zh) * 2010-06-04 2013-01-30 应用材料公司 利用bdeas沉积的二氧化硅层
US20130040447A1 (en) * 2010-04-15 2013-02-14 Shankar Swaminathan Conformal doping via plasma activated atomic layer deposition and conformal film deposition

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177120A (ja) * 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
KR20020048617A (ko) * 2000-12-18 2002-06-24 박종섭 플라즈마 원자층 증착법에 의한 탄탈륨옥사이드 유전막형성 방법
KR100545697B1 (ko) * 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR20070066945A (ko) * 2005-12-21 2007-06-27 에이에스엠 저펜 가부시기가이샤 원자층 성장 및 화학증기 증착에 의한 박막형성 방법
JP2007180362A (ja) * 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
KR101133250B1 (ko) * 2009-09-29 2012-04-05 부산대학교 산학협력단 상압 플라즈마 표면처리된 폴리머 기판을 이용한 투명전극의 제조방법
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20130040447A1 (en) * 2010-04-15 2013-02-14 Shankar Swaminathan Conformal doping via plasma activated atomic layer deposition and conformal film deposition
CN102906304A (zh) * 2010-06-04 2013-01-30 应用材料公司 利用bdeas沉积的二氧化硅层

Cited By (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN108352300B (zh) * 2015-11-13 2022-03-29 应用材料股份有限公司 半导体装置处理方法、系统及设备
CN108352300A (zh) * 2015-11-13 2018-07-31 应用材料股份有限公司 利用选择性表面修改填充结构的技术
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107871652A (zh) * 2016-09-26 2018-04-03 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
CN108220918A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 改良型等离子强化原子层沉积方法
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN108411281A (zh) * 2017-02-09 2018-08-17 Asm Ip控股有限公司 通过热ald和peald沉积氧化物膜的方法
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN110537243A (zh) * 2017-04-19 2019-12-03 商先创国际股份有限公司 用来在半导体基材上形成膜层的方法与装置及半导体基材
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
CN111344857B (zh) * 2017-09-13 2024-04-09 朗姆研究公司 使用牺牲蚀刻盖层的高深宽比特征的介电间隙填充
CN111344857A (zh) * 2017-09-13 2020-06-26 朗姆研究公司 使用牺牲蚀刻盖层的高深宽比特征的介电间隙填充
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) * 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
CN114761612A (zh) * 2019-12-02 2022-07-15 朗姆研究公司 原位pecvd覆盖层
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
CN107665811B (zh) 2024-01-23
KR20150037662A (ko) 2015-04-08
KR20230017899A (ko) 2023-02-06
KR20220030237A (ko) 2022-03-10
TW201526104A (zh) 2015-07-01
CN107665811A (zh) 2018-02-06
KR102492259B1 (ko) 2023-01-26
TWI649803B (zh) 2019-02-01
KR102368432B1 (ko) 2022-02-25
CN104517892B (zh) 2017-10-20

Similar Documents

Publication Publication Date Title
CN104517892A (zh) 使用复合peald和pecvd方法的可变深宽比特征的间隙填充
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
CN111247269B (zh) 介电膜的几何选择性沉积
US10804099B2 (en) Selective inhibition in atomic layer deposition of silicon-containing films
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10037884B2 (en) Selective atomic layer deposition for gapfill using sacrificial underlayer
KR20230145004A (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
US9230800B2 (en) Plasma activated conformal film deposition
US8728955B2 (en) Method of plasma activated deposition of a conformal film on a substrate surface
US20170314128A1 (en) Chamber undercoat preparation method for low temperature ald films
US9355839B2 (en) Sub-saturated atomic layer deposition and conformal film deposition
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
US20230175117A1 (en) Seam mitigation and integrated liner for gap fill
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
TW202345205A (zh) 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法
TW202346626A (zh) 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant