CN114761612A - 原位pecvd覆盖层 - Google Patents

原位pecvd覆盖层 Download PDF

Info

Publication number
CN114761612A
CN114761612A CN202080083785.7A CN202080083785A CN114761612A CN 114761612 A CN114761612 A CN 114761612A CN 202080083785 A CN202080083785 A CN 202080083785A CN 114761612 A CN114761612 A CN 114761612A
Authority
CN
China
Prior art keywords
deposition
dielectric film
divot
gap
ald
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080083785.7A
Other languages
English (en)
Inventor
杰里米·大卫·菲尔兹
伊恩·约翰·科廷
约瑟夫·R·阿贝尔
弗兰克·洛伦·帕斯夸里
道格拉斯·沃尔特·阿格纽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114761612A publication Critical patent/CN114761612A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

用于以介电材料填充间隙的方法包含使用原子层沉积(ALD)技术进行沉积以填充间隙,然后通过化学气相沉积(CVD)技术在所填充的间隙上沉积覆盖层。该ALD沉积可以是等离子体增强ALD(PEALD)或热ALD(tALD)沉积。该CVD沉积可以是等离子体增强CVD(PECVD)或热CVD(tCVD)沉积。在一些实施方案中,该CVD沉积与该ALD沉积是在相同的处理室中执行而不干扰处理操作。该覆盖层的原位沉积的结果是具有高度均匀性的高产出处理。在一些实施方案中,在该处理之后,衬底就已准备好进行化学机械平坦化(CMP)。

Description

原位PECVD覆盖层
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
集成电路的制造包含许多不同的处理步骤。经常采用的一种操作是将介电膜沉积到在硅衬底上方或内部的图案化特征之间的间隙中。沉积这种材料的目的之一是在间隙中形成无空隙、无接缝的填充物。随着设备尺寸在例如DRAM、闪存和逻辑的情况下变得越来越小,实现这种类型的填充变得越来越困难。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本公开的一方面涉及处理具有待用介电材料填充的间隙的衬底的方法。在一些实施方案中,所述方法包含:提供具有间隙的衬底至处理室,所述衬底具有顶表面,其中所述间隙从所述顶表面凹陷;执行多个等离子体增强原子层沉积(PEALD)循环,以利用第一介电膜填充所述间隙,其中所述第一介电膜通过所述间隙上方以及所述顶表面的所述平面上的第一凹痕来表征;以及在所述处理室中,通过等离子体增强化学气相沉积(PECVD)处理而在所述第一介电膜上方沉积第二介电膜。
在一些实施方案中,所述第二介电膜填充所述第一凹痕,且包含比所述第一凹痕至少小10%的第二凹痕。在一些实施方案中,所述第二凹痕比所述第一凹痕至少小20%、至少小30%、至少小40%、至少小50%、至少小60%、至少小70%或至少小80%。在一些实施方案中,所述第二介电膜的厚度介于在1到3微米之间。在一些实施方案中,所述第二介电膜的厚度介于在100埃到1微米之间。在一些实施方案中,所述处理室是多站式处理室。所述多个PEALD循环可以在所述多站式处理室中的一或多个第一站中执行,且所述PECVD处理是在所述多站式处理室的一或多个第二站中执行,所述一个或多个第一站与所述一个或多个第二站不同。在一些实施方案中,在沉积所述第二介电膜之后,所述衬底已准备好进行化学机械平坦化(CMP)。在一些实施方案中,所述方法还包含执行CMP处理以形成平坦化表面,所述平坦化表面包含所述第一和第二介电膜中的一或两者。
本公开的一个方面涉及处理具有待用介电材料填充的间隙的衬底的方法。在一些实施方案中,所述方法包含:提供具有间隙的衬底至处理室,所述衬底具有顶表面,其中所述间隙从所述顶表面凹陷;执行多个原子层沉积(ALD)循环,以利用第一介电膜填充所述间隙,其中所述第一介电膜通过所述间隙上方以及所述顶表面的所述平面上的第一凹痕来表征;以及在所述处理室中,通过化学气相沉积(CVD)处理而在所述第一介电膜上方沉积第二介电膜。
在一些实施方案中,所述第二介电膜填充所述第一凹痕,且包含比所述第一凹痕至少小10%的第二凹痕。在一些实施方案中,所述第二凹痕比所述第一凹痕至少小20%、至少小30%、至少小40%、至少小50%、至少小60%、至少小70%或至少小80%。在一些实施方案中,所述第二介电膜的厚度介于在1到3微米之间。在一些实施方案中,所述第二介电膜的厚度介于在100埃到1微米之间。
在一些实施方案中,所述第二介电膜填充所述第一凹痕,且包含比所述第一凹痕至少小10%的第二凹痕。在一些实施方案中,所述第二凹痕比所述第一凹痕至少小20%、至少小30%、至少小40%、至少小50%、至少小60%、至少小70%或至少小80%。在一些实施方案中,所述第二介电膜的厚度介于在1到3微米之间。在一些实施方案中,所述第二介电膜的厚度介于在100埃到1微米之间。
这些及其他方面将在下文参考相关附图说明。
附图说明
图1显示了以介电材料填充及覆盖间隙的方法的流程图。
图2显示了在介电材料的原子层沉积(ALD)之后的已填充间隙。
图3显示了在通过化学气相沉积(CVD)处理来沉积覆盖层之后的图2的衬底。
图4显示了在后续处理期间的图3的衬底。
图5A显示了通过等离子体增强原子层沉积(PEALD)处理来填充间隙的方法流程图。
图5B显示了通过等离子体增强化学气相沉积(PECVD)处理来沉积覆盖层的方法的流程图。
图6显示了可以用于执行所公开的方法的装置的框图。
图7描绘了可以用于执行所公开的方法的多站式装置。
图8描绘了可以用于执行所公开的方法的多室处理工具。
具体实施方式
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域技术人员应理解,术语“部分制造的集成电路”可以指在硅晶片上的集成电路制造的许多阶段中的任一阶段期间的硅晶片。半导体设备行业中使用的晶片或衬底通常具有200mm、300mm或450mm的直径。除了半导体晶片外,其他工件可以利用本公开内容的方法及装置,包含例如印刷电路板、玻璃面板等各种物件。
在以下描述中,阐述了许多具体细节以便提供对所呈现的实施例的透彻理解。所公开的实施方案可以在没有这些具体细节中的一些或全部的情况下实践。在其他情况下,不详细描述公知的处理操作,以免不必要地模糊本公开的实施方案。虽然所公开的实施方案结合特定实施方案来说明,但应理解这并不意图限制所公开的实施方案。
本文提供了以介电材料填充间隙的方法和装置。本方法包含使用原子层沉积(ALD)技术进行沉积以填充间隙,然后通过化学气相沉积(CVD)技术在所填充的间隙上沉积覆盖层。该ALD沉积可以是等离子体增强ALD(PEALD)或热ALD(tALD)沉积。该CVD沉积可以是等离子体增强CVD(PECVD)或热CVD(tCVD)沉积。在一些实施方案中,该CVD沉积与该ALD沉积是在相同的处理室中执行而不干扰处理操作。该覆盖层的原位沉积的结果是具有高度均匀性的高产出处理。在一些实施方案中,在该处理之后,衬底就已准备好进行化学机械平坦化(CMP)。
图1显示了根据多种实施方案的方法100的操作。首先,在操作101中,使用ALD处理以介电材料填充衬底上的间隙。间隙的特征在于具有高深宽比或狭窄的开口。间隙的几何形状取决于应用,其示例性应用包含3D NAND狭缝填充、DRAM周边间隙填充以及SSL分离掩模氧化物填充。对于3D NAND狭缝间隙填充,间隙示例的几何形状可以例如是深度2-10μm且具有200-500nm的开口。对于DRAM应用,间隙示例的几何形状的深度可以是75-300nm,开口为5-50nm。长宽比可以例如是4:1-30:1。然而,应理解,本文所描述的方法可以用于填充任何几何形状的间隙。其他应用包含3D NAND柱或孔填充、DRAM STI、逻辑STI、PCRAM STI、MRAM以及逻辑PMD。如上所述,ALD方法可以是PEALD或tALD处理。ALD处理的示例在下面有进一步描述。
可以允许ALD处理继续进行,直到间隙完全被介电材料填充为止。图2显示了操作101之后的已填充间隙的示例。该间隙至少部分地由从底表面206延伸到顶表面204的侧壁208所限定。底表面206可以是如图2的示例中所描绘的弯曲或尖表面或大致上平坦的表面。顶表面204通常是、但不一定是大致上平坦的表面。介电膜202完全填充间隙。介电膜通过凹痕或凿孔201来表征。凿孔201是保形ALD处理的结果,且尤其是膜至少部分地从侧壁208生长到特征的中心。凿孔201的深度可以取决于ALD处理、间隙的几何形状以及间隙上方的膜的厚度。在一些实施方案中,该深度大于60nm或大于100nm。执行操作101,至少直到凿孔顶点到顶表面206上方,且可以在顶表面上方至少50nm、100nm、200nm或500nm为止。如此确保了在之后的平坦化处理中,间隙保持被填充。然而,不管怎样,凿孔可能会在后续处理(包含后续的CMP、蚀刻或光刻操作)中引起问题。在蚀刻和CMP步骤期间,凿孔可能导致从结构顶部去除的材料数量不均匀,从而导致从填充特征中去除过多的材料,进而产生影响设备效能的缺陷。在微影操作中,平坦的表面可产生最佳性能。从ALD填充所得的凿孔可以在设备的顶表面上产生降低后续光刻操作的准确度的形貌,这也会产生影响设备性能的缺陷。
回到图1,接着在操作103中,在同一室中的介电填充材料上沉积CVD覆盖层。如上所述,可以通过PECVD或tCVD来沉积覆盖层。CVD处理的示例在下面进一步描述。通过CVD进行沉积可以快速沉积厚膜,其优先填充在凿孔中,从而形成更平坦的膜,进而减少了后续处理中产生缺陷的频率。并且,通过将其与ALD间隙填充在同一室中沉积,可以大大减少传送操作和处理工具的数量。图3显示了在操作103之后的已填充间隙的示例。覆盖膜210在介电膜202上。值得注意的是,覆盖膜210中的凿孔211与凿孔201相比显著减小。凿孔可以例如减小至少20%、至少30%、至少40%、至少50%、至少70%、至少80%、或至少90%。覆盖层的厚度示例为
Figure BDA0003676388330000051
在一些实施方案中,可以沉积例如
Figure BDA0003676388330000052
的较薄的层。图4显示了后续处理的示例,在这种情况下为CMP,其可以在覆盖层沉积之后直接执行。CMP处理可以去除介电质的顶层并且可以留下凿孔中的填充物。在一些实施方案中,CMP处理可以仅去除CVD沉积的膜。在一些实施方案中,CMP处理可以去除ALD沉积的膜,留下凿孔中的填充物。
在图1的示例中,ALD和CVD沉积在同一室中进行。如此可以以最少的转移时间进行快速处理。然而,在一些其他实施方案中,可以在不同的室中执行ALD和CVD沉积。
ALD间隙填充
如上所述,ALD处理是以介电材料(通常是含硅的介电材料)来填充间隙。ALD处理可以是仅有ALD的,或者在一些实施方案中,可以具有一或多个蚀刻或抑制操作。例如,可以通过沉积-蚀刻-沉积处理或沉积-抑制-沉积操作或这些的某种组合来填充间隙。在这样的实施方案中,蚀刻或抑制操作可以优先在特征的顶部进行蚀刻或抑制。
在一些实施方案中,使用PEALD处理。图5A呈现用于执行等离子体增强原子层沉积处理500的方法的流程图。处理500开始于操作501,其中提供一定剂量的第一反应物到包含衬底的反应室。衬底内具有要通过PEALD处理填充的间隙。在各种情况下,第一反应物可以是含硅反应物。接下来,在操作503中,例如使用惰性气体或氮气载气来清扫反应室。这有助于从反应室中除去任何残留的第一反应物。
在操作505中,提供第二反应物至反应室。在某些情况下,第二反应物是氧化反应物。在一示例中,它是氧气。第二反应物也可以是反应物的混合物。在一特定的实施方案中,第二反应物是氧气和一氧化二氮的流。第二反应物在操作505中提供,其可以包含在操作507中使反应物流入与等离子体激活同时进行之前预先流入反应物。当等离子体被激活时,其驱动在衬底表面上的第一和第二反应物之间的反应。接下来,熄灭等离子体,然后例如用惰性气体或氮气载气清扫反应室。该操作509称为RF后清扫。方法500重复多次以填充间隙。也可以执行tALD方法,其中省略操作507。
至少一种反应物通常会包含在室温下是固体的元素,该元素被并入ALD方法所形成的膜中。该反应物可以称为主要反应物。主要反应物可以是含硅的或包含另一种半导体(例如锗等)。另一反应物有时被称为增强反应物或共反应物。共反应物的非限制性示例包含氧、臭氧、氢、肼、水、一氧化碳、一氧化二氮、氨、烷基胺等。如上所述,共反应物也可以是反应物的混合物。
PEALD处理可用于填充各种膜类型的间隙。尽管本文的许多讨论集中在形成未掺杂的硅氧化物上,但是也可以形成例如氮化物、碳化物、氮氧化物、碳掺杂的氧化物、氮掺杂的氧化物、硼化物等其他膜类型。氧化物包含多种材料,包含未掺杂的硅酸盐玻璃(USG)、氟硅酸盐玻璃(FSG)和其他掺杂的硅酸盐玻璃。掺杂玻璃的示例包含掺硼硅酸盐玻璃(BSG)、掺磷硅酸盐玻璃(PSG)和掺硼磷硅酸盐玻璃(BPSG)。更进一步,PEALD/PECVD处理可以用于金属沉积和特征填充。
尽管所公开的实施方案并不限于特定的反应物,但是在下面提供了反应物的示例列表。
在一些实施方案中,所沉积的膜为含硅膜。在这些情况下,含硅反应物可以是例如硅烷、卤素硅烷、或氨基硅烷。硅烷包含氢和/或碳基团,但不含卤素。硅烷的示例为:硅烷(SiH4)、二硅烷(Si2H6)、以及有机硅烷(例如:甲基硅烷(methylsilane)、乙基硅烷(ethylsilane)、异丙基硅烷(isopropylsilane)、叔丁基硅烷(t-butylsilane)、二甲基硅烷(dimethylsilane)、二乙基硅烷(diethylsilane)、二-叔丁基硅烷(di-t-butylsilane)、烯丙基硅烷(allylsilane)、仲丁基硅烷(sec-butylsilane)、叔己基硅烷(thexylsilane)、异戊基硅烷(isoamylsilane)、叔丁基二硅烷(t-butyldisilane)、二-叔丁基二硅烷(di-t-butyldisilane)、四乙基正硅酸盐(tetra-ethyl-ortho-silicate)(又称为四乙氧基硅烷(tetra-ethoxy-silane)或TEOS)及类似物)。卤素硅烷包含至少一个卤素基团,并且可以(或可以不)包含氢和/或碳基团。卤素硅烷的示例为:碘硅烷、溴硅烷、氯硅烷、以及氟硅烷。虽然卤素硅烷(尤其氟硅烷)能形成可蚀刻硅材料的反应性卤化物物质,但在本文所述的一些实施方案中,当等离子体激励时并不存在含硅反应物。具体的氯硅烷为:四氯硅烷(SiCl4)、三氯硅烷(HSiCl3)、二氯硅烷(H2SiCl2)、一氯硅烷(ClSiH3)、氯烯丙基硅烷(chloroallylsilane)、氯甲基硅烷(chloromethylsilane)、二氯甲基硅烷(dichloromethylsilane)、氯二甲基硅烷(chlorodimethylsilane)、氯乙基硅烷(chloroethylsilane)、叔丁基氯硅烷(t-butylchlorosilane)、二-叔丁基氯硅烷(di-t-butylchlorosilane)、氯异丙基硅烷(chloroisopropylsilane)、氯仲丁基硅烷(chloro-sec-butylsilane)、叔丁基二甲基氯硅烷(t-butyldimethylchlorosilane)、叔己基二甲基氯硅烷(thexyldimethylchlorosilane)、及类似物。氨基硅烷包含与硅原子结合的至少一个氮原子,但也可以包含氢、氧、卤素、及碳。氨基硅烷的示例为:一级、二级、三级、及四级氨基硅烷(分别是H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3、及Si(NH2)4)、以及经取代的单氨基硅烷、二氨基硅烷、三氨基硅烷以及四氨基硅烷(例如叔丁基氨基硅烷(t-butylaminosilane)、甲基氨基硅烷(methylaminosilane)、叔丁基硅烷胺(tert-butylsilanamine)、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS,bis(tertiarybutylamino)silane)、叔丁基硅烷基氨基甲酸酯(tert-butylsilylcarbamate)、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3以及类似物)。氨基硅烷的进一步的示例为三硅烷胺(N(SiH3)3)(trisilylamine)以及双(二乙氨基)硅烷(bis(diethylamoino)silane,BDEAS)。
CVD覆盖
图5B显示了以PECVD来沉积覆盖层的方法550的流程图。方法550可以在图5A的方法500之后执行。PECVD方法通常开始于在操作551中将一或多种反应物流入反应室。随着在操作553中产生等离子体,反应物的输送可以继续进行。将衬底表面暴露于等离子体中,在操作555中,这导致在ALD沉积的介电填充层上发生沉积。该处理继续进行,直到达到期望的膜厚度为止。在操作557,熄灭等离子体并停止反应物流。接下来,在操作559中清扫反应室。
在一些实施方案中,在PEALD处理和PECVD处理之间没有停机时间。例如,PEALD处理可以通过熄灭等离子体、执行RF后清扫(抽空或不抽空)、然后立即使PECVD反应物流动来结束。
在PEALD和PECVD阶段之间可以采用转换阶段。在这样的转换阶段中使用的条件可以与PEALD或PECVD阶段使用的不同。在一些实施方案中,该条件使得能同时进行ALD表面反应和CVD类型的气相反应。转换阶段可以涉及暴露于等离子体,该等离子体可以例如是脉冲式的。此外,转换阶段可以涉及以低流速(即流速明显低于在该处理的相应ALD阶段中所采用的流速)输送一或多种反应物。
PECVD反应可以使用与ALD反应相同的任一反应物进行,也可以用不同的反应物进行。例如,PECVD反应是用TEOS和/或硅烷进行的。已经发现TEOS和硅烷反应物在进行PECVD反应中特别有用。通常,上述在PEALD反应物部分中列出的反应物可以用于PECVD反应中。然而,在一些实施方案中,反应物与用于PECVD的TEOS或硅烷和用于PEALD的氨基硅烷不同。
在从PEALD转换到PECVD的处理中,在一些实施方案中,可以降低RF功率。例如,对于PEALD,RF功率可以是1250-6000W,对于PECVD,RF功率可以是1000-6000W。然而,在一些实施方案中,对于PEALD,RF功率可以是至少3kW、或4kW、或5kW。对于PECVD,在某些实施方案中,RF功率可能介于1kW和2kW之间,例如1250W。每个PEALD循环的RF时间示例为0.15s-0.6s。PECVD沉积的RF时间示例为1-200s。也可以在没有等离子体的情况下执行tCVD方法。
在一些实施方案中,可以在多站式处理室中执行ALD和CVD处理。根据多种实施方案,可以以固定模式(在一站中进行完全处理)或以镶嵌模式(站与站之间的转位)独立地执行ALD和CVD站中的每一个。镶嵌模式可用于赋予更好的均匀性。因此,在多站式处理室中,可以执行以下任一处理:
1)固定模式下的ALD和CVD(在一站中进行完全处理),或者
2)固定模式下的ALD+镶嵌模式下的CVD处理(在站与站之间进行转位)以提供更好的均匀性,或者
3)镶嵌模式下的ALD和固定模式下的CVD,或者
4)在镶嵌模式下的ALD,在镶嵌模式下的CVD。在同时具有固定模式的ALD和CVD的实施方案中,其处理可以在或可以不在同一站中执行。
在一些实施方案中,在ALD和CVD之间的转换包含将从ALD站到CVD站的衬底进行转位。使用不同的站来执行ALD和CVD可以促进ALD和CVD处理使用不同的化合物和处理条件。
装置
用于执行所公开的方法的合适装置通常包含根据本发明的用于完成处理操作的硬件以及具有用于控制处理操作的指令的系统控制器。例如,在一些实施方案中,硬件可以包含在处理工具中具有的一或多个PEALD、PECVD或联合PEALD/PECVD处理站。
图6示意性地显示可用于使用原子层沉积(ALD)和/或化学气相沉积(CVD)来沉积材料的处理站600的实施方案,其中ALD和CVD中的任一个可以是等离子体增强的。为简单起见,处理站600被描绘为具有用于维持低压环境的处理室主体602的独立处理站。然而,应当理解,多个处理站600可以包括在共同的处理工具环境中。此外,应当理解,在一些实施方案中,可以由一个或多个计算机控制器以编程方式调整处理站600的一个或多个硬件参数,包括下面详细讨论的那些硬件参数。
处理站600与反应物输送系统601流体连通,以将处理气体输送至分配喷头606。反应物输送系统601包含混合容器604,混合容器604用于共混和/或调节处理气体以输送至喷头606。一个或多个混合容器入口阀620可以对处理气体导入至混合容器604进行控制。类似地,喷头入口阀605可对处理气体导入至喷头606进行控制。
一些反应物(例如BTBAS)可以在处理站汽化之前以及随后输送到处理站之前以液体形式储存。例如,图6的实施方案包含汽化点603,汽化点603用于汽化将供应至混合容器604的液体反应物。在一些实施方案中,汽化点603可以是加热的蒸发器。从这样的蒸发器产生的反应物蒸气会在下游输送管道凝结。不兼容气体暴露于凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或抽空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点603下游的输送管道可以被热追踪。在一些示例中,混合容器604也可以被热追踪。在一个非限制性示例中,汽化点603下游的管道具有从约100℃升高至在混合容器604处的约150℃的升高的温度分布。
在一些实施方案中,反应物液体可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一种情况中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一情况中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。应当理解,较小的液滴可比较大的液滴更快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点603下游的管道长度。在一种情况中,液体喷射器可以直接装载到混合容器604。在另一情况中,液体喷射器可以直接装载到喷头606。
在一些实施方案中,可以在汽化点603上游设置液体流控制器来控制用于汽化并输送至处理站600的液体的质量流量。例如,液体流控制器(LFC)可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号来调节LFC的柱塞阀。然而,其可以采取一秒或更长时间来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,LFC可以通过禁用LFC的感测管道和PID控制器来从反馈控制模式动态切换到直接控制模式。
喷头606朝衬底612分配处理气体。在图6所示的实施方案中,衬底612位于喷头606下方,并且示出为安置在基座608上。应当理解,喷头606可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底612。
在一些实施方案中,微体积607位于喷头606下方。在微体积中而不是在处理站的整个体积中执行ALD和/或CVD处理可以减少反应物暴露和清扫时间,可以减少改变处理条件(例如,压强、温度等)的时间,可以限制处理站机械手对处理气体的暴露等。示例性的微体积大小包括但不限于0.1升和2升之间的容积。这个微量体积也影响生产力吞吐量。当每个循环的沉积速率下降时,循环时间也同时减少。在某些情况下,对于给定的目标膜厚度,循环时间减少的效果足够显著以提高模块的总体产量。
在一些实施方案中,可以升高或降低基座608以使衬底612暴露于微体积607和/或改变微体积607的体积。例如,在衬底传送阶段中,可以降低基座608以使得衬底612能被加载在基座608上。在沉积处理阶段期间,可以升高基座608以将衬底612定位在微体积607内。在一些实施方案中,微体积607可以完全包围衬底612以及基座608的一部分以在沉积处理期间形成高流阻抗的区域。
任选地,基座608可以在沉积处理的部分期间降低和/或升高,以调节微体积607内的处理压强、反应物浓度等。在一种使处理室主体602在沉积处理期间保持在基础压强下的情况下,降低基座608可以使得微体积607能被抽空。微量体积与处理室体积的示例性比率包括但不限于1:600和1:10之间的体积比。应当理解,在一些实施方案中,可以通过合适的计算机控制器以编程方式调整基座高度。
在另一情形中,调整基座608的高度可以使得在沉积处理中包含的等离子体启动和/或处理循环期间改变等离子体密度。在沉积处理阶段结束时,基座608可以在另一衬底转移阶段期间降低,以使得能从基座608移除衬底612。
尽管这里描述的示例性微体积变化涉及高度可调节的基座,但是应当理解,在一些实施方案中,喷头606的位置可以相对于基座608调节以改变微体积607的体积。此外,应当理解的是,基座608和/或喷头606的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座608可包括用于使衬底612的方位旋转的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器以编程方式执行。
回到图6所示的实施方案,喷头606和基座608与RF功率源614和匹配网络616电通信以用于对等离子体供电。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源614和匹配网络616可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例被包含在上文中。类似地,RF功率源614可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源614可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可包括但不限于,介于50kHz和600kHz之间的频率。示例性的高频RF频率可包括但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。在一个非限制性示例中,相对于被连续供电的等离子体,可对等离子体功率间歇地施以脉冲,以减少对衬底表面的离子轰击。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情况中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它处理特性。这样的监控器可包括但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体处理阶段的等离子体条件的指令可被包含在沉积处理配方的相应的等离子体激活配方阶段中。在一些情况下,处理配方阶段可按顺序排列,使得用于沉积处理阶段的所有指令与该处理阶段同时执行。在一些实施方案中,用于设置一个或多个等离子体参数的指令可以包括在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可以包括用于设定惰性气体和/或反应物气体的流速的指令,用于将等离子体产生器设定到功率设定点的指令,以及用于第一配方阶段的时间延迟指令。后续的第二配方阶段可以包括用于启用等离子体产生器的指令和用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于禁用等离子体产生器的指令和用于第三配方阶段的时间延迟指令。应当理解,可以在本公开的范围内以任何合适的方式进一步细分和/或迭代这些配方阶段。
在一些沉积处理中,等离子体激励持续时间为几秒或更长。在某些实现方式中,可以使用更短时间的等离子体激励。这些可以是约10ms到1秒,通常是约20到80ms,其中50ms是特定示例。这种非常短的RF等离子体激励需要非常快速地稳定等离子体。为了实现这一点,可以配置等离子体发生器,使得阻抗匹配被设置预设为特定电压,同时允许频率浮动。通常,在约13.56MHz的RF频率下产生高频等离子体。在本文公开的各种实施方案中,允许频率浮动到与该标准值不同的值。通过允许频率浮动同时将阻抗匹配固定到预定电压,等离子体可以更快地稳定,这在使用与某些类型的沉积循环相关联的非常短的等离子体激励时可能是重要的。
在一些实施方案中,基座608可以通过加热器610进行温度控制。此外,在一些实施方案中,沉积处理站600的压力控制可以由蝶形阀618提供。如图6的实施方案所示,蝶形阀618节流由下游真空泵(未示出)提供的真空。然而,在一些实施方案中,还可以通过改变引入处理站600的一种或多种气体的流速来调节处理站600的压力控制。
图7示出了多站式处理工具700的实施方案的示意图,其具有入站装载锁702和出站装载锁704,其一者或者两者可以包含远程等离子体源。在大气压下,机械手706被配置为将晶片从通过晶舟708装载的盒经由大气端口710移动至入站装载锁702内。晶片由机械手706放置在入站装载锁702中的基座712上,关闭大气端口710,且抽空装载锁。当入站装载锁702包含远程等离子体源时,晶片在被引入处理室714之前,可以暴露于装载锁中的远程等离子体处理。此外,晶片另外也可以在入站装载锁702中加热,例如以移除湿气和吸附的气体。接下来,通向处理室714的室传输端口716被打开,且另一个机械手(未示出)将晶片放置到反应器中在所述反应器中所示的第一站的基座上以用于处理。尽管图4所示的实施方案包括装载锁,但是应当理解,在一些实施方案中,可以使晶片直接进入处理站。
绘出的处理室714包含4个处理站,图7所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为718)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。虽然绘出的处理室714包含4个站,但应理解,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或更多个站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图7还绘出了用于在处理室714内传输晶片的晶片搬运系统790的实施方案。在一些实施方案中,晶片搬运系统790可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图7还绘出了用于控制处理工具700的处理条件和硬件状态的系统控制器750的实施方案。系统控制器750可以包含一个或多个存储器设备756、一个或多个海量存储设备754和一个或多个处理器752。处理器752可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器750控制处理工具700的所有活动。系统控制器750执行存储在海量存储设备754、载入存储器设备756、并在处理器752上执行的系统控制软件758。系统控制软件758可以包含用于控制时序、气体的混合、室和/或站压强、室和/或站温度、吹扫条件和时序、晶片温度、RF功率等级、RF频率、衬底、基座、卡盘和/或基座位置、以及由处理工具700执行的特定处理的其它参数的指令。系统控制软件758可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制根据所公开的方法执行各种处理工具处理所必需的处理工具组件的操作。系统控制软件758可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件758可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,PEALD处理的每个阶段可以包括用于由系统控制器750执行的一个或多个指令。用于设置PEALD处理阶段的处理条件的指令可以包括在相应的PEALD配方阶段中。在一些实施方案中,可以顺序地布置PEALD配方阶段,使得PEALD处理阶段的所有指令与该处理阶段同时执行。
在一些实施方案中可以采用存储在与系统控制器750关联的海量存储设备754和/或存储器设备756上的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座718,并控制衬底和处理工具700的其它部分之间的间隔。
处理气体控制程序可以包括用于控制气体组分和流速以及可选地用于在沉积之前使气体流入一个或多个处理站以便稳定处理站中的压强的代码。处理气体控制程序可包括用于控制任何公开范围内的气体组成和流速的代码。压力控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。压力控制程序可以包括用于将处理站中的压强保持在任何所公开的压强范围内的代码。
加热器控制程序可以包括用于控制流到加热单元的电流的代码,该加热单元用于加热衬底。替代地,加热器控制程序可以控制传热气体(例如氦气)向衬底的传送。加热器控制程序可以包括将衬底的温度保持在任何公开范围内的指令。
等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率等级和频率的代码,例如使用本文公开的任何RF功率等级。等离子体控制程序还可以包括用于控制每次等离子体暴露的持续时间的代码。
在一些实施方案中,可以存在与系统控制器750相关联的用户界面。用户界面可以包含显示屏、装置和/或处理条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器750调节的参数会涉及处理条件。非限制性示例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF功率水平、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器750的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具700的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性示例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
可以使用任何合适的室来实施所公开的实施方案。示例性沉积设备包括但不限于来自
Figure BDA0003676388330000161
产品系列、以及
Figure BDA0003676388330000162
产品系列的装置,或者各种其他可商购获得的处理系统中的任一种,其中
Figure BDA0003676388330000163
产品系列可从加利福尼亚州弗里蒙特的LamResearch Corp.获得。两个或更多个站可以执行相同的功能。类似地,两个或更多个站可以执行不同的功能。可以根据需要设计/配置每个站以执行特定的功能/方法。
图8是根据某些实施方案的适用于进行薄膜沉积处理的处理系统的框图。系统800包括传送模块803。传送模块803提供清洁、加压的环境,以最小化当正被处理的衬底在各个反应器模块之间移动时被污染的风险。根据某些实施方案,安装在传送模块803上的是两个多站式反应器809和810,每个反应器能够执行原子层沉积(ALD)和/或化学气相沉积(CVD)。反应器809和810可以包括多个站811、813、815和817,其可以根据所公开的实施方案顺序地或非顺序地执行操作。这些站可包括加热的基座或衬底支撑件、一个或多个气体入口或喷头或分散板。
安装在传送模块803上的还可以是一个或多个单站或多站式模块807,其能够执行等离子体或化学(非等离子体)预清洁,或者与所公开的方法有关的任何其他处理。在一些情况下,模块807可以用于各种处理,以例如制备用于沉积处理的衬底。模块807还可以被设计/配置为执行各种其他处理,例如蚀刻或抛光。系统800还包括一个或多个晶片源模块801,其中在处理之前和之后存储晶片。大气传送室819中的大气机械手(未示出)可以首先将晶片从源模块801移动到装载锁821。传送模块803中的晶片传送装置(通常是机械臂单元)将晶片从装载锁821移动到安装在传送模块803上的模块中以及在安装在传送模块803上的模块中。
在多种实施方案中,系统控制器829用于控制沉积过程中的处理条件。控制器829通常将包括一或多个存储器设备和一或多个处理器。处理器可包括CPU或计算器、模拟和/或数字输入/输出连接部、步进马达控制器板,等等。
控制器829可控制沉积装置的活动中的全部。系统控制器829执行系统控制软件,系统控制软件包括用于控制定时、气体的混合物、室压、室温、晶片温度、射频(RF)功率等级、晶片卡盘或基座位置以及特殊处理的其他参数的成组的指令。存储在与控制器829相关联的存储器设备的其他计算机程序可在一些实施方案中被采用。
通常会有与控制器829相关联的用户界面。用户界面可包括显示屏、该装置和/或处理条件的图形软件显示器以及诸如指点设备、键盘、触摸屏、话筒等用户输入设备。
系统控制逻辑可以用任何合适的方式来配置。一般而言,该逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件被提供。指令可通过“编程”提供。这种编程被理解为包括任何形式的逻辑,包括数字信号处理器、专用集成电路以及具有实现为硬件的具体算法的其他器件中的硬编码逻辑。编程还被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以任何合适的计算机可读编程语言进行编码。
用于控制含锗还原剂脉冲、氢气流和含钨前体脉冲以及处理序列中的其他处理的计算机程序代码可以任何常用计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其他编写。编译的目标代码或脚本由处理器执行以完成程序中所识别的任务。同样如所指示的,程序代码可以是硬编码的。
控制器参数与处理条件有关,诸如,例如处理气体组分和流率、温度、压强、冷却气压、衬底温度以及室壁温度。这些参数以配方的形式被提供给用户,且可利用用户界面输入。用于监控处理的信号可通过系统控制器829的模拟和/或数字输入连接被提供。用于控制处理的信号通过沉积装置800的模拟和数字输出连接被输出。
可以以许多不同方式设计或配置系统软件。例如,根据所公开的实施方案,可以编写各种室部件子程序或控制对象以控制执行沉积处理(以及在一些情况下的其他处理)所必需的室部件的操作。用于该目的的程序或程序段的示例包括衬底定位代码、处理气体控制代码、压力控制代码和加热器控制代码。
在一些实现方式中,控制器829是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件集成。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统的类型,控制器829可以被编程以控制本文公开的任何处理,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些系统中的射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方案中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些示例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的处理类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内处理的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清扫室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,控制器可以与下列中的一者或多者通信:其它工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具。

Claims (18)

1.一种方法,其包含:
提供具有间隙的衬底至处理室,所述衬底具有顶表面,其中所述间隙从所述顶表面凹陷;
执行多个等离子体增强原子层沉积(PEALD)循环,以利用第一介电膜填充所述间隙,其中所述第一介电膜通过所述间隙上方以及所述顶表面的所述平面上的第一凹痕来表征;以及
在所述处理室中,通过等离子体增强化学气相沉积(PECVD)处理而在所述第一介电膜上方沉积第二介电膜。
2.根据权利要求1所述的方法,其中所述第二介电膜填充所述第一凹痕,且包含比所述第一凹痕至少小10%的第二凹痕。
3.根据权利要求1所述的方法,其中所述第二介电膜填充所述第一凹痕,且包含比所述第一凹痕至少小20%的第二凹痕。
4.根据权利要求1所述的方法,其中所述第二介电膜填充所述第一凹痕,且包含比所述第一凹痕至少小30%的第二凹痕。
5.根据权利要求1到4中任一项所述的方法,其中所述第二介电膜的厚度介于在1到3微米之间。
6.根据权利要求1到5中任一项所述的方法,其中所述处理室是多站式处理室。
7.根据权利要求6所述的方法,其中所述多个PEALD循环是在所述多站式处理室中的一或多个第一站中执行,且所述PECVD处理是在所述多站式处理室的一或多个第二站中执行,所述第一站与所述第二站不同。
8.根据权利要求1到7中任一项所述的方法,其中在沉积所述第二介电膜之后,所述衬底已准备好进行化学机械平坦化(CMP)。
9.根据权利要求1到8中任一项所述的方法,其还包含执行CMP处理以形成平坦化表面,所述平坦化表面包含所述第一和第二介电膜中的一或两者。
10.一种方法,其包含:
提供具有间隙的衬底至处理室,所述衬底具有顶表面,其中所述间隙从所述顶表面凹陷;
执行多个原子层沉积(ALD)循环,以利用第一介电膜填充所述间隙,其中所述第一介电膜通过所述间隙上方以及所述顶表面的所述平面上的第一凹痕来表征;以及
在所述处理室中,通过化学气相沉积(CVD)处理而在所述第一介电膜上方沉积第二介电膜。
11.根据权利要求10所述的方法,其中所述第二介电膜填充所述第一凹痕,且包含比所述第一凹痕至少小10%的第二凹痕。
12.根据权利要求10所述的方法,其中所述第二介电膜填充所述第一凹痕,且包含比所述第一凹痕至少小20%的第二凹痕。
13.根据权利要求10所述的方法,其中所述第二介电膜填充所述第一凹痕,且包含比所述第一凹痕至少小30%的第二凹痕。
14.根据权利要求10到13中任一项所述的方法,其中所述第二介电膜的厚度介于在1到3微米之间。
15.根据权利要求9到14中任一项所述的方法,其中所述处理室是多站式处理室。
16.根据权利要求15所述的方法,其中所述多个PEALD循环是在所述多站式处理室中的一或多个第一站中执行,且所述PECVD处理是在所述多站式处理室的一或多个第二站中执行,所述第一站与所述第二站不同。
17.根据权利要求9到16中任一项所述的方法,其中在沉积所述第二介电膜之后,所述衬底已准备好进行化学机械平坦化(CMP)。
18.根据权利要求9到17中任一项所述的方法,其还包含执行CMP处理以形成平坦化表面,所述平坦化表面包含所述第一和第二介电膜中的一或两者。
CN202080083785.7A 2019-12-02 2020-12-01 原位pecvd覆盖层 Pending CN114761612A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962942654P 2019-12-02 2019-12-02
US62/942,654 2019-12-02
PCT/US2020/062738 WO2021113257A1 (en) 2019-12-02 2020-12-01 In-situ pecvd cap layer

Publications (1)

Publication Number Publication Date
CN114761612A true CN114761612A (zh) 2022-07-15

Family

ID=76221780

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080083785.7A Pending CN114761612A (zh) 2019-12-02 2020-12-01 原位pecvd覆盖层

Country Status (6)

Country Link
US (1) US20230002887A1 (zh)
JP (1) JP2023504257A (zh)
KR (1) KR20220109432A (zh)
CN (1) CN114761612A (zh)
TW (1) TW202135166A (zh)
WO (1) WO2021113257A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1856870A (zh) * 2003-09-23 2006-11-01 微米技术有限公司 使用高密度等离子体化学气相沉积填充缝隙的方法和沉积材料的方法
JP2009246365A (ja) * 2008-03-28 2009-10-22 Tokyo Electron Ltd 原子層堆積(ald)法及び化学気相成長(cvd)法を用いた高誘電率膜のその場ハイブリッド堆積
US20140134827A1 (en) * 2010-04-15 2014-05-15 Novellus Systems, Inc. Conformal film deposition for gapfill
CN104517892A (zh) * 2013-09-30 2015-04-15 朗姆研究公司 使用复合peald和pecvd方法的可变深宽比特征的间隙填充
US20160118246A1 (en) * 2010-04-15 2016-04-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite peald and pecvd method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8405135B2 (en) * 2010-10-05 2013-03-26 International Business Machines Corporation 3D via capacitor with a floating conductive plate for improved reliability
US10361112B2 (en) * 2017-06-29 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1856870A (zh) * 2003-09-23 2006-11-01 微米技术有限公司 使用高密度等离子体化学气相沉积填充缝隙的方法和沉积材料的方法
JP2009246365A (ja) * 2008-03-28 2009-10-22 Tokyo Electron Ltd 原子層堆積(ald)法及び化学気相成長(cvd)法を用いた高誘電率膜のその場ハイブリッド堆積
US20140134827A1 (en) * 2010-04-15 2014-05-15 Novellus Systems, Inc. Conformal film deposition for gapfill
US20160118246A1 (en) * 2010-04-15 2016-04-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite peald and pecvd method
CN104517892A (zh) * 2013-09-30 2015-04-15 朗姆研究公司 使用复合peald和pecvd方法的可变深宽比特征的间隙填充

Also Published As

Publication number Publication date
JP2023504257A (ja) 2023-02-02
KR20220109432A (ko) 2022-08-04
US20230002887A1 (en) 2023-01-05
WO2021113257A1 (en) 2021-06-10
TW202135166A (zh) 2021-09-16

Similar Documents

Publication Publication Date Title
CN111247269B (zh) 介电膜的几何选择性沉积
US10658172B2 (en) Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102621967B1 (ko) 센서티브 재료들 상에 할라이드 함유 ald 막을 집적하는 방법
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
US9892917B2 (en) Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
US9865455B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
KR20160035991A (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
CN112368804A (zh) 含金属硬掩模薄膜的选择性生长
TWI716432B (zh) 使半導體裝置中的膜密集化之方法
JP2023519849A (ja) シーム軽減、およびギャップ充填用一体化ライナー
US20230154754A1 (en) Loss prevention during atomic layer deposition
US20230002887A1 (en) In-situ pecvd cap layer
US20220384186A1 (en) Methods to enable seamless high quality gapfill
CN116137931A (zh) 减少半导体设备中的层内电容
TW202345205A (zh) 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法
TW202334475A (zh) 原子層沉積接縫減少
TW202409322A (zh) 橫向間隙填充
KR20240093990A (ko) 원자 층 증착 심 감소
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination