CN116137931A - 减少半导体设备中的层内电容 - Google Patents

减少半导体设备中的层内电容 Download PDF

Info

Publication number
CN116137931A
CN116137931A CN202180053425.7A CN202180053425A CN116137931A CN 116137931 A CN116137931 A CN 116137931A CN 202180053425 A CN202180053425 A CN 202180053425A CN 116137931 A CN116137931 A CN 116137931A
Authority
CN
China
Prior art keywords
gap
deposition
plasma
suppression
ald
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180053425.7A
Other languages
English (en)
Inventor
约瑟夫·R·阿贝尔
巴特·J·范施拉芬迪克
伊恩·约翰·科廷
道格拉斯·沃尔特·阿格纽
达斯汀·扎卡里·奥斯丁
阿维尼什·古普塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN116137931A publication Critical patent/CN116137931A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

公开了在孔洞和沟槽结构中形成气隙的方法。所述方法可用于形成埋设式孔隙,即,顶部低于相邻特征顶部的孔隙。所述方法包括对孔洞或沟槽结构的抑制以及在结构顶部处的选择性沉积,从而在结构内形成气隙。在一些实施方案中,所述方法用于减小半导体设备中的层内电容。

Description

减少半导体设备中的层内电容
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
空气为一种强电介质,其介电常数k接近1。可在半导体设备结构中形成气隙以提供将导体分隔的超低k材料。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本公开内容的一方面涉及一种方法,其包含:提供结构,所述结构包含特征和在所述特征之间的开口式的间隙,所述开口式的间隙包含侧壁和底表面且具有深度;以及执行一个或更多个抑制操作区段,每个抑制操作区段包含:
(a)使所述结构暴露于抑制处理,以抑制所述间隙的所述侧壁和底表面上的电介质沉积,以及
(b)选择性地在所述间隙的顶部附近沉积介电膜,而没有在所述间隙的底表面附近显著沉积。
在一些实施方案中,所述方法还包含:以沉积的介电材料封闭所述间隙,从而在所述特征之间形成封闭气隙。在一些实施方案中,所述间隙是在抑制操作区段期间被封闭。在一些实施方案中,所述方法还包含:在执行所述一个或更多个抑制操作区段之后,执行沉积以封闭所述间隙。
在一些实施方案中,所述方法还包含:在封闭所述间隙之前,执行钝化操作以从所述侧壁和/或所述底表面去除表面抑制物质。在一些这样的实施方案中,至少一个抑制操作区段包含钝化操作。在一些这样的实施方案中,所述钝化操作是在执行所述一个或更多个抑制操作区段之后执行。在一些实施方案中,所述钝化操作包含使所述结构暴露于氧等离子体。
在一些实施方案中,所述间隙被封闭,其中表面抑制物质保留在所述封闭气隙中。
在一些实施方案中,执行(b)而未在所述间隙的大部分区域中显著沉积。
在一些实施方案中,所述抑制处理包含卤素物质。
在一些实施方案中,所述方法还包含:在执行所述一个或更多个抑制操作区段之前,在所述侧壁和所述底表面上沉积保形介电层。在一些这样的实施方案中,暴露于所述抑制处理导致对所述保形介电层进行处理,使得抑制物质吸附于所述保形介电层上或与所述保形介电层反应。
在一些实施方案中,所述方法还包含:在封闭所述间隙之后,通过化学气相沉积在所述特征和所述间隙上方沉积介电覆盖层。在一些这样的实施方案中,在与执行所述抑制操作区段相同的室中沉积所述介电覆盖层。
在一些实施方案中,所述结构是经部分加工的3D NAND结构。在一些实施方案中,所述结构是经部分加工的DRAM结构。在一些实施方案中,所述特征是金属线。
在一些实施方案中,(b)包含原子层沉积(ALD)工艺。在一些这样的实施方案中,所述ALD工艺是等离子体增强的。在一些这样的实施方案中,所述ALD工艺是热(非等离子体)工艺。
在一些实施方案中,(b)包含化学气相沉积(CVD)工艺。在一些实施方案中,所述CVD工艺为热(非等离子体)工艺。在一些实施方案中,所述CVD工艺是等离子体增强的。
在一些实施方案中,封闭的间隙的顶部低于所述特征的顶部。在一些实施方案中,所述抑制操作区段还包含:在(a)与(b)之间,选择性地从所述间隙的顶部去除抑制效应。
这些和其它方面将在下面参照附图进行说明。
附图说明
图1a-1c为显示形成气隙的示例性方法的工艺流程图。
图2a-2h为在形成气隙的操作期间特征的横截面示意图。
图3a-3c为根据针对图1b描述的方法的工艺序列的示例。
图3d为根据针对图1c描述的方法的工艺序列的示例。
图4为作为形成气隙的方法的一部分实施的单一等离子体增强ALD循环的工艺流程图。
图5为可用于执行本文所述方法的原子层沉积(ALD)处理站的实施方案的示意图。
图6为可用于执行本文所述方法的多站处理工具的实施方案的示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实施所公开的实施方案。在其它情形下,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方案。虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是并不意在限制所公开的实施方案。
揭示在孔洞和沟槽结构中形成气隙的方法。这些方法可用于形成埋设式孔隙,即,顶部低于相邻特征顶部的孔隙。这些方法包括对孔洞或沟槽结构的抑制以及在结构顶部处的选择性沉积,从而在结构内形成气隙。图1a为显示形成气隙的示例性方法100的流程图。首先,在操作101中,提供了一种结构。该结构为孔洞或沟槽结构或其他结构,其中的气隙将在两个特征之间形成,这些特征可以是导电特征、介电特征、半导体特征等。如下文中进一步描述的,在某些实施方案中,这些方法将减小半导体设备中的层内电容。然而,它们也可用于在任何适当的情境下形成气隙,包括在金属膜或层中形成气隙以及在MEMS设备中形成气隙。
接着,材料在结构中的任选的保形沉积是在操作103中执行。该材料可与将会在后续操作中形成于结构顶部的材料相同或不同。该材料为一种在后续操作中沉积作用可受抑制的材料。例如,可通过暴露于氟和钨而抑制硅氧化物在硅氧化物上的沉积,并且可通过暴露于氮而抑制在金属上的其他金属沉积。
在某些实施方案中,如果需要设置气隙,除了操作103中的保形材料沉积之外、或者取代操作103中的保形材料沉积,还可执行自下而上(非保形)的沉积。例如,如果气隙底部被设计为比结构底部高
Figure BDA0004100038070000041
则可在底部处沉积/>
Figure BDA0004100038070000042
接着,在操作105中,执行抑制处理以抑制整个结构深度的沉积。抑制处理可以是等离子体或热(非等离子体)处理。示例包括将结构暴露于含有抑制剂物质的等离子体或非等离子体气体。抑制剂物质的示例包括氮物质、卤素物质、以及氢物质。然而,可使用吸附于表面上、与表面反应、或与表面相互作用以将其钝化并抑制后续沉积作用的任何物质。如果使用等离子体,则其可以是远程或原位等离子体。
一旦结构受抑制,即可在操作107中去除结构顶部处的抑制效应。可通过控制去除的深度而控制气隙的深度。去除可涉及暴露于与抑制物质进行反应的反应性物质。在某些实施方案中,可使用等离子体以去除顶部处的抑制物质。可控制等离子体方向性以仅撞击结构的顶部。可使用随间隙深度而充分衰减的任何反应性物质。这可涉及自由基物质(其可以在远程等离子体产生器中产生)、或非常稀的热化学品。在某些实施方案中,操作107为后续沉积操作109的一部分。下文中针对图1b和1c而描述这些实施方案的示例。在这些实施方案中,沉积化学品还用作去除化学品。然而,去除可以是独立的操作。
接着,在操作109中,将材料选择性地沉积在结构的顶部。可使用任何表面选择性沉积,包括原子层沉积(ALD)和化学气相沉积(CVD)。ALD或CVD操作可为热增强或等离子体增强的。材料选择性地沉积在结构顶部处的未经抑制的区域上。
对于某些沉积和抑制化学品而言,抑制效应可能会消逝。因此,在操作111中,可根据需要而重复进行操作105-109,直到足够的材料沉积在结构顶部以将其封闭为止。连续的抑制操作可以是相同的或不同的。例如,如果来自先前抑制操作的一些抑制效应仍然存在,则第二次抑制操作会是较短的。类似地,去除和/或选择性沉积操作可以是相同的或不同的。
下文中提供形成气隙以减小层内电容的示例。在某些实施方案中,这些方法包括利用间歇抑制处理进行电介质的沉积。电介质选择性地沉积在结构的顶部,从而在结构内形成气隙。首先,在图1b中,提供使用ALD形成气隙的示例,并且参照图1c而描述使用热CVD形成气隙的示例。
图1b为显示形成气隙的示例性方法120的流程图。首先,在操作121中,提供了一种结构。该结构为孔洞或沟槽结构或其他结构,其中气隙将在两个特征之间形成,这些特征可以是互联件、导线、或其他导电特征。也可以在特征之间由介电膜覆盖的气隙有用的任何情境中实施该方法。结构的示例包括3D NAND结构(例如狭缝)、DRAM结构(例如位线结构)、后段制程(BEOL)中的金属线、逻辑门等。这些结构的特征在于具有两个以上的相邻特征,且这些特征之间具有未填充的间隙。在许多实施方案中,这些特征是导电特征,且待形成的气隙提供极低k电介质并减小寄生电容。然而,特征的侧壁表面可以是任何材料,包括导电表面、介电表面、或半导体表面或其组合。例如,该结构可以是涂覆有碳化硅(SiC)膜的铜(Cu)线。该结构的尺寸还将会取决于特定应用。例如,输入的DRAM结构可能具有宽度为25–50nm且深度为300–800nm的间隙,而输入的3D NAND结构可能为50–100nm宽且5–8微米深。然而,该方法不限于任何特定的结构尺寸、特征组成、或侧壁表面。在某些实施方案中,其可以在不难实施其他形成气隙的技术(例如牺牲性材料的沉积和去除)的应用中实施。该结构通常被提供至沉积室。
在操作123中执行一个或更多ALD循环以沉积介电材料。ALD是一种按顺序沉积薄层材料的技术。ALD工艺使用表面介导的沉积反应以在一层接一层的基础上循环地沉积膜。举例而言,ALD循环可包括下列操作:(i)前体的输送/吸附、(ii)从室中清扫掉前体、(iii)输送第二反应物和任选的等离子体点燃、以及(iv)从室中清扫掉副产物。第二反应物与吸附的前体之间在衬底表面上形成膜的反应会影响膜的组成和性质,例如不均匀性、应力、湿式蚀刻速率、干式蚀刻速率、电气性能(例如击穿电压和漏电流)等。
在ALD工艺的一示例中,使包含表面活性位点群的衬底表面暴露于被供应至容纳衬底的室的配料中的第一前体(例如含硅前体)的气相分布。第一前体的分子被吸附于衬底表面上,包括第一前体的化学吸附物质和/或物理吸附分子。应理解,当化合物如本文所述地吸附于衬底表面上时,吸附层可包括该化合物以及该化合物的衍生物。举例来说,含硅前体的吸附层可包括该含硅前体以及该含硅前体的衍生物。在第一前体配料后,接着将室抽空以去除大部分或全部仍呈气相的第一前体,使得大部分或仅有吸附的物质保留。在某些实施方案中,室可未被完全排空。举例来说,可将反应器排空,致使呈气相的第一前体的分压低至足以减轻反应。将第二反应物(例如含氧气体)导入室,使得这些分子中的一些分子与吸附在表面上的第一前体反应。在某些工艺中,第二反应物立即与吸附的第一前体进行反应。在其他实施方案中,第二反应物仅在暂时施加例如等离子体之类的活化源的情况下反应。接着可再次将室抽空,以去除未结合的第二反应物分子。如以上所述,在某些实施方案中,可不将室完全抽空。可使用额外的ALD循环以建立膜厚度。下文中针对图4进一步描述ALD工艺。
在操作123中,介电膜是相对于被抑制表面而选择性地沉积在未经抑制表面上。在初始ALD操作中,可能没有被抑制的表面,使得介电膜在整个间隙中被保形地沉积。可利用介电膜的初始层来保护下伏的特征/衬底以免于由后续抑制操作所引起的可能损害。初始层的厚度可取决于特征尺寸(例如,与DRAM结构中相比,较厚的初始层可用于3D NAND结构中的较大的特征尺寸)。在一些实施方案中,厚度至少为
Figure BDA0004100038070000061
或约至少60个ALD循环。初始层的厚度也可用于在间隙中实现期望的空气体积百分率。
在其他实施方案中,可在操作123的初始迭代之前执行抑制操作以使得介电膜选择性地沉积在结构的顶部处,如同在后续的迭代中一样。执行一个或更多ALD循环以在未被抑制的表面上沉积介电膜的薄层。
接着,在操作125中,执行抑制操作以抑制整个结构深度的沉积。抑制剂物质的示例包括氮物质、卤素物质、以及氢物质。然而,可以使用吸附于表面上、与表面反应、或与表面相互作用以将其钝化并抑制后续沉积作用的任何物质。在一些实施方案中,操作125为等离子体抑制。在等离子体抑制期间,该结构被暴露于由抑制剂气体产生的等离子体以形成抑制剂物质。抑制剂气体的示例包括含氮气体、含卤素气体、以及含氢气体。特定示例包括三氟化氮(NF3)、分子氮(N2)、分子氢(H2)、氨(NH3)、胺、二醇、二胺、胺醇、硫醇、或其组合。在一些实施方案中,该气体为含氟气体,例如NF3、CHxFy(其中x+y=4且y为大于或等于1的整数)、以及六氟化硫(SF6)。在一些实施方案中,可通过使该结构在抑制化学品与表面反应的条件下暴露于包含该抑制化学品的气体而执行热抑制工艺。
在一些实施方案中,抑制等离子体由含卤素气体产生。示例包括NF3、CH3F、CH2F2、CHF3、CF4、SF6、CH3Cl、CH2Cl2、CHCl3以及CCl4。这导致遍及整个间隙深度的-F、-Cl、或其他卤素封端的表面,从而使得表面钝化并抑制后续的沉积。含卤素的气体可以是流至室或其他等离子体生成空间的总体积流量的约0.5%–10%、1–5%、或约2%,而其余流量为惰性气体,例如N2、Ar、以及He。
操作127为任选的操作,其用于选择性地去除结构顶部处的抑制剂。在一些实施方案中,不执行操作127,而在随后的ALD期间去除抑制剂。例如,在PEALD期间可通过使用高功率等离子体而去除抑制剂。在未使用高功率ALD的某些实施方案中,可在ALD之前执行操作127以选择性地去除结构顶部处的抑制剂。在一些实施方案中,操作127可涉及使用由例如O2、Ar、或He之类的气体产生的等离子体的原位惰性等离子体暴露。示例性暴露时间是在0.1秒至10秒的范围内,示例性流率是在0.2slm至10slm的范围内,示例性室压强是在1T至10T的范围内,且示例性RF功率是在200W至2kW的范围内。在一些实施方案中,热抑制剂去除操作可通过在类似条件下使诸如O2或SiH4之类的气体流动而不点燃等离子体的方式执行。上文中针对图1a而描述其他示例。该操作的确切条件取决于所使用的抑制剂的类型及待去除的抑制剂深度。
在操作129中,重复进行操作123–127以选择性地在间隙顶部处沉积介电膜。在一些实施方案中,在操作129中,操作127被省略,其中抑制效应在每次ALD操作123期间被优先从间隙顶部移除,同时保留在间隙的其余部分中。等离子体中的离子优先接触间隙顶部并从间隙顶部去除抑制剂。在一些实施方案中,可通过针对ALD使用相对高功率的等离子体以增加优先性。例如,对于各自处理300mm晶片的4个工作站而言,等离子体功率可介于5–6kW之间,相比之下用于保形沉积的ALD处理为1–3kW。在ALD操作期间,介电材料选择性地沉积在不再受到抑制的间隙顶部。在连续迭代之后,足够的膜被选择性地沉积而封闭间隙,留下特征的其余部分未被填充。在沉积氧化物的实施方案中(其中氧等离子体或热氧用作反应物之一),可执行从结构顶部去除抑制剂的操作。在其他实施方案中,例如当介电膜为氮化物时,在ALD处理期间暴露于H2可去除抑制剂(如果有使用)、或者可执行操作127。
图1c为显示形成气隙的示例性方法130的流程图。在操作131中提供结构,其中结构的示例参照图1b的操作121描述。该结构通常被提供至沉积室。在操作133中,执行一个或更多ALD循环以沉积介电材料的保形层。在一些实施方案中,可将操作133省略。
接着,在操作135中,执行抑制操作以抑制整个结构深度的沉积,其可如上文中分别参照图1a和1b的操作105和125所描述的那样执行。
如同图1b中的操作127,操作137为任选的操作,其用于选择性地去除结构顶部处的抑制剂。在一些实施方案中,不执行操作137,而在随后的CVD期间去除抑制剂。在一些实施方案中,如果CVD期间的沉积化学并未去除抑制物质,则可在CVD之前执行操作137以选择性地去除结构顶部处的抑制剂。在一些实施方案中,操作127可涉及使用由诸如O2、Ar、或He之类的气体产生的等离子体的原位惰性等离子体暴露。示例性暴露时间在0.1秒至10秒的范围内,示例性流率在0.2slm至10slm的范围内,示例性室压强在1T至10T的范围内,且示例性RF功率在200W至2kW的范围内。在一些实施方案中,热抑制剂去除操作可通过在类似条件下使诸如O2或SiH4之类的气体流动而不点燃等离子体的方式执行。上文中针对图1a描述其他示例。该操作的确切条件取决于所使用的抑制剂的类型及待去除的抑制剂深度。
执行操作139以通过CVD选择性地在结构顶部处沉积介电材料。在CVD反应期间,电介质前体和共反应物可在用于反应的室中共流或至少重迭。下文中进一步提供电介质前体、共反应物、以及工艺条件的示例。
在操作141中,重复进行操作135-139以选择性地在间隙顶部处沉积介电膜。在一些实施方案中,在操作141中,操作137被省略,其中抑制效应在后续CVD操作139期间优先从间隙顶部被移除,同时保留在间隙的其余部分中。例如,可通过暴露于氧(O2)或氧/氢(O2/H2)而去除氟抑制剂物质。
可通过操作135和139(和/或操作137,如果执行的话)的长度而控制沉积深度。例如,针对固定的CVD时间(例如,单次的操作139为2秒),可通过改变抑制时间来调整所沉积的介电材料的深度。例如,针对较长的抑制时间(例如,单一次的方块135为2秒),介电材料仅会沉积在顶部。减少抑制时间(例如,减少到1秒)可能导致在结构的极顶部有一些侧壁沉积,从而形成头盔形的轮廓,其中沉积随着抑制时间的减少(例如,减少到0.2秒)而增加。针对固定的抑制时间(例如2秒),沉积深度可通过CVD时间来进行调整。例如,2秒的沉积可能仅在顶部处沉积,而将CVD时间增加到4秒会在结构的极顶部处的侧壁上沉积(头盔形)等。
图2a显示了一种结构的示例,该结构包括位于衬底201上的特征205和间隙206。衬底201可以是硅或其他半导体晶片,例如200-mm晶片、300-mm晶片、或450-mm晶片,其包括上面沉积有一或多层材料(例如介电材料、导电材料、或半导体材料)的晶片。这些方法也可用于在其他衬底(例如玻璃、塑料等)上形成具有气隙的结构,包括在微机电(MEMS)设备的工艺中应用。
图2b显示了包含在整个间隙206保形沉积的薄膜207的结构的示例。这可以是例如在操作103中的一组初始ALD沉积循环之后的结构。图2c显示了包含在整个间隙206保形设置的经抑制的薄膜209的结构的示例。例如,这可以是在操作105中的初始抑制处理之后的结构。如图2b所示的沉积的薄膜现在可具有例如表面氟物质,其抑制后续的沉积。图2d显示了该结构的示例,其中间隙206的顶部处的抑制效应被去除,留下未经抑制的表面211和遍及大部分间隙206保留的被抑制的薄膜209。这可以是图1a中的操作111的一个或若干个迭代之后的结构。例如,其可以是在图1b中的第二个迭代操作125中若干ALD循环之后的结构或操作127中去除抑制效应之后的结构、或者在图1c中的操作135-139的若干循环之后的结构。显著的沉积作用尚未发生,但从特征和间隙206的顶部去除抑制效应。图2e显示了在间隙206的顶部处沉积大量介电材料213之后的结构的示例。被抑制的薄膜209保留遍及间隙206的大部分深度。在该阶段,间隙206尚未被介电材料213封闭。这可以是多次重复进行图1a中的操作111、图1b中的操作129、或图1c中的操作141之后的结构。根据各种实施方案,间隙中的抑制物质可能有或没有在间隙封闭之前被去除。图2f显示了在间隙206被介电材料213封闭而形成气隙215之后的结构。
在形成气隙之后,可执行各种操作。在一些实施方案中,例如,可在介电材料213上方沉积覆盖层。在一些实施方案中,可通过等离子体增强化学气相沉积(PECVD)来沉积介电膜。图2g显示了具有如上所述地形成的气隙的结构,该结构具有沉积在介电材料213上方的介电PECVD膜217。因ALD沉积而在介电材料213中于气隙215上方居中产生的凹部219被平滑化。虽然图2g中未示出,但介电PECVD膜217可包括在凹部219上方居中的凹部,但其高度与介电材料213中的高度相比可减小例如至少20%–90%。在蚀刻和CMP步骤期间,深凹部可能导致从结构顶部去除的材料数量不均匀,从而导致从填充特征去除过多材料,进而产生会影响设备性能的缺陷。通过沉积覆盖层(例如介电PECVD膜217),确保在后续的平坦化期间,间隙保持被填充的,并且产生平坦化的介电膜221,如图2h所示。
在其他实施方案中,可通过热CVD沉积覆盖层。通过CVD(PECVD或热CVD)进行沉积可允许快速沉积厚膜,其优先填充凹部,导致更为平坦的膜,从而减少后续处理中产生缺陷的频率。在一些操作中,CVD操作与抑制和ALD操作在同一室中执行。这大幅减少了传送操作和处理工具的数量。覆盖层的示例性厚度在1-3
Figure BDA0004100038070000101
的范围内。在一些实施方案中,可沉积较薄(例如/>
Figure BDA0004100038070000102
)的层。
所形成的气隙可占据在沉积之前输入的间隙的大部分体积,例如,原始间隙的至少60%、至少70%、至少80%、或至少90%。这也可被表征为空气所占体积相对于这些特征之间空气和固体材料所占总体积的百分比。
例如,在3D NAND结构中,新增(incoming)间隙(例如,狭缝或存储器孔洞)可介于50–100nm宽和5–8微米深。可沉积介电材料达大约500nm的深度,在其下方存在空隙(填充有空气)。可在特征的平面上方沉积约500nm的介电材料。在DRAM结构中新增间隙可以是25-50nm宽和300-800nm深。可沉积介电材料达大约30-50nm的深度,在其下方存在空隙。
虽然此处的描述涉及空气间隙,但此处所述的方法也可用于通过在适当环境中执行该方法而在特征之间形成被任何气体占据的间隙。
为了本文献的目的,“靠近”特征顶部、靠近结构顶部、或靠近间隙顶部表示间隙中的位于从形成间隙侧壁的特征的顶部开始竖直测量的总深度的25%以内或10%以内的区域。“靠近”特征底部表示间隙中的位于从特征底部开始竖直测量的总深度的25%以内或10%以内的区域。
图3a-3c为根据上文中相对于图1b描述的方法的工艺序列的示例。在某些实施方案中可省略某些操作(例如,ALD衬垫沉积),并且在某些实施方案中可使用未描绘的其他操作(例如,浸泡)。在图3a-3c的示例性工艺序列中,处理一个或更多晶片以形成气隙。图3a-3c中的每一者中的工艺序列显示ALD衬垫(如图2b所示者)的沉积。在一些实施方案中,工艺可以在被提供至沉积室之后从浸泡开始。例如,这对于去除微粒或其他预处理会是有用的。接着,在一些实施方案中,可执行衬垫的ALD沉积循环。如果下伏的表面会受到抑制,则在一些实施方案中可不执行ALD衬垫沉积。如果执行,则衬垫的ALD沉积涉及n1个ALD循环,在下文中进一步描述ALD工艺。
在ALD衬垫沉积之后,执行n个抑制操作区段,其中显示出第一个抑制操作区段(n=1)的操作。第一个操作为抑制等离子体,其为表面处理。如上所述,该等离子体可包含卤素物质,其包括阴离子和自由基物质,例如F-、Cl-、I-、Br-、氟自由基等。可使用其他抑制等离子体,或者例如可使用由分子氮(N2)、分子氢(H2)、氨(NH3)、胺、二醇、二胺、胺醇、硫醇或其组合所产生的等离子体。抑制化学品取决于待沉积的材料。例如,氢可以是在硅氧化物的氟抑制处理之后的钝化等离子体,但对于其他的待沉积材料而言是用作抑制等离子体。
当抑制等离子体与特征中的材料相互作用时,归因于几何遮蔽效应(geometricalshadowing effects),使得在特征底部处的材料可能比位于较靠近特征顶部或在场域中的材料接受更少的等离子体处理。虽然这些效应在间隙填充应用中会是有用的,但在本文所述的气隙形成方法中,整个间隙经受抑制。为了实现该结果,抑制等离子体可以是相对较长期的。在一些实施方案中,例如,可使用约5秒至1分钟的抑制等离子体。等离子体持续时间取决于特定结构;例如,3D NAND结构有较长而曲折的路径来实现对整个间隙的抑制,并且可暴露于等离子体达10秒至1分钟。对于DRAM结构而言,可使用5秒至15秒的抑制时间。除了抑制等离子体持续时间之外,还可相对于优先对特征顶部作用的抑制来增大等离子体功率、增大抑制气体流率、以及降低压力。
因此,整个特征的沉积作用受到抑制。在图3a中,抑制操作区段中的下一个操作为n2个ALD循环。如上所述,在抑制操作区段的ALD操作期间使用高功率等离子体。抑制效应被选择性地从特征顶部去除,因此,介电材料被选择性地沉积在特征顶部。
抑制操作区段中的循环数n2可取决于抑制效应在结构顶部处消逝的速度。
示例包括10-30个循环,但应理解,其可以是更少或明显更多的循环。
在一些实施方案中,单一抑制操作区段可能是足够的。在其他实施方案中,可执行一个或更多个额外的抑制操作区段,以达到总共n个抑制操作区段。根据各种实施方案,对于不同的抑制操作区段而言,n2会是相同或不同的。可在抑制操作区段与抑制操作区段之间改变抑制等离子体和ALD条件以填充特征。
当特征接近封闭时,可能不再需要进行抑制,并且可利用n4个ALD循环封闭间隙而完成间隙。该操作期间的条件可更加相似于典型的ALD操作,例如,等离子体功率可小于在抑制操作区段期间的情况。在一些实施方案中,可在最后一个抑制操作区段期间封闭间隙,从而不执行单独的ALD操作。接着可如上述地沉积电介质的覆盖层或过覆层。
在图3a的示例中,并未从间隙移除表面抑制物质。在其他实施方案中,可执行操作以将其移除。图3b显示了有执行钝化操作的工艺序列。除了在执行所有抑制操作区段之后但在封闭间隙之前所执行的钝化操作以外,图3b中的序列与图3a中的序列相同。钝化是表面处理,其去除残留的抑制剂且还可以使沉积的膜致密化。在一些实施方案中,使用由氧(O2)产生的等离子体、由氢(H2)产生的等离子体、由H2/O2产生的等离子体、或由Ar/H2产生的等离子体。可使用利用这些气体的热处理。
图3c显示了有执行钝化操作的工艺序列的另一示例。在图3c的示例中,钝化操作是在每个抑制操作区段结束时执行,而非仅在处理结束时执行。
图4显示了用于单一等离子体增强ALD循环的工艺流程图,其可作为图1a中的操作103的一部分实施以选择性地沉积介电材料在未被抑制的表面上、和/或用作图3a-3c中所示的任何ALD操作的一部分实施。在操作402中,将衬底暴露于含硅前体,以使该前体吸附于特征的表面上。该操作可以是自限性的。在某些实施方案中,该前体吸附到少于该特征的表面上所有活性部位处。在操作404中,任选地清扫掉处理室以去除任何未吸附的含硅前体。在操作406中,将衬底暴露于由共反应物产生的等离子体。示例包括用于形成氧化硅层的O2和/或N2O、用于形成氮化硅层的N2或NH3等。在操作408中,任选性地清扫掉处理室以去除来自含硅前体与氧化剂间的反应的副产物。重复进行操作402至408多个循环以在特征中沉积含硅层达到所需厚度。
应注意,本文所述的工艺并不限于特定的反应机制。因此,相对于图4所描述的工艺包括使用按顺序暴露于含硅反应物和转化等离子体的所有沉积工艺,包括并非绝对自限性的工艺。该工艺包括其中用于产生等离子体的一种或更多种气体在整个处理期间持续流动且具有间歇性等离子体点燃的序列。
为了沉积硅氧化物,可使用一或更多含硅前体。根据所公开的实施方案适用的含硅前体包括聚硅烷(H3Si-(SiH2)n-SiH3),其中n>0。硅烷的示例为硅烷(SiH4)、乙硅烷(Si2H6)、和有机硅烷,例如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷(thexylsilane)、异戊基硅烷、叔丁基乙硅烷、二叔丁基乙硅烷等。
卤代硅烷包括至少一个卤素基,并且可以包括或可以不包括氢和/或碳基。卤代硅烷的示例是碘代硅烷、溴代硅烷、氯代硅烷、和氟代硅烷。具体的氯代硅烷是四氯硅烷、三氯硅烷、二氯硅烷、一氯硅烷、氯烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷等。
氨基硅烷包括键合至硅原子的至少一个氮原子,但是也可以含有氢、氧、卤素、和碳。氨基硅烷的示例是单、二、三、和四-氨基硅烷(分别为H3Si(NH2)、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4)以及取代的单、二、三、和四-氨基硅烷,例如叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷基胺、双(叔丁基氨基)硅烷、(SiH2(NHC(CH3)3)2(BTBAS)、叔丁基甲硅烷基氨基甲酸酯(tert-butyl silylcarbamate)、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3等。氨基硅烷的另一示例是三甲硅烷基胺(N(SiH3))。在某些实施方案中,可使用具有连接于中心硅原子的二或更多胺基的氨基硅烷。这些相较于仅有单一氨基连接的氨基硅烷会造成较少的损害。
含硅前体的进一步的示例包括三甲基硅烷(3MS);乙基硅烷;丁硅烷;戊硅烷;辛硅烷;庚硅烷;己硅烷;环丁硅烷;环庚硅烷;环己硅烷;环辛硅烷;环戊硅烷;1,4-二氧杂-2,3,5,6-四硅环己烷(1,4-dioxa-2,3,5,6-tetrasilacyclohexane);二乙氧基甲基硅烷(DEMS);二乙氧基硅烷(DES);二甲氧基甲基硅烷;二甲氧基硅烷(DMOS);甲基二乙氧基硅烷(MDES);甲基二甲氧基硅烷(MDMS);八甲氧基十二烷基硅氧烷(OMODDS);叔丁氧基二硅烷;四甲基环四硅氧烷(TMCTS);四氧甲基环四硅氧烷(TOMCTS);三乙氧基硅烷(TES);三乙氧基硅氧烷(TRIES);以及三甲氧基硅烷(TMS或TriMOS)。
如上所述,ALD工艺可用于任选地在结构顶部处沉积保形衬垫和介电材料。相同或不同的硅前体可用于后续电介质沉积的ALD衬垫。在某些实施方案中,用于结构顶部处的选择性沉积的等离子体功率比用于保形ALD衬垫的等离子体功率更高。在各种实施方案中,等离子体为原位等离子体,使得等离子体直接形成在衬底表面上方。在这些实施方案中,作为抑制操作区段的一部分或为封闭间隙而执行的ALD操作的示例性等离子体功率为1.7–2.2W/cm2。针对保形沉积而执行的ALD操作的示例性等离子体功率为0.3–1.1W/cm2
用于抑制操作的示例性等离子体功率可在0.20–1.1W/cm2或0.20–0.6W/cm2的范围内。
用于ALD和抑制操作的室压强可以为约1–20Torr、或1–10Torr。
图3a-3c中的工艺序列可针对间隙顶部处的CVD沉积而进行修改。例如,图3d为根据上文中针对图1c描述的方法的工艺序列的示例,并且与图3c中的工艺序列相似,差别在于使用CVD而非多个ALD循环。在某些实施方案中可省略某些操作(例如,ALD衬垫沉积),并且在某些实施方案中可使用未描绘的其他操作(例如,浸泡)。在CVD操作中,沉积前体(例如,含硅反应物)和共反应物两者可同时被输送至处理室,以在室中产生包含沉积前体和共反应物两者的处理环境。示例性的前体和共反应物在上文中提供。在一些实施方案中,沉积前体和共反应物的流动是在不同时间被打开,但至少存在一些沉积前体流动与反应物流动两者同时打开的期间,从而在处理室中产生包含沉积前体和共反应物两者的处理环境。可执行这些热CVD工艺持续任何合适的持续时间。如本文所述的这些操作的持续时间意指衬底暴露于包含沉积前体和反应物两者的处理环境的持续时间。示例性持续时间在0.1至10秒的范围内。
沉积速率可介于约
Figure BDA0004100038070000151
至约/>
Figure BDA0004100038070000152
之间或至少为约/>
Figure BDA0004100038070000153
在一些实施方案中,处理室的压强可介于约9Torr至约25Torr之间。气体流率取决于所使用的气体。在一些实施方案中,沉积前体以介于约1000sccm至约3000sccm之间的范围的流率流动,并且氧化剂以介于约2000sccm至约5000sccm之间的范围的流率流动。在一些实施方案中,氢以介于0sccm至约5000sccm之间的流率与氧化剂共流。在氢未共流的情况下,氢的流率为0sccm。在一些实施方案中,n3(抑制/CVD循环的数量)介于20至80之间,但这高度依赖于间隙尺寸。
使用PEALD和热CVD的处理的示例系在上文中提供。然而,应理解,可使用任何表面敏感沉积技术,包括热ALD、远程等离子体ALD、及PECVD。
装置
图5绘出了具有用于保持低压环境的处理室主体502的原子层沉积(ALD)处理站500的一个实施方案的示意图。多个ALD处理站500可以包含在通常低压处理工具环境中。例如,图5绘出了多站式处理工具500的一个实施方案。在一些实施方案中,ALD处理站500的一个或多个硬件参数(包含下文详细讨论的那些)可以由一个或多个控制器550以编程方式调节。
ALD处理站500与反应物输送系统501a流体连通,该输送系统501a用于将工艺气体输送至分配喷头506。反应物输送系统501a包含用于混合和/或调节工艺气体的混合容器504,以输送至喷头506。在一些实施方案中,例如如果与载气一起提供,则抑制剂气体可在导入室主体502之前被导入混合容器。在一些实施方案中,抑制剂或其他气体可直接被输送至室主体502。一个或更多个混合容器入口阀520可控制工艺气体导入至混合容器504。可根据在各种操作期间是否可打开反应气体、抑制剂气体、或载气而控制这些阀。在一些实施方案中,可通过使用抑制剂液体并且利用加热的汽化器进行汽化来产生抑制剂气体。
举例而言,图5的实施方案包含汽化点503,用于汽化将供应至混合容器504的液体反应物。在一些实施方案中,汽化点503可以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送管道凝结。不兼容气体暴露至凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间、降低处理站吞吐量。因此,在一些实施方案中,汽化点503下游的输送管道可以被热追踪。在一些示例中,混合容器504也可以被热追踪。在一个非限制性示例中,汽化点503下游的管道具有增大的温度分布,在混合容器704处从约100℃延伸至约150℃。
在一些实施方案中,液体前体或者液体反应物(例如含硅前体)可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一个实施方案中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点503下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器504。在另一个方案中,液体喷射器可以直接安装到喷头506上。
在一些实施方案中,可以在汽化点503上游设置液体流控制器(LFC)(未示出)来控制用于汽化并输送至处理站500的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,这可以通过禁用PID控制器和LFC的感测管道来进行。
喷头506将气体朝向衬底512分配。例如,在各种操作中,喷头506可将抑制剂气体分配至衬底512、将含硅前体气体分配至衬底512、或将清扫掉气体或载气分配至室主体502、将第二反应物分配至衬底512、或将钝化气体分配至衬底512。在图5所示的实施方案中,衬底512系位在喷头506下方、且系显示坐落于基座508上。喷头506可具有任何合适外形、且可具有任何合适数目及通口的配置,用于将工艺气体分布至衬底512。
在某些实施方案中,微容积位于喷头506下方。在处理站中的微容积(而非在整个容积)中实施所公开的实施方案可减少反应物暴露和清扫时间、可减少用于改变工艺条件(例如,压力、温度等)的时间、可限制处理站机械手暴露于工艺气体等等。示例性微容积尺寸包括但不限于0.1升至2升之间的容积。这也会影响产能。在某些实施方案中,所公开的实施方案并非在微容积中执行。
在某些实施方案中,可使基座508上升或下降,以使衬底512暴露于微容积507和/或改变微容积507的容积。举例来说,在衬底传送阶段,可使基座508上升以将衬底512定位于微容积507中。在某些实施方案中,微容积507可完全包围衬底512以及基座508的一部分以产生高流动阻抗区域。
任选地,可以在工艺的部分期间降低和/或上升基座508以调变微容积507内的工艺压力、反应物浓度等。在工艺期间处理室主体502维持在基础压力的情况下,降低基座508可容许微容积507被排空。微容积的容积对处理室的容积的示例性比例包括但不限于介于1:500与1:10之间的容积比例。应理解,在某些实施方案中,可通过合适的计算机控制器550而程序化地调整基座高度。
在另一情形中,在等离子体活化处理期间,调整基座508的高度可使得等离子体密度改变。例如,当抑制剂气体被导入室主体502时、或者当第二反应物流入室主体502时,等离子体可被活化。在一些实施方案中,在抑制剂气体的流动或第二反应物的流动期间可能不会活化等离子体。在该处理阶段结束后,可以在另一衬底传送阶段期间将基座508降低,以容许衬底512从基座508移除。
虽然此处描述的示例性微容积变化涉及高度可调节的基座508,但应理解,在一些实施方案中,可相对于基座508而调整喷头506的位置以改变微容积507的容积。此外,应理解,可通过本公开内容的范围内的任何合适机构来改变基座508和/或喷头506的竖直位置。在一些实施方案中,基座508可包含旋转轴,用于转动衬底512的方向。应理解,在一些实施方案中,可通过一个或更多个合适的控制器550而以编程方式执行这些示例调整中的一者或更多者。
用于ALD工艺的等离子体可通过使用两个电容式耦合板施加射频(RF)场至气体而产生。在两板之间通过RF场的气体电离来点燃等离子体,从而在等离子体放电区中产生自由电子。这些电子通过RF场来加速,并且可以与气相反应物分子发生碰撞。这些电子与反应物分子的碰撞可形成参与沉积工艺的自由基物质。应理解,RF场可经由任何合适的电极来耦合。电极的非限制性示例包括工艺气体分配喷头以及衬底支撑基座。应理解,用于ALD工艺的等离子体可通过使RF场电容耦合至气体之外的一种或更多种合适的方法来形成。在一些实施方案中,等离子体为远程等离子体,使得在站上游处的远程等离子体产生器中将第二反应物点燃,然后被输送至容纳衬底的站。
喷头506和基座508与射频(RF)功率源514和匹配网络516电通信,以便为等离子体供电。在一些实施方案中,可通过控制下列项中的一者或更多者来控制等离子体能量:处理站压力、气体浓度以及气体分压或气体流率、RF源功率、RF源频率、以及等离子体功率脉冲时序。例如,可以在任何适当功率下操作RF功率源514和匹配网络516,以形成具有期望离子能量的等离子体。合适的功率的示例被包含在上文中。同样,RF功率源514可提供任何合适频率的RF功率。在一些实施方案中,RF功率源514可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包含,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率,或大于约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应当理解,可离散地或连续地调节任何适当参数,以针对表面反应提供等离子体能量。在一个非限制性示例中,相对于连续供电的等离子体,可间歇地脉冲化等离子体功率以减少对衬底表面的离子轰击。
ALD处理站500也可用于CVD工艺。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器550的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时进行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可包含:用于设定惰性气体以及/或者反应物气体(例如第一前体,例如二硅烷)的流率的指令、用于设定载气(诸如氩)的流率的指令、以及用于第一配方阶段的时间延迟指令。随后的第二配方阶段可包含:用于调整或停止惰性气体以及/或者反应物气体的流率的指令、以及用于调整载气或清扫气体的流率的指令、以及用于第二配方阶段的时间延迟指令。第三配方阶段可以包含:针对第三配方阶段设定惰性气体、抑制剂气体、和/或反应物气体(其可以与第一配方阶段中所使用的气体相同或不同)的流动速率的指令、调节载气的流动速率的指令、以及时延指令。第四配方阶段可以包含:针对第四配方阶段调节或中止惰性和/或反应物气体(例如,第二反应物如氮气或含氮或含氧气体)的流动速率的指令、以及调节载体或清扫气体的流动速率的指令、以及时延指令。应理解,可以本公开内容的范围内的任何适当方式将这些配方阶段进一步细分及/或反复进行。
在某些实施方案中,基座508可通过加热器510来控制其温度。此外,在某些实施方案中,处理站500的压力控制可通过蝶阀518来提供。如图5的实施方案所示,蝶阀518对由下游真空泵(未图示)所提供的真空进行节流。然而,在某些实施方案中,处理站500的压力控制也可通过改变导入处理站500的一种或更多种气体的流率进行调整。
如上所述,一个或多个处理站可以包含在多站处理工具中。图6示出了多站式处理工具600的一个实施方案的概要视图,所述处理工具600具有入站装载锁602和出站装载锁604,两者之一或者该两者可以包含远程等离子体源。处于大气压的机械手606被配置为将晶片从通过晶舟608装载的盒经由大气端口610移动至入站装载锁602内。晶片由机械手606放置在入站装载锁602中的基座612上,关闭大气端口610,且抽空装载锁。当入站装载锁602包含远程等离子体源时,晶片可在被引入处理室614之前在装载锁中暴露于远程等离子体处理。此外,晶片另外也可以在入站装载锁602中加热,例如以移除湿气和吸附的气体。接下来,通向处理室614的室传输端口616被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图6中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
绘出的处理室614包含4个处理站,在图6所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为618)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。尽管绘出的处理室614包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图6绘出了用于在处理室614内传输晶片的晶片搬运系统690的一个实施方案。在一些实施方案中,晶片搬运系统690可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图6还绘出了用来控制多站处理工具600的工艺条件和硬件状态的系统控制器650的一个实施方案。系统控制器650可以包含一个或多个存储器设备656、一个或多个海量存储设备654和一个或多个处理器652。处理器652可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器650控制处理工具600的所有活动。系统控制器650执行存储在海量存储设备654、载入存储器设备656、并由处理器652执行的系统控制软件658。替代地,控制逻辑可以在控制器650中硬编码。特定应用集成电路、可编程逻辑设备(例如,现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件658可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具600进行的特定处理的其它参数的指令。系统控制软件658可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于进行各种处理工具处理的处理工具组件的操作。系统控制软件658可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件658可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与系统控制器650关联的、存储在海量存储设备654和/或存储器设备656的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座618,并且控制衬底和处理工具600的其它部分之间的间隔。
工艺气体控制程序可包含用于控制气体组成(例如,本文所述的诸如含硅前体气体、共反应物、抑制、钝化和清扫气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器650相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器850调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器650的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具600的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器650可以提供用于进行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作膜叠层的原位沉积。
系统控制器650将通常包含一个或多个存储器设备和被配置成进行指令的一个或多个处理器以使该装置将进行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器650。
在一些实现方案中,系统控制器650是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器850可以被编程以控制本文公开的任何工艺,包含控制处理气体和/或抑制剂气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器650可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或进行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器650的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统进行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方案中,系统控制器650可以是与系统集成、耦合或者说是通过网络连接系统、或它们的组合的计算机的一部分或者与该计算机耦合。例如,系统控制器650可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理或者开始新的工艺。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后被从远程计算机传送到系统。在一些示例中,系统控制器650接收数据形式的指令,该指令指明在一个或多个操作期间将要进行的每个处理步骤的参数。参数可以针对将要进行的工艺类型以及工具类型,系统控制器650被配置成连接或控制该工具类型。因此,如上所述,系统控制器650可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的一个示例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要进行的一个或多个工艺步骤,系统控制器850可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
本文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件(即,衬底)上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然上述实施方案已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方案的过程、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (34)

1.一种方法,其包含:
提供结构,所述结构包含特征和在所述特征之间的开口式的间隙,所述开口式的间隙包含侧壁和底表面且具有深度;以及
执行一个或更多个抑制操作区段,每个抑制操作区段包含:
(a)使所述结构暴露于抑制处理,以抑制所述间隙的所述侧壁和底表面上的电介质沉积,以及
(b)选择性地在所述间隙的顶部附近沉积介电膜,而没有在所述间隙的底表面附近显著沉积。
2.根据权利要求1所述的方法,其还包含:以沉积的介电材料封闭所述间隙,从而在所述特征之间形成封闭气隙。
3.根据权利要求2所述的方法,其中所述间隙是在抑制操作区段期间被封闭。
4.根据权利要求2所述的方法,其还包含:在执行所述一个或更多个抑制操作区段之后,执行沉积以封闭所述间隙。
5.根据权利要求1所述的方法,其还包含:在封闭所述间隙之前,执行钝化操作以从所述侧壁和/或所述底表面去除表面抑制物质。
6.根据权利要求5所述的方法,其中至少一个抑制操作区段包含钝化操作。
7.根据权利要求5所述的方法,其中所述钝化操作是在执行所述一个或更多个抑制操作区段之后执行。
8.根据权利要求5所述的方法,其中所述钝化操作包含使所述结构暴露于氧等离子体。
9.根据权利要求2所述的方法,其中所述间隙被封闭,其中表面抑制物质保留在所述封闭气隙中。
10.根据权利要求1所述的方法,其中,执行(b)而未在所述间隙的大部分区域中显著沉积。
11.根据权利要求1所述的方法,其中所述抑制处理包含卤素物质。
12.根据权利要求1所述的方法,其还包含:在执行所述一个或更多个抑制操作区段之前,在所述侧壁和所述底表面上沉积保形介电层。
13.根据权利要求12所述的方法,其中暴露于所述抑制处理导致对所述保形介电层进行处理,使得抑制物质吸附于所述保形介电层上或与所述保形介电层反应。
14.根据权利要求12所述的方法,其还包含:在封闭所述间隙之后,通过化学气相沉积在所述特征和所述间隙上方沉积介电覆盖层。
15.根据权利要求14所述的方法,其中,在与执行所述抑制操作区段相同的室中沉积所述介电覆盖层。
16.根据权利要求1所述的方法,其中所述结构是经部分加工的3DNAND结构。
17.根据权利要求1所述的方法,其中所述结构是经部分加工的DRAM结构。
18.根据权利要求1所述的方法,其中所述特征是金属线。
19.根据权利要求2所述的方法,其中所述封闭间隙的顶部低于所述特征的顶部。
20.根据权利要求1所述的方法,其中所述抑制操作区段还包含:在步骤(a)与步骤(b)之间,选择性地从所述间隙的顶部去除抑制效应。
21.根据权利要求1所述的方法,其中(b)包含原子层沉积(ALD)工艺。
22.根据权利要求21所述的方法,其中所述ALD工艺是等离子体增强的。
23.根据权利要求21所述的方法,其中所述ALD工艺是热(非等离子体)工艺。
24.根据权利要求1至18中任一项所述的方法,其中(b)包含化学气相沉积(CVD)工艺。
25.根据权利要求24所述的方法,其中所述CVD工艺为热(非等离子体)工艺。
26.根据权利要求24所述的方法,其中所述CVD工艺是等离子体增强的。
27.一种方法,其包含:
提供结构,所述结构包含特征和在所述特征之间的开口式的间隙,所述开口式的间隙包含侧壁和底表面且具有深度;以及
执行一个或更多个抑制操作区段,每个抑制操作区段包含:
(a)使所述结构暴露于抑制处理,以抑制所述间隙的所述侧壁和底表面上的电介质沉积,以及
(b)执行一个或更多个原子层沉积(ALD)循环,以选择性地在所述间隙的顶部附近沉积介电膜,而没有在所述间隙的底表面附近显著沉积。
28.根据权利要求27所述的方法,其还包含:以沉积的介电材料封闭所述间隙,从而在所述特征之间形成封闭气隙。
29.根据权利要求28所述的方法,其中所述间隙是在抑制操作区段期间被封闭。
30.根据权利要求28所述的方法,其还包含执行最后一组的一个或更多个ALD循环,其中所述间隙在所述最后一组的一个或更多个ALD循环期间被封闭。
31.一种方法,其包含:
提供结构,所述结构包含特征和在所述特征之间的开口式的间隙,所述开口式的间隙包含侧壁和底表面且具有深度;以及
执行一个或更多个抑制操作区段,每个抑制操作区段包含:
(a)使所述结构暴露于抑制处理,以抑制所述间隙的所述侧壁和底表面上的电介质沉积,以及
(b)执行化学气相沉积(CVD)操作,以选择性地在所述间隙的顶部附近沉积介电膜,而没有在所述间隙的底表面附近显著沉积。
32.根据权利要求31所述的方法,其还包含:以沉积的介电材料封闭所述间隙,从而在所述特征之间形成封闭气隙。
33.根据权利要求32所述的方法,其中所述间隙是在抑制操作区段期间被封闭。
34.根据权利要求32所述的方法,其还包含:在最后的抑制操作区段之后进行CVD沉积以封闭所述间隙。
CN202180053425.7A 2020-06-30 2021-06-28 减少半导体设备中的层内电容 Pending CN116137931A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062705506P 2020-06-30 2020-06-30
US62/705,506 2020-06-30
PCT/US2021/039444 WO2022006010A1 (en) 2020-06-30 2021-06-28 Reducing intralevel capacitance in semiconductor devices

Publications (1)

Publication Number Publication Date
CN116137931A true CN116137931A (zh) 2023-05-19

Family

ID=79315497

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180053425.7A Pending CN116137931A (zh) 2020-06-30 2021-06-28 减少半导体设备中的层内电容

Country Status (6)

Country Link
US (1) US20230307290A1 (zh)
JP (1) JP2023532118A (zh)
KR (1) KR20230029686A (zh)
CN (1) CN116137931A (zh)
TW (1) TW202218048A (zh)
WO (1) WO2022006010A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024091844A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Fluorine reduction is silicon-containing films

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100286126B1 (ko) * 1999-02-13 2001-03-15 윤종용 다층의 패시배이션막을 이용한 도전층 사이에 공기 공간을 형성하는 방법
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
US9396989B2 (en) * 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) * 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9349687B1 (en) * 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect

Also Published As

Publication number Publication date
TW202218048A (zh) 2022-05-01
US20230307290A1 (en) 2023-09-28
KR20230029686A (ko) 2023-03-03
JP2023532118A (ja) 2023-07-26
WO2022006010A1 (en) 2022-01-06

Similar Documents

Publication Publication Date Title
CN111247269B (zh) 介电膜的几何选择性沉积
CN111344857B (zh) 使用牺牲蚀刻盖层的高深宽比特征的介电间隙填充
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
US20230175117A1 (en) Seam mitigation and integrated liner for gap fill
US9786496B2 (en) Method of densifying films in semiconductor device
US20230154754A1 (en) Loss prevention during atomic layer deposition
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
US20230002887A1 (en) In-situ pecvd cap layer
WO2023178273A1 (en) Reducing capacitance in semiconductor devices
EP4367709A1 (en) Plasma enhanced atomic layer deposition of silicon-containing films
CN117616540A (zh) 含硅膜的等离子体增强型原子层沉积
TW202409322A (zh) 橫向間隙填充
TW202345205A (zh) 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法
WO2023159012A1 (en) High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer
WO2023164717A1 (en) Surface inhibition atomic layer deposition
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
WO2023114870A1 (en) High pressure plasma inhibition
WO2023205284A1 (en) Lateral gap fill
WO2023076524A1 (en) Atomic layer deposition seam reduction
WO2024091844A1 (en) Fluorine reduction is silicon-containing films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination