KR20230029686A - 반도체 장치들 내 인트라레벨 커패시턴스 감소 - Google Patents

반도체 장치들 내 인트라레벨 커패시턴스 감소 Download PDF

Info

Publication number
KR20230029686A
KR20230029686A KR1020227046062A KR20227046062A KR20230029686A KR 20230029686 A KR20230029686 A KR 20230029686A KR 1020227046062 A KR1020227046062 A KR 1020227046062A KR 20227046062 A KR20227046062 A KR 20227046062A KR 20230029686 A KR20230029686 A KR 20230029686A
Authority
KR
South Korea
Prior art keywords
gap
deposition
plasma
suppression
features
Prior art date
Application number
KR1020227046062A
Other languages
English (en)
Inventor
조셉 알. 아벨
바트 제이. 반 슈라벤디즈크
이안 존 커틴
더글라스 월터 애그뉴
더스틴 재커리 오스틴
어니쉬 굽타
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230029686A publication Critical patent/KR20230029686A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

홀 및 트렌치 구조체들 내에 에어 갭들 (air gaps) 을 형성하는 방법들이 개시된다. 방법들은 매립된 보이드들, 즉 상단부가 인접한 피처들의 상단부 아래에 있는 보이드들을 형성하도록 사용될 수도 있다. 방법들은 홀 또는 트렌치 구조체들의 억제 및 구조체들 내에 에어 갭을 형성하는 구조체의 상단부에서의 선택적인 증착을 포함한다. 일부 실시 예들에서, 방법들은 반도체 디바이스들에서 인트라레벨 커패시턴스 (intra-level capacitance) 를 감소시키는 것이다.

Description

반도체 디바이스들 내 인트라레벨 커패시턴스 감소
에어 (air) 는 유전 상수 k가 1에 가까운 강한 유전체이다. 에어 갭들 (air gaps) 은 울트라-로우-k (ultra-low-k) 재료 분리 도전체들을 제공하도록 반도체 디바이스 구조체들에 형성될 수도 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다.
본 개시의 일 양태는 피처들 및 피처들 사이에 개방된 갭을 포함하는 구조체를 제공하는 단계로서, 개방된 갭은 측벽 표면과 하단 표면을 포함하고 깊이를 갖는, 구조체 제공 단계; 및 하나 이상의 억제 블록들을 수행하는 단계를 포함하고, 억제 블록 각각은,
(a) 갭의 측벽 표면 및 하단 표면 상의 유전체 증착을 억제하도록 구조체를 억제 처리에 노출시키는 단계, 및 (b) 갭의 하단 표면 근방에 유의한 증착 없이 갭의 상단부 근방에 유전체 막을 선택적으로 증착하는 단계를 포함한다.
일부 실시 예들에서, 방법은 증착된 유전체 재료로 갭을 폐쇄하여, 피처들 사이에 폐쇄된 에어 갭 (air gap) 을 형성하는, 갭 폐쇄 단계를 더 포함한다. 일부 실시 예들에서, 갭은 억제 블록 동안 폐쇄된다. 일부 실시 예들에서, 방법은 하나 이상의 억제 블록들을 수행하는 단계 후, 갭을 폐쇄하기 위한 증착을 수행하는 단계를 포함한다.
일부 실시 예들에서, 방법은 갭을 폐쇄하기 전에 측벽 표면 및/또는 하단 표면으로부터 표면 억제 종을 제거하기 위해 패시베이션 동작을 수행하는 단계를 포함한다. 일부 이러한 실시 예들에서, 적어도 하나의 억제 블록은 패시베이션 동작을 포함한다. 일부 이러한 실시 예들에서, 패시베이션 동작은 하나 이상의 억제 블록들을 수행하는 단계 후 수행된다. 일부 실시 예들에서, 패시베이션 동작은 구조체를 산소 플라즈마에 노출시키는 단계를 포함한다.
일부 실시 예들에서, 갭은 폐쇄된 에어 갭 내에 남아 있는 표면 억제 종으로 폐쇄된다.
일부 실시 예들에서, 단계 (b) 는 대부분의 갭 내에서 유의한 증착 없이 수행된다.
일부 실시 예들에서, 억제 처리는 할로겐 종을 포함한다.
일부 실시 예들에서, 방법은 하나 이상의 억제 블록들을 수행하는 단계 전에 측벽 표면 및 하단 표면 상에 컨포멀한 (conformal) 유전체 층을 증착하는 단계를 더 포함한다. 일부 이러한 실시 예들에서, 억제 처리에 대한 노출은 억제 종이 컨포멀 유전체 층 상에 흡착되거나 컨포멀 유전체 층과 반응하도록 컨포멀 유전체 층 처리를 발생시킨다.
일부 실시 예들에서, 방법은 갭을 폐쇄한 후, 화학적 기상 증착 (chemical vapor deposition; CVD) 에 의해 피처들 및 갭 위에 유전체 캡 층 (dielectric cap layer) 을 증착하는 단계를 더 포함한다. 일부 이러한 실시 예들에서, 유전체 캡 층은 억제 블록들이 수행될 때 동일한 챔버 내에 증착된다.
일부 실시 예들에서, 구조체는 부분적으로 제조된 3D NAND 구조체이다. 일부 실시 예들에서, 구조체는 부분적으로 제조된 DRAM 구조체이다. 일부 실시 예들에서, 피처들은 금속 라인들이다.
일부 실시 예들에서, 단계 (b) 는 원자 층 증착 (atomic layer deposition; ALD) 프로세스를 포함한다. 일부 이러한 실시 예들에서, ALD 프로세스는 플라즈마-강화된다. 일부 이러한 실시 예들에서, ALD 프로세스는 열적 (비플라즈마 (non-plasma)) 프로세스이다.
일부 실시 예들에서, 단계 (b) 는 CVD 프로세스를 포함한다. 일부 실시 예들에서, CVD 프로세스는 열적 (비플라즈마) 프로세스이다. 일부 실시 예들에서, CVD 프로세스는 플라즈마-강화된다.
일부 실시 예들에서, 폐쇄된 갭의 상단부는 피처들의 상단부 아래에 있다. 일부 실시 예들에서, 억제 블록은 단계 (a) 와 단계 (b) 사이에 갭의 상단부로부터 억제를 선택적으로 제거하는 단계를 더 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1a 내지 도 1c는 에어 갭 (air gap) 을 형성하는 예시적인 방법들을 도시하는 프로세스 흐름도들이다.
도 2a 내지 도 2h는 에어 갭을 형성하는 동작들 동안 피처들의 단면 개략도들이다.
도 3a 내지 도 3c는 도 1b에 대해 기술된 방법들에 따른 프로세스 시퀀스들의 예들이다.
도 3d는 도 1c에 대해 기술된 방법들에 따른 프로세스 시퀀스의 일 예이다.
도 4는 에어 갭을 형성하는 방법의 일부로서 구현된 단일 플라즈마 강화된 원자 층 증착 (plasma enhanced atomic layer deposition; PEALD) 사이클에 대한 프로세스 흐름도이다.
도 5는 본 명세서에 기술된 방법들을 수행하도록 사용될 수도 있는 ALD 프로세스 스테이션의 실시 예의 개략적인 예시이다.
도 6은 본 명세서에 기술된 방법들을 수행하도록 사용될 수도 있는 멀티-스테이션 프로세싱 툴의 실시 예의 개략적인 예시이다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 개시된 실시 예들은 이들 구체적인 상세들 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
홀 및 트렌치 구조체들 내에 에어 갭들 (air gaps) 을 형성하는 방법들이 개시된다. 방법들은 매립된 보이드들, 즉 상단부가 인접한 피처들의 상단부 아래에 있는 보이드들을 형성하도록 사용될 수도 있다. 방법들은 홀 또는 트렌치 구조체들의 억제 및 구조체들 내에 에어 갭을 형성하는 구조체의 상단부에서의 선택적인 증착을 포함한다. 도 1a는 에어 갭을 형성하는 예시적인 방법 (100) 을 도시하는 흐름도이다. 먼저, 동작 (101) 에서, 구조체가 제공된다. 구조체는 에어 갭이 전도성 피처들, 유전체 피처들, 반도체 피처들, 등일 수 있는, 2 개의 피처들 사이에 형성되는 홀 또는 트렌치 구조체 또는 다른 구조체이다. 이하에 더 기술된 바와 같이, 일부 실시 예들에서, 방법들은 반도체 디바이스들에서 인트라레벨 커패시턴스 (intra-level capacitance) 를 감소시키는 것이다. 그러나, 이들은 또한 금속 막 또는 층들에 에어 갭들을 형성하고 MEMS (microelectromechanical) 디바이스들에 에어 갭들을 형성하는 것을 포함하여, 임의의 적절한 맥락에서 에어 갭들을 형성하도록 사용될 수도 있다.
다음에, 동작 (103) 에서 구조체 내에서 재료의 선택 가능한 (optional) 컨포멀한 (conformal) 증착이 수행된다. 재료는 후속 동작들에서 구조체의 상단부에 형성될 재료와 동일하거나 상이한 재료일 수도 있다. 재료는 후속 동작들에서 증착이 억제될 수 있는 재료이다. 예를 들어, 실리콘 옥사이드 증착은 불소 및 텅스텐에 노출함으로써 실리콘 옥사이드 상에서 억제될 수 있고 금속 상의 다른 금속 증착은 질소에 노출함으로써 억제될 수 있다.
일부 실시 예들에서, 동작 (103) 에서 컨포멀한 재료의 증착에 부가하거나 대신, 보텀-업 (bottom-up) (비컨포멀한 (non-conformal)) 증착이 에어 갭 배치 (placement) 를 위해 목표된다면 수행될 수도 있다. 예를 들어, 에어 갭 하단부가 구조체의 하단부 위로 100 Å이도록 설계되면, 100 Å이 하단부에 증착될 수도 있다.
다음에, 동작 (105) 에서 구조체의 깊이 전반에 걸쳐 (throughout) 증착을 억제하도록 억제 처리가 수행된다. 억제 처리는 플라즈마 또는 열적 (비플라즈마 (non-plasma)) 처리일 수도 있다. 예들은 억제제 종을 함유하는 플라즈마 또는 비플라즈마 가스에 구조체를 노출하는 것을 포함한다. 억제제 종의 예들은 질소 종, 할로겐 종 및 수소 종을 포함한다. 그러나, 표면을 패시베이팅하고 후속 증착을 억제하기 위해 표면 상에 흡착하거나, 표면과 반응하거나, 그렇지 않으면 표면과 상호 작용하는 임의의 종이 사용될 수도 있다. 플라즈마가 사용된다면, 이는 리모트 또는 인-시츄 (in-situ) 플라즈마일 수도 있다.
일단 구조체가 억제되면, 구조체의 상단부에서의 억제는 동작 (107) 에서 제거된다. 에어 갭의 깊이는 제거의 깊이를 제어함으로써 제어될 수 있다. 제거는 억제 종과 반응하는 반응성 종에 대한 노출을 수반할 수도 있다. 일부 실시 예들에서, 플라즈마는 상단부에서 억제 종을 제거하기 위해 사용될 수도 있다. 플라즈마 방향성은 구조체의 상단부에만 충돌하도록 (impinge) 제어될 수도 있다. 갭 깊이를 갖는 기능으로서 충분히 감쇠하는 임의의 반응성 종이 사용될 수도 있다. 이는 리모트 플라즈마 생성기에서 생성될 수도 있는 라디칼 종, 또는 매우 희석된 열적 화학 물질들을 수반할 수 있다. 일부 실시 예들에서, 동작 (107) 은 후속하는 증착 동작 (109) 의 일부이다. 이러한 실시 예의 예들은 도 1b 및 도 1c에 대해 이하에 기술된다. 이러한 실시 예들에서, 증착 화학 물질은 또한 제거 화학 물질로서 기능한다. 그러나, 제거는 별개의 동작일 수도 있다.
다음에, 재료는 동작 (109) 에서 구조체의 상단부에 선택적으로 증착된다. 원자 층 증착 (atomic layer deposition; ALD) 및 화학적 기상 증착 (chemical vapor deposition; CVD) 를 포함하는 임의의 표면-선택적 증착이 사용될 수도 있다. ALD 또는 CVD 동작은 열적 또는 플라즈마-강화될 수도 있다. 재료는 구조체의 상단부에서 비억제된 영역들 상에 선택적으로 증착된다.
일부 증착물들 및 억제 화학 물질에 대해, 억제는 마모될 수도 있다. 따라서, 동작 (111) 에서 구조체를 폐쇄하기 위해 구조체의 상단부에 충분한 재료가 증착될 때까지 필요에 따라 동작 (105 내지 109) 가 반복될 수도 있다. 연속적인 억제 동작들은 동일하거나 상이할 수도 있다. 예를 들어, 제 2 억제는 이전 억제로부터의 억제의 일부가 여전히 존재한다면 보다 짧을 수도 있다. 유사하게, 제거 및/또는 선택적인 증착은 동일하거나 상이할 수도 있다.
인트라레벨 커패시턴스를 감소시키기 위해 에어 갭들을 형성하는 예들이 이하에 제공된다. 일부 실시 예들에서, 방법들은 간헐적인 억제 처리들을 사용하여 유전체의 증착을 수행하는 단계를 포함한다. 유전체는 구조체들의 상단부에 선택적으로 증착되어 구조체들 내에 에어 갭을 형성한다. 먼저, 도 1b에서, 에어 갭들을 형성하기 위해 ALD를 사용하는 일 예는 도 1c를 참조하여 기술된 에어 갭들을 형성하기 위해 열적 CVD를 사용하는 일 예와 함께 제공된다.
도 1b는 에어 갭을 형성하는 예시적인 방법 (120) 을 도시하는 흐름도이다. 먼저, 동작 (121) 에서, 구조체가 제공된다. 구조체는 홀 또는 트렌치 구조체 또는 상호 연결부들, 전도성 라인들, 또는 다른 전도성 피처들일 수 있는, 2 개의 피처들 사이에 에어 갭이 형성되는 다른 구조체이다. 방법은 또한 피처들 사이에 유전체 막에 의해 캡핑된 에어 갭들이 유용한 임의의 맥락에서 구현될 수도 있다. 구조체들의 예들은 슬릿들과 같은 3D NAND 구조체들, 비트라인 (bitline) 구조체들과 같은 DRAM 구조체들, BEOL (back end of line) 의 금속 라인들, 로직 게이트들, 등을 포함한다. 구조체들은 피처들 사이에 충진되지 않은 갭을 갖는 2 개 이상의 인접한 피처들을 가짐으로써 특성화된다. 많은 구현 예들에서, 피처들은 전도성 피처들이고, 형성될 에어 갭은 매우 낮은 k (very low k) 유전체를 제공하고 기생 커패시턴스 (parasitic capacitance) 를 감소시킨다. 그러나, 피처들의 측벽 표면들은 전도성 표면, 유전체 표면, 또는 반도체 표면 또는 이들의 조합을 포함하는 임의의 재료일 수도 있다. 예를 들어, 구조체는 실리콘 카바이드 (SiC) 막으로 코팅된 구리 (Cu) 라인들일 수도 있다. 구조체의 치수들은 또한 특정한 적용 예에 종속될 것이다. 예를 들어, 인입 (incoming) DRAM 구조체는 25 내지 50 ㎚ 폭 및 300 내지 800 ㎚ 깊이의 갭을 가질 수도 있고 인입 3D NAND 구조체는 50 내지 100 ㎚ 폭 및 5 내지 8 ㎛ 깊이일 수도 있다. 그러나, 방법은 임의의 특정한 구조 치수, 피처 조성, 또는 측벽 표면들로 제한되지 않는다. 일부 실시 예들에서, 에어 갭들을 형성하기 위한 다른 기법들 (예컨대 희생적 재료의 증착 및 제거) 이 구현되기 어렵지 않은 적용 예들에서 구현될 수도 있다. 구조체는 통상적으로 증착 챔버에 제공된다.
동작 (123) 에서 유전체 재료를 증착하기 위해 하나 이상의 ALD 사이클들이 수행된다. ALD는 재료의 박층들을 순차적으로 (sequentially) 증착하는 기법이다. ALD 프로세스들은 사이클들에서 층 단위 (layer-by-layer basis) 로 막들을 증착하도록 표면-매개된 (surface-mediated) 증착 반응들을 사용한다. 일 예로서, ALD 사이클은 다음 동작들: (i) 전구체의 전달/흡착, (ii) 챔버로부터 전구체의 퍼징 (purge), (iii) 제 2 반응 물질의 전달 및 선택 가능한 (optional) 플라즈마 점화 (ignition), 및 (iv) 챔버로부터의 부산물들의 퍼징을 포함할 수도 있다. 기판의 표면 상에 막을 형성하기 위해 흡착된 전구체와 제 2 반응 물질 사이의 반응은 막 조성 및 특성들, 예컨대 불균일성 (nonuniformity), 응력, 습식 (wet) 에칭 레이트, 건식 (dry) 에칭 레이트, 전기적 특성들 (예를 들어, 파괴 전압 (breakdown voltage) 및 누설 전류), 등에 영향을 준다.
ALD 프로세스의 일 예에서, 표면 활성 사이트들 (sites) 의 집단 (population) 을 포함하는 기판 표면은 기판을 하우징하는 챔버에 제공된 일 도즈 (dose) 의, 실리콘-함유 전구체와 같은 제 1 전구체의 가스상 (gas phase) 분포에 노출된다. 제 1 전구체의 물리 흡착된 (physisorbed) 분자들 및/또는 화학 흡착된 (chemisorbed) 종을 포함하는, 이 제 1 전구체의 분자들은 기판 표면 상으로 흡착된다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들도 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, 실리콘-함유 전구체의 흡착된 층은 실리콘-함유 전구체뿐만 아니라 실리콘-함유 전구체의 유도체들도 포함할 수도 있다. 제 1 전구체 도즈 후, 대부분 또는 흡착된 종만이 남도록 가스상으로 남아 있는 제 1 전구체의 대부분 또는 전부를 제거하도록 이어서 챔버가 배기된다 (evacuate). 일부 구현 예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 가스상의 제 1 전구체의 분압이 반응을 완화시키기에 충분히 낮도록 반응기는 배기될 수도 있다. 제 2 반응 물질, 예컨대 산소-함유 가스는 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 챔버로 도입된다. 일부 프로세스들에서, 제 2 반응 물질은 흡착된 제 1 전구체와 즉시 반응한다. 다른 실시 예들에서, 제 2 반응 물질은 플라즈마와 같은 활성화의 소스 (source) 가 일시적으로 인가되어야만 반응한다. 이어서 챔버는 결합되지 않은 (unbound) 제 2 반응 물질 분자들을 제거하기 위해 다시 배기될 수도 있다. 상기 기술된 바와 같이, 일부 실시 예들에서 챔버는 완전히 배기되지 않을 수도 있다. 막 두께를 구축하도록 부가적인 ALD 사이클들이 사용될 수도 있다. ALD 프로세스들은 도 4와 관련하여 이하에 더 기술된다.
동작 (123) 에서, 유전체 막은 억제된 표면들에 비해 비억제된 표면들 상에 선택적으로 증착된다. 초기 ALD 동작에서, 유전체 막이 갭들 전반에 걸쳐 컨포멀하게 증착되도록, 억제된 표면들이 없을 수도 있다. 유전체 막의 초기 층은 후속하는 억제 동작에 의해 유발된 잠재적인 손상으로부터 하부 피처/기판을 보호하도록 사용될 수도 있다. 초기 층의 두께는 피처 사이즈에 종속될 수 있다 (예를 들어, 보다 두꺼운 초기 층이 DRAM 구조체들에서보다 3D NAND 구조체들에서 보다 큰 피처 사이즈들에 사용될 수 있다). 일부 실시 예들에서, 적어도 50 Å의 두께로 또는 약 적어도 60 ALD 사이클. 초기 층의 두께는 또한 갭 내의 에어의 목표된 체적 백분율을 달성하도록 사용될 수도 있다.
다른 실시 예들에서, 억제 동작은 유전체 막이 후속하는 반복들에서와 같이 구조체의 상단부에 선택적으로 증착되도록 동작 (123) 의 최초 반복 전에 수행될 수도 있다. 유전체 막의 박층이 비억제된 표면들 상에 증착되도록 하나 이상의 ALD 사이클들이 수행된다.
다음에 동작 (125) 에서, 억제 동작이 구조체의 깊이 전반에 걸쳐 증착을 억제하도록 수행된다. 억제제 종의 예들은 질소 종, 할로겐 종 및 수소 종을 포함한다. 그러나, 표면을 패시베이팅하고 후속 증착을 억제하기 위해 표면 상에 흡착하거나, 표면과 반응하거나, 그렇지 않으면 표면과 상호 작용하는 임의의 종이 사용될 수도 있다. 일부 실시 예들에서, 동작 (125) 은 플라즈마 억제이다. 플라즈마 억제 동안, 구조체는 억제제 종을 형성하도록 억제제 가스로부터 생성된 플라즈마에 노출된다. 억제제 가스들의 예들은 질소-함유 가스들, 할로겐-함유 가스들, 및 수소-함유 가스들을 포함한다. 구체적인 예들은 질소 트리플루오라이드 (NF3), 분자 질소 (N2), 분자 수소 (H2), 암모니아 (NH3), 아민들, 디올들, 디아민들, 아미노알코올들, 티올들 또는 이들의 조합들을 포함한다. 일부 실시 예들에서, 가스는 NF3, CHxFy (여기서 x + y = 4이고 y는 1 이상의 정수임), 및 황 헥사플루오라이드 (SF6) 와 같은 불소-함유 가스이다. 일부 실시 예들에서, 열적 억제 프로세스들은 구조체가 표면과 반응하는 조건들 하에서 구조체를 억제 화학 물질을 포함하는 가스에 노출함으로써 수행될 수도 있다.
일부 실시 예들에서, 억제 플라즈마는 할로겐-함유 가스로부터 생성된다. 예들은 NF3, CH3F, CH2F2, CHF3, CF4, SF6, CH3Cl, CH2Cl2, CHCl3, 및 CCl4를 포함한다. 이는 표면을 패시베이팅하고 후속 증착을 억제하는 갭의 깊이 전반에 걸쳐 -F, -Cl, 또는 다른 할로겐 말단 표면들을 발생시킨다. 할로겐 함유 가스는 챔버 또는 다른 플라즈마 생성 공간으로의 총 체적 플로우의 약 0.5 % 내지 10 %, 또는 약 2 %일 수도 있고, 남아 있는 플로우는 N2, Ar, 및 He와 같은 불활성 가스이다.
동작 (127) 은 구조체의 상단부에서 억제제를 선택적으로 제거하기 위한 선택 가능한 동작이다. 일부 실시 예들에서, 동작 (127) 은 수행되지 않고, 억제제는 후속하는 ALD 동안 제거된다. 예를 들어, 억제제는 PEALD 동안 고전력 플라즈마를 사용함으로써 제거될 수도 있다. 고전력 ALD가 사용되지 않는 일부 실시 예들에서, 동작 (127) 은 구조체의 상단부에서 억제제를 선택적으로 제거하기 위해 ALD 전에 수행될 수도 있다. 일부 실시 예들에서, 동작 (127) 은 O2, Ar, 또는 He와 같은 가스로부터 생성된 플라즈마를 사용한 인-시츄 불활성 플라즈마 노출을 수반할 수 있다. 0.1 초 내지 10 초의 범위의 예시적인 노출 시간들, 0.2 slm 내지 10 slm의 예시적인 플로우 레이트들, 1 T 내지 10 T의 예시적인 챔버 압력들, 및 200 W 내지 2 ㎾의 예시적인 RF 전력들. 일부 실시 예들에서, 열적 억제제 제거 동작은 플라즈마를 스트라이킹하지 (strike) 않고 유사한 조건들 하에서 O2 또는 SiH4와 같은 가스를 흘림으로써 수행될 수 있다. 다른 예들은 도 1a에 대해 상기 기술되었다. 이 동작의 정확한 조건들은 사용되는 억제제의 타입 및 제거될 억제제의 깊이에 종속된다.
동작 (123) 내지 동작 (127) 은 동작 (129) 에서 갭의 상단부에 유전체 막을 선택적으로 증착하도록 반복된다. 일부 실시 예들에서, 동작 (129) 에서, 동작 (127) 은 ALD 동작 (123) 각각 동안 갭들의 상단부로부터 우선적으로 제거된 반면, 갭들의 나머지에는 남아 있는 억제로 생략된다. 플라즈마의 이온들은 갭의 상단부로부터 억제제와 우선적으로 콘택트하고 제거한다. 일부 실시 예들에서, 우선 순위는 ALD를 위해 상대적으로 고전력 플라즈마를 사용함으로써 상승될 수 있다. 예를 들어, 플라즈마 전력은 컨포멀한 증착을 위해 사용된 ALD 프로세스에 대해 1 내지 3 ㎾와 비교하여, 300 ㎜ 웨이퍼를 프로세싱하는 4 개의 스테이션들 각각에 대해 5 내지 6 ㎾일 수도 있다. ALD 동작 동안, 유전체 재료는 갭의 상단부에 선택적으로 증착되고, 이는 더 이상 억제되지 않는다. 연속적인 반복들 후에, 갭을 폐쇄하도록 충분한 막이 선택적으로 증착되고, 피처의 나머지는 충진되지 않은 채로 남는다. 구조체의 상단부로부터 억제제를 제거하는 것은 반응 물질들 중 하나로서 사용된 산소 플라즈마 또는 열적 산소와 함께, 옥사이드가 증착되는 실시 예들에서 수행될 수도 있다. 다른 실시 예들에서, 예컨대 유전체 막이 나이트라이드일 때, H2로의 노출은 ALD 프로세스 동안 사용된다면 억제제를 제거할 수도 있고 또는 동작 (127) 이 수행될 수도 있다.
도 1c는 에어 갭을 형성하는 예시적인 방법 (130) 을 도시하는 흐름도이다. 구조체는 도 1b의 동작 (121) 에 대해 상기 기술된 구조체들의 예들을 갖는 동작 (131) 에서 제공된다. 구조체는 통상적으로 증착 챔버에 제공된다. 동작 (133) 에서 유전체 재료의 컨포멀한 층을 증착하도록 하나 이상의 ALD 사이클들이 수행된다. 일부 실시 예들에서, 동작 (133) 은 생략될 수도 있다.
다음에 동작 (135) 에서, 억제 동작이 구조체의 깊이 전반에 걸쳐 증착을 억제하도록 수행되고, 이는 도 1a의 동작 (105) 및 도 1b의 동작 (125) 에 대해 각각 상기 기술된 바와 같이 수행될 수도 있다.
도 1b의 동작 (127) 과 같이, 동작 (137) 은 구조체의 상단부에서 억제제를 선택적으로 제거하기 위한 선택 가능한 동작이다. 일부 실시 예들에서, 동작 (137) 은 수행되지 않고, 억제제는 후속 CVD 동안 제거된다. 일부 실시 예들에서, CVD 동안 증착 화학 물질이 억제 종을 제거하지 않으면, 동작 (137) 은 구조체의 상단부에서 억제제를 선택적으로 제거하도록 CVD 전에 수행될 수도 있다. 일부 실시 예들에서, 동작 (127) 은 O2, Ar, 또는 He와 같은 가스로부터 생성된 플라즈마를 사용한 인-시츄 불활성 플라즈마 노출을 수반할 수 있다. 0.1 초 내지 10 초의 범위의 예시적인 노출 시간들, 0.2 slm 내지 10 slm의 예시적인 플로우 레이트들, 1 T 내지 10 T의 예시적인 챔버 압력들, 및 200 W 내지 2 ㎾의 예시적인 RF 전력들. 일부 실시 예들에서, 열적 억제제 제거 동작은 플라즈마를 스트라이킹하지 않고 유사한 조건들 하에서 O2 또는 SiH4와 같은 가스를 흘림으로써 수행될 수 있다. 다른 예들은 도 1a에 대해 상기 기술되었다. 이 동작의 정확한 조건들은 사용되는 억제제의 타입 및 제거될 억제제의 깊이에 종속된다.
동작 (139) 는 CVD에 의해 구조체의 상단부에 유전체 재료를 선택적으로 증착하도록 수행된다. CVD 반응 동안, 유전체 전구체 및 공-반응 물질 (co-reactant) 은 반응을 위해 챔버 내에서 공-플로우되거나 (co-flow) 적어도 오버랩될 (overlap) 수도 있다. 유전체 전구체들, 공-반응 물질들, 및 프로세스 조건들의 예들은 이하에 더 제공된다.
동작 (135) 내지 동작 (139) 는 동작 (141) 에서 갭의 상단부에 유전체 막을 선택적으로 증착하도록 반복된다. 일부 실시 예들에서, 동작 (141) 에서, 동작 (137) 은 후속 CVD 동작 (139) 동안 갭들의 상단부로부터 우선적으로 제거된 반면, 갭들의 나머지에는 남아 있는 억제로 생략된다. 예를 들어, 불소 억제제 종은 산소 (O2) 또는 산소/수소 (O2/H2) 에 노출함으로써 제거될 수 있다.
증착 깊이는 동작 (135) 및 동작 (139) (및/또는 수행된다면, 동작 (137)) 의 길이에 의해 제어될 수 있다. 예를 들어, 고정된 CVD 시간 (예를 들어, 동작 (139) 의 단일 예에 대해 2 초) 동안, 증착된 유전체 재료의 깊이는 억제 시간을 가변함으로써 튜닝될 수 있다. 예를 들어, 긴 억제 시간 (예를 들어, 블록 (135) 의 단일 예에 대해 2 초) 동안, 유전체 재료는 상단부에만 증착될 것이다. 억제 시간을 (예를 들어, 1 초로) 감소시키는 것은 헬멧-형상 프로파일을 형성하도록 구조체의 최상단부에 일부 측벽 증착을 발생시킬 수 있고, 증착은 억제 시간이 (예를 들어, 0.2 초로) 감소함에 따라 증가한다. 고정된 억제 시간 (예를 들어, 2 초) 동안, 증착 깊이는 CVD 시간에 의해 튜닝될 수 있다. 예를 들어, 2 초 증착은 상단부에만 증착될 수도 있고, 4 초로의 CVD 시간의 증가는 구조체의 최상단부에서 측벽들 (헬멧-형상), 등에 증착될 것이다.
도 2a는 기판 (201) 상의 피처들 (205) 및 갭들 (206) 을 포함하는 구조체의 일 예를 도시한다. 기판 (201) 은 유전체, 전도성 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 또는 다른 반도체 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 방법들은 또한 MEMS 디바이스들의 제조를 포함하여, 유리, 플라스틱, 등과 같은 다른 기판들 상에 에어 갭들을 갖는 구조체들을 형성하도록 적용될 수도 있다.
도 2b는 갭들 (206) 전반에 걸쳐 컨포멀하게 증착된 박막 (207) 을 포함하는 구조체의 일 예를 도시한다. 이는 예를 들어 동작 (103) 에서 ALD 증착 사이클들의 최초 세트 후의 구조체일 수도 있다. 도 2c는 갭들 (206) 전반에 걸쳐 컨포멀하게 배치된 (dispose) 억제된 박막 (209) 을 포함하는 구조체의 일 예를 도시한다. 이는 예를 들어 동작 (105) 에서 최초 억제 처리 후의 구조체일 수도 있다. 도 2b에 도시된 바와 같이 증착된 막은 이제 예를 들어, 후속 증착을 억제하는 표면 불소 종을 가질 수도 있다. 도 2d는 갭들 (206) 의 상단부들에서 억제가 제거되고, 억제되지 않은 표면들 (211) 및 대부분의 갭들 (206) 을 통해 남아 있는 억제된 박막 (209) 이 남은, 구조체의 일 예를 도시한다. 이는 도 1a의 동작 (111) 의 1 회 또는 수 회 반복들 후의 구조체일 수도 있다. 예를 들어, 제 2 반복 동작 (125) 에서 다수의 ALD 사이클들 후 또는 도 1b의 동작 (127) 에서 억제가 제거된 후 또는 도 1c의 동작 (135) 내지 동작 (139) 의 수 사이클들 후의 구조체일 수도 있다. 유의한 증착이 아직 발생하지 않았지만, 피처들 및 갭들 (206) 의 상단부들로부터 억제가 제거된다. 도 2e는 유의한 양의 유전체 재료 (213) 가 갭들 (206) 의 상단부들에 증착된 후 구조체의 일 예를 도시한다. 억제된 박막 (209) 은 갭들 (206) 의 대부분의 깊이를 통해 남는다. 이 단계에서, 갭들 (206) 은 아직 유전체 재료 (213) 에 의해 폐쇄되지 않는다. 이는 도 1a의 동작 (111), 도 1b의 동작 (129), 또는 도 1c의 동작 (141) 의 많은 반복들 후의 구조체일 수도 있다. 다양한 실시 예들에 따라, 갭 내의 억제 종은 갭이 폐쇄되기 전에 제거될 수도 있고 제거되지 않을 수도 있다. 도 2f는 갭들 (206) 이 에어 갭들 (205) 을 형성하는 유전체 재료 (213) 에 의해 폐쇄된 후의 구조체를 도시한다.
에어 갭들이 형성된 후, 다양한 동작들이 수행될 수도 있다. 일부 실시 예들에서, 예를 들어, 캡 층은 유전체 재료 (213) 위에 증착될 수도 있다. 일부 실시 예들에서, 유전체 막은 플라즈마-강화된 CVD (plasma-enhanced CVD; PECVD) 에 의해 증착될 수도 있다. 도 2g는 유전체 재료 (213) 위에 증착된 유전체 PECVD 막 (217) 을 갖는 상기 기술된 바와 같이 형성된 에어 갭들을 갖는 구조체를 도시한다. ALD 증착의 결과로서 유전체 재료 (213) 내의 에어 갭들 (215) 위에 센터링되는 오목부들 (Indentations) (219) 이 평활화된다 (smooth out). 도 2g에 도시되지 않았지만, 유전체 PECVD 막 (217) 은 오목부들 (219) 위에 센터링된 오목부들을 포함할 수도 있지만, 이들의 높이는 유전체 재료 (213) 의 높이와 비교하여 예를 들어 적어도 20 % 내지 90 % 만큼 감소될 수도 있다. 에칭 단계 및 CMP 단계 동안, 깊은 오목부들은 재료의 고르지 않은 양으로 하여금 구조체의 상단부로부터 제거되게 할 수 있고, 충진된 피처로부터 너무 많은 재료가 제거되게 하고, 디바이스 성능에 영향을 주는 결함들을 생성한다. 유전체 PECVD 막 (217) 과 같은 캡 층을 증착함으로써, 후속하는 평탄화 (planarization) 동안, 갭이 충진된 채로 남아 있고 도 2h에 도시된 바와 같이 평탄화된 유전체 막 (221) 이 발생한다.
다른 실시 예들에서, 캡 층은 열적 CVD에 의해 증착될 수도 있다. CVD (PECVD 또는 열적 CVD) 에 의한 증착은 두꺼운 막으로 하여금 신속하게 증착되게 하고, 이는 오목부들을 우선적으로 충진하여 보다 평탄한 막을 발생시키고, 후속 프로세싱에서 결함들의 생성 빈도를 감소시킨다. 일부 동작들에서, CVD 동작은 억제 동작 및 ALD 동작과 동일한 챔버에서 수행된다. 이는 이송 동작들 및 프로세싱 툴들의 수를 상당히 감소시킨다. 캡 층의 예시적인 두께들은 1 내지 3 kÅ의 범위이다. 일부 실시 예들에서, 보다 얇은 층들, 예를 들어, 100 내지 1000 Å이 증착될 수도 있다.
형성된 에어 갭들은 증착 전에 인입 갭의 체적의 대부분, 예를 들어, 원래의 갭의 적어도 60 %, 적어도 70 %, 적어도 80 %, 적어도 90 %, 또는 적어도 90 %를 점유할 수도 있다. 이는 또한 피처들 사이에 고체 재료 및 에어에 의해 점유된 총 체적에 대한 에어에 의해 점유된 체적 백분율로서 특성화될 수 있다.
예를 들어, 3D NAND 구조체의 인입 갭 (예를 들어, 슬릿 또는 메모리 홀) 은 50 내지 100 ㎚ 폭 내지 5 내지 8 ㎛ 깊이일 수도 있다. 유전체 재료는 약 500 ㎚의 깊이로 증착될 수도 있고, 그 아래의 갭은 비어있다 (에어로 충진된다). 약 500 ㎚의 유전체 재료가 피처들의 평면 위에 증착될 수도 있다. DRAM 구조체의 인입 갭은 25 내지 50 ㎚ 폭에 300 내지 800 ㎚ 깊이일 수도 있다. 유전체 재료는 약 30 내지 50 ㎚의 깊이로 증착될 수도 있고, 그 아래의 갭은 비어있다.
본 명세서의 기술은 에어 갭들을 지칭하지만, 본 명세서에 기술된 방법들은 적절한 분위기에서 방법을 수행함으로써 피처들 사이에 임의의 가스에 의해 점유된 갭들을 형성하도록 사용될 수도 있다.
본 명세서의 목적들을 위해, 피처의 상단부 근방, 구조체의 상단부 근방, 또는 갭의 상단부 근방은 갭의 측벽을 형성하는 피처의 상단부로부터 수직으로 측정된 바와 같이 총 깊이의 25 % 이내 또는 10 % 이내에 위치한 갭 내의 영역을 나타낸다. 피처의 하단부 "근방"은 피처 하단부로부터 수직으로 측정될 때 총 깊이의 25 % 이내 또는 10 % 이내의 갭 내의 영역을 나타낸다.
도 3a 내지 도 3c는 도 1b에 대해 상기 기술된 방법들에 따른 프로세스 시퀀스들의 예들이다. 특정한 동작들 (예를 들어, ALD 라이너 증착) 은 특정한 실시 예들에서 생략될 수도 있고 도시되지 않은 다른 동작들 (예를 들어, 소킹 (soak)) 이 특정한 실시 예들에서 사용될 수도 있다. 도 3a 내지 도 3c의 예시적인 프로세스 시퀀스에서, 하나 이상의 웨이퍼들은 에어 갭들을 형성하도록 프로세싱된다. 도 3a 내지 도 3c 각각의 프로세스 시퀀스는 도 2b에 도시된 것과 같은 ALD 라이너의 증착을 도시한다. 일부 실시 예들에서, 프로세스는 증착 챔버에 제공된 후 소킹으로 시작할 수도 있다. 이는, 예를 들어, 입자들 또는 다른 전처리를 제거하는데 유용할 수 있다. 이어서, 일부 실시 예들에서 라이너의 ALD 증착의 사이클들이 수행될 수도 있다. 하부 표면이 억제될 수 있다면, 일부 실시 예들에서 ALD 라이너 증착은 수행되지 않을 수도 있다. 수행된다면, 라이너의 ALD 증착은 n1 개의 ALD 사이클들을 수반하고, ALD 프로세스는 이하에 더 기술된다.
ALD 라이너의 증착 후, n 개의 억제 블록들이 수행되고, 제 1 억제 블록 (n = 1) 의 동작들이 도시된다. 제 1 동작은 표면 처리인 억제 플라즈마이다. 상기 논의된 바와 같이, 플라즈마는 F-, Cl-, I-, Br-, 불소 라디칼들, 등과 같은 라디칼 종 및 음이온을 포함하는 할로겐 종을 포함할 수도 있다. 다른 억제 플라즈마들이 사용될 수도 있고, 또는 예를 들어, 분자 질소 (N2), 분자 수소 (H2), 암모니아 (NH3), 아민들, 디올들, 디아민들, 아미노알코올들, 티올들 또는 이들의 조합들로부터 생성된 플라즈마들이 사용될 수도 있다. 억제 화학 물질은 증착될 재료에 종속된다. 예를 들어, 수소는 실리콘 옥사이드의 불소 억제 후 패시베이션 플라즈마일 수도 있지만 증착될 다른 재료들에 대한 억제 플라즈마로서 작용한다.
억제 플라즈마가 피처 내의 재료와 상호 작용할 때, 피처의 하단부의 재료는 기하학적인 섀도잉 (shadowing) 효과들 때문에 피처의 상단 부분에 보다 가깝게 또는 필드 내에 위치된 재료보다 보다 적은 플라즈마 처리를 받을 수 있다. 이들 효과들은 갭 충진 적용 예들에서 유용할 수 있지만, 본 명세서에 기술된 에어 갭 형성 방법들에서, 전체 갭은 억제된다. 이를 달성하기 위해, 억제 플라즈마는 상대적으로 길 수도 있다. 일부 실시 예들에서, 예를 들어, 약 5 초 내지 1 분의 억제 플라즈마가 사용될 수도 있다. 플라즈마 지속 기간은 특정한 구조체에 종속되고; 예를 들어, 3D NAND 구조체들은 전체 갭을 억제하기 위해 길고 구불구불한 경로들을 갖고 10 초 내지 1 분 동안 플라즈마에 노출될 수도 있다. DRAM 구조체들에 대해, 5 초 내지 15 초의 억제 시간이 사용될 수도 있다. 억제 플라즈마 지속 기간에 더하여, 플라즈마 전력이 증가될 수도 있고, 억제 가스 플로우 레이트가 증가될 수도 있고, 그리고 압력은 피처의 상단부에 우선적인 억제에 비해 감소될 수도 있다.
그 결과, 피처 전반에 걸쳐 증착이 억제된다. 도 3a에서, 억제 블록의 다음 동작은 ALD의 n2 개의 사이클들이다. 상기 논의된 바와 같이, 고전력 플라즈마는 억제 블록의 ALD 동작 동안 사용된다. 억제는 피처의 상단부로부터 선택적으로 제거되고, 그 결과 유전체 재료는 피처의 상단부에 선택적으로 증착된다.
억제 블록에서 사이클들의 수 n2는 억제 효과가 구조체의 상단부에서 얼마나 빨리 사라지는지에 종속될 수 있다 (선택적일뿐만 아니라 갭을 보다 깊어지게 함). 예들은 10 개 내지 30 개 사이클들을 포함하지만, 보다 적거나 상당히 보다 많은 사이클들일 수 있다는 것이 이해될 것이다.
일부 실시 예들에서, 단일 억제 블록이 충분할 수도 있다. 다른 실시 예들에서, 하나 이상의 부가적인 억제 블록들이 총 n 개의 억제 블록들에 대해 수행될 수도 있다. 다양한 실시 예들에 따라, n2는 상이한 억제 블록들에 대해 동일하거나 상이할 수도 있다. 억제 플라즈마 조건 및 ALD 조건은 피처를 충진하기 위해 억제 블록으로부터 억제 블록으로 변경될 수도 있다.
피처가 거의 폐쇄될 때, 억제는 더 이상 필요하지 않을 수도 있고, 갭은 갭을 폐쇄하기 위해 n4 개의 사이클들의 ALD로 완료될 수 있다. 이 동작 동안 조건들은 통상적인 ALD 동작들과 보다 유사할 수도 있고, 예를 들어, 플라즈마 전력은 억제 블록 동안 보다 낮을 수도 있다. 일부 실시 예들에서, 갭은 별개의 ALD 동작이 수행되지 않도록 마지막 억제 블록 동안 폐쇄될 수도 있다. 이어서 유전체의 캡 또는 오버버든 (overburden) 층이 상기 기술된 바와 같이 증착될 수도 있다.
도 3a의 예에서, 표면 억제 종은 갭으로부터 제거되지 않는다. 다른 실시 예들에서, 이들을 제거하기 위한 동작이 수행될 수도 있다. 도 3b는 패시베이션 동작이 수행되는 프로세스 시퀀스를 도시한다. 도 3b의 시퀀스는 모든 억제 블록들이 수행된 후 그러나 갭이 폐쇄되기 전에 수행된 패시베이션 동작을 제외하고 도 3a의 시퀀스와 동일하다. 패시베이션은 잔류 억제제를 제거하고 또한 증착된 막을 치밀화할 수있는 표면 처리이다. 일부 실시 예들에서, 산소 (O2) 로부터 생성된 플라즈마, 수소 (H2) 로부터 생성된 플라즈마, H2/O2로부터 생성된 플라즈마, 또는 Ar/H2로부터 생성된 플라즈마가 사용된다. 이들 가스들을 사용한 열 처리들이 사용될 수도 있다.
도 3c는 패시베이션 동작이 수행되는 프로세스 시퀀스의 또 다른 예를 도시한다. 도 3c의 예에서, 패시베이션은 프로세스의 끝에서만 수행되는 것이 아니라 억제 블록 각각의 끝에서 수행된다.
도 4는 비억제된 표면들 상에 유전체 재료를 선택적으로 증착하도록 도 1a의 동작 (103) 의 일부로서 그리고/또는 도 3a 내지 도 3c에 도시된 임의의 ALD 동작들의 일부로서 구현될 수도 있는 단일 플라즈마 강화 ALD 사이클에 대한 프로세스 흐름도를 제공한다. 동작 (402) 에서, 기판은 피처의 표면 상에 전구체를 흡착시키도록, 실리콘-함유 전구체에 노출된다. 이 동작은 자기-제한적일 (self-limiting) 수도 있다. 일부 실시 예들에서, 전구체는 피처의 표면 상의 모든 활성 사이트들보다 보다 적게 흡착한다. 동작 (404) 에서, 프로세스 챔버는 모든 흡착되지 않은 실리콘-함유 전구체들을 제거하도록 선택 가능하게 퍼지된다. 동작 (406) 에서, 기판은 공-반응 물질로부터 생성된 플라즈마에 노출된다. 예들은 실리콘 옥사이드 층을 형성하기 위한 O2 및/또는 N2O, 실리콘 나이트라이드 층을 형성하기 위한 N2 또는 NH3, 등을 포함한다. 동작 (408) 에서, 프로세스 챔버는 실리콘-함유 전구체와 산화제 사이의 반응으로부터 부산물들을 제거하도록 선택 가능하게 퍼지된다. 동작 (402) 내지 동작 (408) 은 피처 내에 목표된 두께로 실리콘-함유 층을 증착하기 위해 다수의 사이클들 동안 반복된다.
본 명세서에 기술된 프로세스들은 특정한 반응 메커니즘으로 제한되지 않는다는 것을 주의해야 한다. 따라서, 도 4와 관련하여 기술된 프로세스는 엄격하게 자기-제한적이지 않은 것들을 포함하여, 실리콘-함유 반응 물질들 및 변환 플라즈마들에 대한 순차적인 노출들을 사용하는 모든 증착 프로세스들을 포함한다. 프로세스는 플라즈마를 생성하도록 사용된 하나 이상의 가스들이 간헐적인 플라즈마 점화들과 함께 프로세스 내내 연속적으로 흐르는 시퀀스들을 포함한다.
실리콘 옥사이드를 증착하기 위해, 하나 이상의 실리콘-함유 전구체들이 사용될 수도 있다. 개시된 실시 예들에 따라 사용하기에 적합한 실리콘-함유 전구체들은 폴리실란들 (polysilanes) (H3Si-(SiH2)n-SiH3) 을 포함하고, 여기서 n > 0이다. 실란들의 예들은 실란 (SiH4), 디실란 (disilane) (Si2H6), 및 메틸실란 (methylsilane), 에틸실란 (ethylsilane), 이소프로필실란 (isopropylsilane), t-부틸실란 (t-butylsilane), 디메틸실란 (dimethylsilane), 디에틸실란 (diethylsilane), 디-t-부틸실란 (di-t-butylsilane), 알릴실란 (allylsilane), sec-부틸실란 (sec-butylsilane), 덱실실란 (thexylsilane), 이소아밀실란 (isoamylsilane), t-부틸디실란 (t-butyldisilane), 디-t-부틸디실란 (di-t-butyldisilane), 등과 같은 유기실란들 (organosilanes) 이다.
할로실란은 적어도 하나의 할로겐 기 (halogen group) 를 포함하고, 수소 기들 및/또는 탄소 기들을 포함할 수도 있거나 포함하지 않을 수도 있다. 할로실란들의 예들은 요오드실란들 (iodosilanes), 브로모실란들 (bromosilanes), 클로로실란들 (chlorosilanes) 및 플루오로실란들 (fluorosilanes) 이다. 특정한 클로로실란들은 테트라클로로실란 (tetrachlorosilane), 트리클로로실란 (trichlorosilane), 디클로로실란 (dichlorosilane), 모노클로로실란 (monochlorosilane), 클로로아릴실란 (chloroallylsilane), 클로로메틸실란 (chloromethylsilane), 디클로로메틸실란 (dichloromethylsilane), 클로로디메틸실란 (chlorodimethylsilane), 클로로에틸실란 (chloroethylsilane), t-부틸클로로실란 (t-butylchlorosilane), 디-t-부틸클로로실란 (di-t-butylchlorosilane), 클로로아이소프로필실란 (chloroisopropylsilane), 클로로-sec-부틸실란 (chloro-sec-butylsilane), t-부틸디메틸클로로실란 (t-butyldimethylchlorosilane), 덱실디메틸클로로실란 (thexyldimethylchlorosilane), 등이다.
아미노실란 (aminosilane) 은 실리콘 원자에 본딩된 (bond) 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들 및 탄소들을 함유할 수도 있다. 아미노실란들의 예들은 모노-아미노실란들 (mono-aminosilanes), 디-아미노실란들 (di-aminosilanes), 트리-아미노실란들 (tri-aminosilanes) 및 테트라-아미노실란들 (tetra-aminosilanes) (각각 H3Si(NH2), H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 뿐만 아니라 치환된 모노-아미노실란들, 디-아미노실란들, 트리-아미노실란들 및 테트라-아미노실란들, 예를 들어, t-부틸아미노실란 (t-butylaminosilane), 메틸아미노실란 (methylaminosilane), tert-부틸실란아민 (tert-butylsilanamine), 비스(tert-부틸아미노)실란 (bis(tert-butylamino)silane) (SiH2(NHC(CH3)3)2 (BTBAS)), tert-부틸실릴카바메이트 (tert-butyl silylcarbamate), SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 추가 예는 트리실릴아민 (trisilylamine) (N(SiH3)) 이다. 일부 실시 예들에서, 중심 Si 원자에 부착된 2 개 이상의 아민 기들을 갖는 아미노실란이 사용될 수도 있다. 이들은 단일 아민 기만이 부착된 아미노실란들보다 보다 적은 손상을 발생시킬 수도 있다.
실리콘-함유 전구체들의 추가 예들은, 트리메틸실란 (3MS); 에틸실란; 부타실란들 (butasilanes); 펜타실란들 (pentasilanes); 옥타실란들 (octasilanes); 헵타실란 (heptasilane); 헥사실란 (hexasilane); 사이클로부타실란 (cyclobutasilane); 사이클로헵타실란 (cycloheptasilane); 사이클로헥사실란 (cyclohexasilane); 사이클로옥타실란 (cyclooctasilane); 사이클로펜타실란 (cyclopentasilane); 1,4-디옥사-2,3,5,6-테트라실라사이클로헥산 (1,4-dioxa-2,3,5,6-tetrasilacyclohexane); 디에톡시메틸실란 (diethoxymethylsilane; DEMS); 디에톡시실란 (diethoxysilane; DES); 디메톡시메틸실란 (dimethoxymethylsilane); 디메톡시실란 (dimethoxysilane; DMOS); 메틸-디에톡시실란 (methyl-diethoxysilane; MDES); 메틸-디메톡시실란 (methyl-dimethoxysilane; MDMS); 옥타메톡시도데카실록산 (octamethoxydodecasiloxane; OMODDS); tert-부톡시디실란 (tert-butoxydisilane); 테트라메틸사이클로테트라실록산 (tetramethylcyclotetrasiloxane; TMCTS); 테트라옥시메틸사이클로테트라실록산 (tetraoxymethylcyclotetrasiloxane; TOMCTS); 트리에톡시실란 (triethoxysilane; TES); 트리에톡시실록산 (triethoxysiloxane; TRIES); 및 트리메톡시실란 (trimethoxysilane; TMS 또는 TriMOS) 을 포함한다.
상기 나타낸 바와 같이, ALD 프로세스는 컨포멀한 라이너 및 유전체 재료를 구조체의 상단부에 선택적으로 증착하도록 사용될 수도 있다. 동일하거나 상이한 실리콘 전구체가 후속 유전체 증착을 위해 ALD 라이너에 사용될 수도있다. 일부 실시 예들에서, 플라즈마 전력은 컨포멀한 ALD 라이너에 대한 것보다 구조체의 상단부에서 선택적인 증착에 대해 보다 높다. 다양한 실시 예들에서, 플라즈마가 기판 표면 바로 위에 형성되도록, 플라즈마는 인-시츄 플라즈마이다. 이러한 실시 예들에서, 억제 블록들의 일부로서 또는 갭을 폐쇄하기 위해 수행되는 ALD 동작들을 위한 예시적인 플라즈마 전력들은 1.7 내지 2.2 W/㎠이다. 컨포멀한 증착을 위해 수행되는 ALD 동작들을 위한 예시적인 플라즈마 전력들은 0.3 내지 1.1 W/㎠이다.
억제 동작을 위한 예시적인 플라즈마 전력들은 0.20 내지 1.1 W/㎠ 또는 0.20 내지 0.6 W/㎠의 범위일 수도 있다.
ALD 동작 및 억제 동작을 위한 챔버 압력은 약 1 내지 20 Torr, 또는 1 내지 10 Torr일 수도 있다.
도 3a 내지 도 3c의 프로세스 시퀀스들은 갭의 상단부에서 CVD 증착을 위해 수정될 수도 있다. 예를 들어, 도 3d는 도 1c에 대해 상기 기술된 방법들에 따른 프로세스 시퀀스의 일 예이고 그리고 도 3c의 프로세스 시퀀스와 유사하고, 복수의 ALD 사이클들 대신 CVD를 사용한다. 특정한 동작들 (예를 들어, ALD 라이너 증착) 은 특정한 실시 예들에서 생략될 수도 있고 도시되지 않은 다른 동작들 (예를 들어, 소킹) 이 특정한 실시 예들에서 사용될 수도 있다. CVD 동작들에서, 증착 전구체 (예를 들어, Si-함유 반응 물질) 및 공-반응 물질 모두는 증착 전구체 및 공-반응 물질 모두를 포함하는 챔버 내 프로세싱 분위기를 생성하도록 프로세스 챔버로 동시에 전달될 수도 있다. 예시적인 전구체들 및 공-반응 물질들은 상기에 주어진다. 일부 실시 예들에서, 증착 전구체 및 공-반응 물질 플로우는 상이한 시간들에 턴 온되지만, 증착 전구체의 플로우 및 반응 물질의 플로우 모두가 동시에 온인 적어도 일부 지속 기간이 있어서, 증착 전구체 및 반응 물질 모두를 포함하는 프로세스 챔버 내에 프로세싱 분위기를 생성한다. 이 열적 CVD 프로세스는 임의의 적합한 지속 기간 동안 수행될 수도 있다. 본 명세서에 기술된 바와 같이 이 동작의 지속 기간은 기판이 증착 전구체 및 반응 물질 모두를 포함하는 프로세싱 분위기에 노출되는 지속 기간을 의미한다. 예시적인 지속 기간들은 0.1 내지 10 초이다.
증착 레이트는 약 3 Å/s 내지 약 16 Å/s 또는 적어도 약 12 Å/s일 수도 있다. 일부 실시 예들에서, 프로세스 챔버의 압력은 약 9 Torr 내지 약 25 Torr일 수도 있다. 가스 플로우 레이트들은 사용되는 가스들에 종속된다. 일부 실시 예들에서, 증착 전구체는 약 1000 sccm 내지 약 3000 sccm의 범위로 흐르고, 산화제는 약 2000 sccm 내지 약 5000 sccm의 범위로 흐른다. 일부 실시 예들에서, 수소는 0 sccm 내지 약 5000 sccm의 플로우 레이트로 산화제와 공-플로우한다. 수소가 공-플로우하지 않는 경우, 수소의 플로우 레이트는 0 sccm이다. 일부 실시 예들에서, 억제/CVD 사이클들의 수인 n3은 20 내지 80이지만, 이는 갭 사이즈에 매우 종속적이다.
PEALD 및 열적 CVD를 사용하는 프로세스들의 예들은 상기에 제공된다. 그러나, 열적 ALD, 리모트 플라즈마 ALD, 및 PECVD를 포함하는 임의의 표면 센서티브 증착 기법이 사용될 수도 있다는 것이 인식될 것이다.
장치
도 5는 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (502) 를 갖는 ALD 프로세스 스테이션 (500) 의 실시 예의 개략적인 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (500) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 5는 멀티-스테이션 프로세싱 스테이션 (500) 의 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것을 포함하는, ALD 프로세스 스테이션 (500) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 시스템 제어기들 (550) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
ALD 프로세스 스테이션 (500) 은 분배 샤워헤드 (506) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (501a) 과 유체로 연통한다. 반응 물질 전달 시스템 (501a) 은 샤워헤드 (506) 로의 전달을 위해, 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (mixing vessel) (504) 를 포함한다. 일부 실시 예들에서, 캐리어 가스가 제공된다면, 억제제 가스는 챔버 바디 (502) 로의 도입 전에 혼합 용기로 도입될 수도 있다. 일부 실시 예들에서, 억제제 또는 다른 가스는 챔버 바디 (502) 로 직접 전달될 수도 있다. 하나 이상의 혼합 용기 유입구 밸브들 (520) 은 혼합 용기 (504) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 이들 밸브들은 다양한 동작들 동안 반응 물질 가스, 억제제 가스, 또는 캐리어 가스가 턴 온될 수도 있는지 여부에 따라 제어될 수도 있다. 일부 실시 예들에서, 억제제 가스는 억제제 액체를 사용하고 가열된 기화기를 사용하여 기화함으로써 생성될 수도 있다.
일 예로서, 도 5의 실시 예는 혼합 용기 (504) 로 공급될 액체 반응 물질을 기화시키기 위한 기화 지점 (503) 을 포함한다. 일부 실시 예들에서, 기화 지점 (503) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응 물질 증기가 다운스트림 (downstream) 전달 파이프에서 응결될 수도 있다. 응결된 반응 물질로의 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 방해하고, 기판들을 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어, 프로세스 스테이션 쓰루풋 (throughput) 을 열화시킨다. 따라서, 일부 실시 예들에서, 기화 지점 (503) 의 다운스트림 전달 파이핑은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (504) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (503) 의 다운스트림 파이프는 혼합 용기 (704) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시 예들에서, 액체 전구체 또는 액체 반응물질, 예컨대 실리콘-함유 전구체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응물질의 펄스들을 혼합 용기의 업스트림 (upstream) 의 캐리어 가스 스트림 내로 주입할 수도 있다. 일 실시 예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱함으로써 (flash) 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 기화되는 분산된 마이크로액적들 (microdroplets) 로 액체를 원자화할 (atomize) 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (503) 으로부터 다운스트림 파이핑의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (504) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (506) 에 바로 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (503) 의 업스트림에 액체 플로우 제어기 (liquid flow controller; LFC) (미도시) 가 기화 및 프로세스 스테이션 (500) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량계 (mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 소요될 수도 있다. 이는 액체 반응 물질을 도즈하기 (dose) 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시 예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 (disable) 수행될 수도 있다.
샤워헤드 (506) 는 기판 (512) 을 향해 프로세스 가스들을 분배한다. 예를 들어, 다양한 동작들에서, 샤워헤드 (506) 는, 기판 (512) 에 억제제 가스를, 기판 (512) 에 실리콘-함유 전구체 가스를, 또는 챔버 바디 (502) 로 퍼지 가스 또는 캐리어 가스를, 기판 (512) 에 제 2 반응 물질을, 또는 기판 (512) 에 패시베이션 가스를 분배할 수도 있다. 도 5에 도시된 실시 예에서, 기판 (512) 은 샤워헤드 (506) 밑에 위치되고 페데스탈 (508) 상에 놓인 것으로 도시된다. 샤워헤드 (506) 는 임의의 적합한 형상을 가질 수도 있고 그리고 기판 (512) 에 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 마이크로볼륨 (microvolume) 이 샤워헤드 (506) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨이 아니라 마이크로볼륨에서 개시된 실시 예들을 실시하는 것은 반응 물질 노출 및 퍼지 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간을 감소시킬 수도 있고, 프로세스 스테이션 로봇들의 프로세스 가스들로의 노출을 제한할 수도 있는, 등을 할 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 체적들을 포함한다. 이는 또한 생산성 쓰루풋에 영향을 준다. 일부 실시 예들에서, 개시된 실시 예들은 마이크로볼륨에서 수행되지 않는다.
일부 실시 예들에서, 페데스탈 (508) 은 기판 (512) 을 마이크로볼륨 (507) 에 노출하고 그리고/또는 마이크로볼륨 (507) 의 볼륨을 가변시키도록 상승될 수도 있거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (508) 은 마이크로볼륨 (507) 내에서 기판 (512) 을 위치시키도록 상승될 수도 있다. 일부 실시 예들에서, 마이크로볼륨 (507) 은 고 플로우 임피던스의 영역을 생성하도록 페데스탈 (508) 의 일부뿐만 아니라 기판 (512) 을 완전히 인클로징할 (enclose) 수도 있다.
선택 가능하게, 페데스탈 (508) 은 마이크로볼륨 (507) 내에서 프로세스 압력, 반응 물질 농도, 등을 변조하기 위해 프로세스의 부분들 동안 하강 및/또는 상승될 수도 있다. 프로세스 챔버 바디 (502) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (508) 을 하강시키는 것은 마이크로볼륨 (507) 으로 하여금 배기되게 할 수도 있다. 마이크로볼륨 대 프로세스 챔버 체적의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:500 내지 1:10의 체적 비들을 포함한다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (550) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
또 다른 시나리오에서, 페데스탈 (508) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 선택 가능한 플라즈마 활성화 프로세스들 동안 가변되게 할 수도 있다. 예를 들어, 플라즈마는 억제제 가스가 챔버 바디 (502) 로 도입될 때, 또는 제 2 반응 물질이 챔버 바디 (502) 로 흐를 때 활성화될 수도 있다. 일부 실시 예들에서, 플라즈마는 억제제 가스의 플로우 또는 제 2 반응 물질의 플로우 동안 활성화되지 않을 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (508) 은 페데스탈 (508) 로부터 기판 (512) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이 조정 가능한 페데스탈 (508) 을 참조하지만, 일부 실시 예들에서, 샤워헤드 (506) 의 포지션은 마이크로볼륨 (507) 의 체적을 가변시키도록 페데스탈 (508) 에 대해 조정될 수도 있다는 것이 인식될 것이다. 또한, 페데스탈 (508) 및/또는 샤워헤드 (506) 의 수직 포지션은 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (508) 은 기판 (512) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (550) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
ALD 프로세스들을 위한 플라즈마들은 2 개의 용량 커플링 플레이트들을 사용하여 RF 필드를 가스에 인가함으로써 생성될 수도 있다. RF 필드에 의한 플레이트들 간의 가스의 이온화는 플라즈마를 점화하여, 플라즈마 방전 영역에서 자유 전자들 (free electrons) 을 생성한다. 이들 전자들은 RF 필드에 의해 가속화되고, 가스상 반응 물질 분자들과 충돌할 수도 있다. 이들 전자들의 반응 물질 분자들과의 충돌은 증착 프로세스에 참여하는 라디칼 종을 형성할 수도 있다. RF 필드는 임의의 적합한 전극들을 통해 커플링될 수도 있다는 것이 인식될 것이다. 전극들의 비제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지부 페데스탈들을 포함한다. ALD 프로세스들을 위한 플라즈마들은 RF 필드를 가스에 용량 커플링하는 것 이외의 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 플라즈마는 제 2 반응 물질이 스테이션의 업스트림의 리모트 플라즈마 생성기에서 점화되고 이어서 기판이 하우징되는 스테이션으로 전달되도록, 리모트 플라즈마이다.
샤워헤드 (506) 및 페데스탈 (508) 은 플라즈마에 전력을 공급하기 위해 RF (radio frequency) 전력 공급부 (514) 및 매칭 네트워크 (516) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도들, 및 가스들의 분압들 또는 가스 플로우 레이트들, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 (timing) 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (514) 및 매칭 네트워크 (516) 는 목표된 조성의 플라즈마 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (514) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (514) 는 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒ 초과, 27 ㎒ 초과, 40 ㎒ 초과, 60 ㎒ 초과 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 (discretely) 또는 연속적으로 (continuously) 조절될 수도 있다는 것이 인식될 것이다. 일 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력 공급된 플라즈마들에 대해 기판 표면과의 이온 충돌 (ion bombardment) 을 감소시키도록 간헐적으로 펄싱될 수도 있다.
ALD 프로세스 스테이션 (500) 은 또한 CVD 프로세스들을 위해 사용될 수도 있다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인-시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압 센서들, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광 방출 분광법 (optical emission spectroscopy; OES) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인-시츄 플라즈마 모니터들로부터의 측정 값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프 (feedback loop) 내에서 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 실시 예들에서, 제어기 (550) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈에 대한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 반응물질 가스 (예를 들어, 디실란과 같은 제 1 전구체) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변조하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 제 1 레시피 페이즈에서 사용된 가스와 동일하거나 상이할 수도 있는 불활성 가스, 억제제 가스 및/또는 반응 물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스 (예를 들어, 질소 또는 질소-함유 가스 또는 산소-함유 가스와 같은 제 2 반응 물질) 의 플로우 레이트를 변조하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (508) 은 히터 (510) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시 예들에서, 프로세스 스테이션 (500) 에 대한 압력 제어가 버터플라이 밸브 (518) 에 의해 제공될 수도 있다. 도 5의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (518) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (500) 의 압력 제어는 또한 프로세스 스테이션 (500) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 6은 인바운드 로드 록 (inbound load lock) (602) 및 아웃바운드 로드 록 (outbound load lock) (604) 을 갖는 멀티-스테이션 프로세싱 툴 (600) 의 실시 예의 개략도를 도시하고, 인바운드 로드 록 (602) 및 아웃바운드 로드 록 (604) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (606) 은, 카세트로부터 포드 (608) 를 통해 인바운드 로드 록 (602) 으로 로딩된 웨이퍼들을 대기 포트 (610) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (602) 내의 페데스탈 (612) 상에 로봇 (606) 에 의해 배치되고, 대기 포트 (610) 는 폐쇄되고, 로드 록은 펌프 다운된다 (pump down). 인바운드 로드 록 (602) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (614) 내로 도입되기 전에 로드 록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (602) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (614) 로의 챔버 이송 포트 (616) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 6에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (614) 는 도 6에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 618로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 도시된 프로세싱 챔버 (614) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 6은 프로세싱 챔버 (614) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (690) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (690) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 6은 또한 프로세스 툴 (600) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (650) 의 실시 예를 도시한다. 시스템 제어기 (650) 는 하나 이상의 메모리 디바이스들 (656), 하나 이상의 대용량 저장 디바이스들 (654), 및 하나 이상의 프로세서들 (652) 을 포함할 수도 있다. 프로세서 (652) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (650) 는 프로세스 툴 (600) 의 모든 액티비티들을 제어한다. 시스템 제어기 (650) 는 대용량 저장 디바이스 (654) 에 저장되고 메모리 디바이스 (656) 내로 로딩되어 프로세서 (652) 상에서 실행되는 시스템 제어 소프트웨어 (658) 를 실행한다. 대안적으로, 제어 로직은 제어기 (650) 에 하드코딩될 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (858) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (600) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (658) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 수행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (658) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (650) 와 연관된 대용량 저장 디바이스 (654) 및/또는 메모리 디바이스 (656) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (618) 상에 기판을 로딩하고 기판과 프로세스 툴 (600) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 실리콘-함유 전구체, 공-반응 물질, 억제 가스, 패시베이션 가스 및 퍼지 가스) 및 플로우 레이트들을 제어하기 위한 코드 그리고 선택 가능하게 프로세스 스테이션 내 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (650) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (650) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (650) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (600) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
시스템 제어기 (650) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따라 막 스택들의 인-시츄 증착을 동작시키기 위해 파라미터들을 제어할 수도 있다.
시스템 제어기 (650) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (650) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (650) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 프로세싱 조건들 및/또는 시스템의 타입에 따라, 시스템 제어기 (650) 는 프로세싱 가스들 및/또는 억제제 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 설정 및 동작 설정, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (650) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드 포인트 측정들을 가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (digital signal processors; DSPs), ASICs (application specific integrated circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (650) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (650) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (650) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (650) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (650) 가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (650) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 예컨대 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (650) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래픽 패터닝 (lithographic pattern) 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는 이하의 동작들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 핫 플레이트 또는 퍼니스 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 동작; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스 내로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함하고, 동작 각각은 다수의 가능한 툴들로 인에이블된다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (34)

  1. 피처들 및 피처들 사이에 개방된 갭을 포함하는 구조체를 제공하는 단계로서, 상기 개방된 갭은 측벽 표면과 하단 표면을 포함하고 깊이를 갖는, 상기 구조체 제공 단계; 및
    하나 이상의 억제 블록들 (inhibition blocks) 을 수행하는 단계를 포함하고, 억제 블록 각각은,
    (a) 상기 갭의 상기 측벽 표면 및 상기 하단 표면 상의 유전체 증착을 억제하도록 상기 구조체를 억제 처리에 노출시키는 단계, 및
    (b) 상기 갭의 상기 하단 표면 근방에 유의한 증착 없이 상기 갭의 상단부 근방에 유전체 막을 선택적으로 증착하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    증착된 유전체 재료로 상기 갭을 폐쇄하여, 상기 피처들 사이에 폐쇄된 에어 갭 (air gap) 을 형성하는, 상기 갭 폐쇄 단계를 더 포함하는, 방법.
  3. 제 2 항에 있어서,
    상기 갭은 억제 블록 동안 폐쇄되는, 방법.
  4. 제 2 항에 있어서,
    상기 하나 이상의 억제 블록들을 수행하는 단계 후에, 상기 갭을 폐쇄하기 위해 증착을 수행하는 단계를 더 포함하는, 방법.
  5. 제 1 항에 있어서,
    상기 갭을 폐쇄하는 단계 전에 상기 측벽 표면 및/또는 상기 하단 표면으로부터 표면 억제 종을 제거하기 위해 패시베이션 동작을 수행하는 단계를 더 포함하는, 방법.
  6. 제 5 항에 있어서,
    적어도 하나의 억제 블록은 패시베이션 동작을 포함하는, 방법.
  7. 제 5 항에 있어서,
    상기 패시베이션 동작은 하나 이상의 억제 블록들을 수행하는 단계 후에 수행되는, 방법.
  8. 제 5 항에 있어서,
    상기 패시베이션 동작은 상기 구조체를 산소 플라즈마에 노출시키는 단계를 포함하는, 방법.
  9. 제 2 항에 있어서,
    상기 갭은 상기 폐쇄된 에어 갭 내에 남아 있는 표면 억제 종으로 폐쇄되는, 방법.
  10. 제 1 항에 있어서,
    상기 단계 (b) 는 상기 갭의 대부분 내에서 유의한 증착 없이 수행되는, 방법.
  11. 제 1 항에 있어서,
    상기 억제 처리는 할로겐 종을 포함하는, 방법.
  12. 제 1 항에 있어서,
    상기 하나 이상의 억제 블록들을 수행하는 단계 전에 상기 측벽 표면 및 상기 하단 표면 상에 컨포멀한 (conformal) 유전체 층을 증착하는 단계를 더 포함하는, 방법.
  13. 제 12 항에 있어서,
    상기 억제 처리에 대한 노출은 억제 종이 상기 컨포멀한 유전체 층 상에 흡착되거나 상기 컨포멀한 유전체 층과 반응하도록 상기 컨포멀한 유전체 층 처리를 발생시키는, 방법.
  14. 제 12 항에 있어서,
    상기 갭을 폐쇄하는 단계 후, 화학적 기상 증착 (chemical vapor deposition; CVD) 에 의해 상기 피처들 및 상기 갭 위에 유전체 캡 층 (dielectric cap layer) 을 증착하는 단계를 더 포함하는, 방법.
  15. 제 14 항에 있어서,
    상기 유전체 캡 층은 상기 억제 블록들이 수행될 때 동일한 챔버 내에 증착되는, 방법.
  16. 제 1 항에 있어서,
    상기 구조체는 부분적으로 제조된 3D NAND 구조체인, 방법.
  17. 제 1 항에 있어서,
    상기 구조체는 부분적으로 제조된 DRAM 구조체인, 방법.
  18. 제 1 항에 있어서,
    상기 피처들은 금속 라인들인, 방법.
  19. 제 2 항에 있어서,
    상기 폐쇄된 갭의 상기 상단부는 상기 피처들의 상기 상단부 아래에 있는, 방법.
  20. 제 1 항에 있어서,
    상기 억제 블록은 상기 단계 (a) 와 상기 단계 (b) 사이에 상기 갭의 상기 상단부로부터 억제를 선택적으로 제거하는 단계를 더 포함하는, 방법.
  21. 제 1 항에 있어서,
    상기 단계 (b) 는 원자 층 증착 (atomic layer deposition; ALD) 프로세스를 포함하는, 방법.
  22. 제 21 항에 있어서,
    상기 ALD 프로세스는 플라즈마-강화되는, 방법.
  23. 제 21 항에 있어서,
    상기 ALD 프로세스는 열적 (비플라즈마 (non-plasma)) 프로세스인, 방법.
  24. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 단계 (b) 는 CVD 프로세스를 포함하는, 방법.
  25. 제 24 항에 있어서,
    상기 CVD 프로세스는 열적 (비플라즈마) 프로세스인, 방법.
  26. 제 24 항에 있어서,
    상기 CVD 프로세스는 플라즈마-강화되는, 방법.
  27. 피처들 및 피처들 사이에 개방된 갭을 포함하는 구조체를 제공하는 단계로서, 상기 개방된 갭은 측벽 표면과 하단 표면을 포함하고 깊이를 갖는, 상기 구조체 제공 단계; 및
    하나 이상의 억제 블록들을 수행하는 단계를 포함하고, 억제 블록 각각은,
    (a) 상기 갭의 상기 측벽 표면 및 상기 하단 표면 상의 유전체 증착을 억제하도록 상기 구조체를 억제 처리에 노출시키는 단계, 및
    (b) 상기 갭의 상기 하단 표면 근방에 유의한 증착 없이 상기 갭의 상단부 근방에 유전체 막을 선택적으로 증착하도록 하나 이상의 ALD 사이클들을 수행하는 단계를 포함하는, 방법.
  28. 제 27 항에 있어서,
    증착된 유전체 재료로 상기 갭을 폐쇄하여, 상기 피처들 사이에 폐쇄된 에어 갭을 형성하는, 상기 갭 폐쇄 단계를 더 포함하는, 방법.
  29. 제 28 항에 있어서,
    상기 갭은 억제 블록 동안 폐쇄되는, 방법.
  30. 제 28 항에 있어서,
    하나 이상의 ALD 사이클들의 최종 세트를 수행하는 단계를 더 포함하고, 상기 갭은 상기 하나 이상의 ALD 사이클들의 최종 세트 동안 폐쇄되는, 방법.
  31. 피처들 및 피처들 사이에 개방된 갭을 포함하는 구조체를 제공하는 단계로서, 상기 개방된 갭은 측벽 표면과 하단 표면을 포함하고 깊이를 갖는, 상기 구조체 제공 단계; 및
    하나 이상의 억제 블록들을 수행하는 단계를 포함하고, 억제 블록 각각은,
    (a) 상기 갭의 상기 측벽 표면 및 상기 하단 표면 상의 유전체 증착을 억제하도록 상기 구조체를 억제 처리에 노출시키는 단계, 및
    (b) 상기 갭의 상기 하단 표면 근방에 유의한 증착 없이 상기 갭의 상단부 근방에 유전체 막을 선택적으로 증착하도록 CVD 동작을 수행하는 단계를 포함하는, 방법.
  32. 제 31 항에 있어서,
    증착된 유전체 재료로 상기 갭을 폐쇄하여, 상기 피처들 사이에 폐쇄된 에어 갭을 형성하는, 상기 갭 폐쇄 단계를 더 포함하는, 방법.
  33. 제 32 항에 있어서,
    상기 갭은 억제 블록 동안 폐쇄되는, 방법.
  34. 제 32 항에 있어서,
    상기 갭을 폐쇄하기 위해 최종 억제 블록 후에 CVD 증착을 수행하는 단계를 더 포함하는, 방법.
KR1020227046062A 2020-06-30 2021-06-28 반도체 장치들 내 인트라레벨 커패시턴스 감소 KR20230029686A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062705506P 2020-06-30 2020-06-30
US62/705,506 2020-06-30
PCT/US2021/039444 WO2022006010A1 (en) 2020-06-30 2021-06-28 Reducing intralevel capacitance in semiconductor devices

Publications (1)

Publication Number Publication Date
KR20230029686A true KR20230029686A (ko) 2023-03-03

Family

ID=79315497

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227046062A KR20230029686A (ko) 2020-06-30 2021-06-28 반도체 장치들 내 인트라레벨 커패시턴스 감소

Country Status (6)

Country Link
US (1) US20230307290A1 (ko)
JP (1) JP2023532118A (ko)
KR (1) KR20230029686A (ko)
CN (1) CN116137931A (ko)
TW (1) TW202218048A (ko)
WO (1) WO2022006010A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024091844A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Fluorine reduction is silicon-containing films

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100286126B1 (ko) * 1999-02-13 2001-03-15 윤종용 다층의 패시배이션막을 이용한 도전층 사이에 공기 공간을 형성하는 방법
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
US9396989B2 (en) * 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) * 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9349687B1 (en) * 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect

Also Published As

Publication number Publication date
CN116137931A (zh) 2023-05-19
WO2022006010A1 (en) 2022-01-06
US20230307290A1 (en) 2023-09-28
TW202218048A (zh) 2022-05-01
JP2023532118A (ja) 2023-07-26

Similar Documents

Publication Publication Date Title
US10658172B2 (en) Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
KR102491769B1 (ko) 3d nand 제조시 계단형 봉지
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
KR20200033978A (ko) 유전체 막의 기하학적으로 선택적인 증착
US20230175117A1 (en) Seam mitigation and integrated liner for gap fill
KR20210016063A (ko) 금속-함유 하드마스크 박막들의 선택적인 성장
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
US20230154754A1 (en) Loss prevention during atomic layer deposition
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
US20230002887A1 (en) In-situ pecvd cap layer
WO2023178273A1 (en) Reducing capacitance in semiconductor devices
KR20240032126A (ko) 실리콘-함유 막들의 플라즈마 강화 원자 층 증착
TW202418351A (zh) 表面抑制原子層沉積
JP2024524553A (ja) ケイ素含有膜のプラズマ強化原子層堆積
WO2023114898A1 (en) Method to smooth sidewall roughness and maintain reentrant structures during dielectric gap fill
WO2023159012A1 (en) High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer
TW202409322A (zh) 橫向間隙填充
WO2023076524A1 (en) Atomic layer deposition seam reduction
WO2023164717A1 (en) Surface inhibition atomic layer deposition
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
CN117616540A (zh) 含硅膜的等离子体增强型原子层沉积