KR102491769B1 - 3d nand 제조시 계단형 봉지 - Google Patents

3d nand 제조시 계단형 봉지 Download PDF

Info

Publication number
KR102491769B1
KR102491769B1 KR1020227018307A KR20227018307A KR102491769B1 KR 102491769 B1 KR102491769 B1 KR 102491769B1 KR 1020227018307 A KR1020227018307 A KR 1020227018307A KR 20227018307 A KR20227018307 A KR 20227018307A KR 102491769 B1 KR102491769 B1 KR 102491769B1
Authority
KR
South Korea
Prior art keywords
oxide
carbon
encapsulation layer
substrate
silicon
Prior art date
Application number
KR1020227018307A
Other languages
English (en)
Other versions
KR20220079696A (ko
Inventor
용식 유
바트 제이. 반 슈라벤디즈크
나그라 샹카
바드리 엔. 바라다라잔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220079696A publication Critical patent/KR20220079696A/ko
Application granted granted Critical
Publication of KR102491769B1 publication Critical patent/KR102491769B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • H01L27/11551
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L27/11524
    • H01L27/11526
    • H01L27/1157
    • H01L27/11573
    • H01L27/11578
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Geometry (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

3D NAND 구조체의 제조 동안 옥사이드-옥사이드 계면의 열화를 방지하고 워드라인의 펀치쓰루 (punchthrough) 를 방지하기 위해, 계단형 구조체 위에 봉지 층 (encapsulation layer) 을 증착하기 위한 방법들 및 장치들이 제공된다. 봉지 층은 계단형 구조체 위에 옥사이드를 증착하기 전에 교번하는 옥사이드 및 나이트라이드 층들의 계단형 구조체 위에 증착된 탄소-함유 컨포멀 막이다.

Description

3D NAND 제조시 계단형 봉지{STAIRCASE ENCAPSULATION IN 3D NAND FABRICATION}
관련 출원에 대한 교차 참조
본 출원은 2016 년 11월 23일 출원된, 명칭이 "STAIRCASE ENCAPSULATION IN 3D NAND FABRICATION"인 미국 특허 가출원 번호 제 62/426,035 호 및 2016년 12월 16일 출원된, 명칭이 "STAIRCASE ENCAPSULATION IN 3D NAND FABRICATION"인 미국 특허 가출원 번호 제 62/435,500 호에 대한 우선권을 주장하는 2017년 1월 17일 출원된 명칭이 "STAIRCASE ENCAPSULATION IN 3D NAND FABRICATION"인 미국 특허 출원 번호 제 15/408,291 호의 이익을 주장하고, 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용된다.
반도체 디바이스 제조는 플래시 메모리의 제조를 수반한다. 디바이스들이 축소됨에 따라, 효율적인, 복수의 메모리 셀들을 제조하기 위한 구조체들이 메모리 디바이스의 메모리 셀들의 밀도를 최대화하도록 사용된다. 3D NAND 기술은 층들에 수직으로 메모리 셀들을 스택함으로써 2-차원 NAND 기술과 연관된 문제들을 해결한다.
반도체 기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는 3D NAND 구조체를 제조하기 위해 반도체 기판을 프로세싱하는 방법을 수반하고, 이 방법은 계단형 (staircase) 패턴의 교번하는 제 1 옥사이드 및 나이트라이드 층들을 갖는 기판을 제공하는 단계; 및 계단형 패턴 위에 제 2 옥사이드를 증착하기 전에, 제 1 옥사이드 및 나이트라이드 층들 모두를 봉지하도록 (encapsulate) 탄소-함유 봉지 층을 증착하는 단계를 포함한다.
다양한 실시예들에서, 제 2 옥사이드 대 탄소-함유 봉지 층의 건식 에칭 선택도는 약 2:1 내지 약 100:1이다. 탄소-함유 봉지 층은 약 1 ㎚ 내지 약 250 ㎚의 두께로 증착될 수도 있다.
다양한 실시예들에서, 탄소-함유 봉지 층은 실리콘 카바이드, 산소-도핑된 실리콘 카바이드, 질소-도핑된 실리콘 카바이드, 붕소-및-질소-도핑된 실리콘 카바이드, 및 이들의 조합들로 구성된 그룹으로부터 선택된 재료를 포함한다.
다양한 실시예들에서, 탄소-함유 봉지 층은 실리콘-함유 및 탄소-함유 전구체를 도입하는 단계; 기판을 하우징하는 챔버로부터 리모트인 플라즈마 소스에 소스 가스를 도입하는 단계; 소스 가스로부터 플라즈마 소스의 소스 가스의 하나 이상의 라디컬들을 생성하는 단계; 및 기판 상에 소스 가스의 하나 이상의 라디컬들을 도입하는 단계에 의해 증착되고, 소스 가스의 하나 이상의 라디컬들 모두 또는 실질적으로 모두는 탄소-함유 봉지 막을 형성하기 위해 실리콘-함유 및 탄소-함유 전구체와 반응하는 실질적으로 저 에너지 상태이다.
일부 실시예들에서, 탄소-함유 봉지 층은 ALD에 의해 증착된다. 일부 실시예들에서, 탄소-함유 봉지 층은 CVD에 의해 증착된다.
일부 실시예들에서, 탄소-함유 봉지 층은 제 1 옥사이드와 제 2 옥사이드 사이의 계면에서 열화를 방지한다. 다양한 실시예들에서, 제 1 옥사이드 층들 및 나이트라이드 층들 각각은 약 10 ㎚ 내지 약 100 ㎚의 두께이다.
계단형은 계단들을 포함하고, 계단 각각은 일 옥사이드 및 일 나이트라이드 층을 포함하고, 계단 각각은 약 150 ㎚ 내지 약 1000 ㎚의 폭을 갖는 인접한 위에 놓인 계단의 에지로부터 외측으로 연장하는 패드를 포함한다.
다양한 실시예들에서, 방법은 또한 탄소-함유 봉지 층을 증착한 후, 계단형 패턴 위에 제 2 옥사이드를 증착하는 단계; 계단형 패턴에 수직 슬릿을 에칭하는 단계; 제 1 옥사이드 층들 사이에 갭들을 형성하기 위해 제 1 옥사이드, 제 2 옥사이드, 및 탄소-함유 봉지 층에 상대적으로 나이트라이드 층들을 선택적으로 에칭하는 단계; 텅스텐 워드라인들을 형성하기 위해 제 1 옥사이드 층들 사이의 갭들에 텅스텐을 증착하는 단계; 제 2 옥사이드에 수직 비아들을 형성하도록 텅스텐 워드라인들에 대해 제 2 옥사이드를 에칭하는 단계로서, 제 2 옥사이드는 탄소-함유 봉지 층에 선택적으로 에칭되는, 제 2 옥사이드를 에칭하는 단계; 비아들의 하단부들에서 텅스텐 워드라인들을 노출하기 위해 제 1 옥사이드, 제 2 옥사이드, 및 텅스텐 워드라인들에 선택적으로 봉지 층을 에칭하는 단계; 및 텅스텐 워드라인들에 텅스텐 상호접속부들을 형성하기 위해 비아들에 텅스텐을 증착하는 단계를 포함한다.
일부 실시예들에서, 수직 비아들은 상이한 깊이들을 갖는 비아들을 포함한다. 수직 비아들은 약 50 ㎚ 내지 약 500 ㎚의 CD (critical dimension) 를 가질 수도 있다. 깊이들은 약 1 ㎛ 내지 약 12 ㎛의 범위일 수도 있다.
일부 실시예들에서, 제 1 옥사이드 층은 제 2 옥사이드를 증착하기 위한 증착 온도와 상이한 증착 온도에서 증착된다.
또 다른 양태는 3D NAND 구조체를 제조하기 위해 기판 상에 탄소-함유 봉지 막을 증착하기 위한 장치 를 수반하고, 이 장치는: 기판를 포함하는 반응 챔버; 반응 챔버에 커플링되고 반응 챔버 외부에서 플라즈마를 생성하도록 구성된 플라즈마 소스; 반응 챔버에 커플링된 하나 이상의 제 1 가스 유입구들; 반응 챔버에 커플링된 제 2 가스 유입구; 및 제어기를 포함하고, 제어기는, 실리콘-함유 및 탄소-함유 전구체의 도입하는 동작을 수행하기 위한 인스트럭션; 기판을 하우징하는 반응 챔버로부터 리모트인 플라즈마 소스의 소스 가스의 도입하는 동작을 수행하기 위한 인스트럭션; 소스 가스로부터 플라즈마 소스의 소스 가스의 하나 이상의 라디컬들의 생성하는 동작을 수행하기 위한 인스트럭션; 및 기판 상에 소스 가스의 하나 이상의 라디컬들의 도입하는 동작을 수행하기 위한 인스트럭션을 포함하고, 소스 가스의 하나 이상의 라디컬들 모두 또는 실질적으로 모두는 탄소-함유 봉지 막을 형성하기 위해 실리콘-함유 및 탄소-함유 전구체와 반응하는 실질적으로 저 에너지 상태이다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 방법을 위한 동작들을 도시하는 프로세스 흐름도이다.
도 2, 도 3 및 도 4a는 패터닝 스킴 (scheme) 의 기판의 개략적인 예시들이다.
도 4b는 도 4a의 기판의 1/2도이다.
도 5a, 도 6a, 도 7a, 도 8 및 도 9는 패터닝 스킴의 기판의 개략적인 예시들이다.
도 5b, 도 6b 및 도 7b는 각각 도 5a, 도 6a, 및 도 7a에 도시된 기판들의 개략적인 예시들의 측면도들이다.
도 10은 특정한 개시된 실시예들에 따라 수행된 방법을 위한 동작들을 도시하는 프로세스 흐름도이다.
도 11 내지 도 17은 특정한 개시된 실시예들에 따라 수행된 패터닝 스킴의 기판의 개략적인 예시들이다.
도 18, 도 20, 및 도 22는 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버들의 개략도들이다.
도 19 및 도 21은 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴들의 개략도이다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 완전한 이해를 제공하기 위해 진술된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 특정한 실시예들과 함께 기술되었지만, 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
이하에 개시된 구현예들은 웨이퍼, 기판, 또는 다른 워크피스와 같은 기판 상에 재료의 증착을 기술한다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환가능하게 사용된다.
반도체 제조는 종종 메모리 디바이스들의 제조를 수반한다. 일 예는 3D NAND 구조체의 제조이다. 그러나, 3D NAND 구조체들을 형성하기 위한 기존의 기법들은 보다 작은 디바이스들로 스케일링하는데 제한되고, 패터닝 기법들은 구조체의 컴포넌트들의 원치 않은 열화를 유발할 수 있다. 3D NAND 구조체들을 형성하기 위한 일 기법이 도 1에 도시된다.
도 1은 3D NAND 구조체를 형성하기 위한 방법에 따라 수행된 동작들의 프로세스 흐름도를 도시한다. 동작 182에서, 기판이 제공된다. 다양한 실시예들에서, 기판은 반도체 기판이다. 기판은 실리콘 웨이퍼, 예를 들어, 상부에 증착된 유전체, 도전, 또는 반도전 재료와 같은 재료의 하나 이상의 층들을 갖는 웨이퍼를 포함하여, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 일 예시적인 기판 (100) 은 도 2의 개략적인 예시로서 제공된다.
도 1을 다시 참조하면, 동작 184에서, 기판 상에 교번하는 옥사이드 및 나이트라이드 막의 막 스택이 증착된다. 다양한 실시예들에서, 증착된 옥사이드 층은 실리콘 옥사이드 층이다. 다양한 실시예들에서, 증착된 나이트라이드 층은 실리콘 나이트라이드 층이다.
옥사이드 및 나이트라이드 층 각각은 약 10 ㎚ 내지 약 100 ㎚, 또는 일부 실시예들에서 약 350 Å와 같이 거의 동일한 두께로 증착된다. 옥사이드 층들은 거의 상온 내지 약 600 ℃의 증착 온도로 증착될 수도 있다. 본 명세서에 사용된 바와 같은 "증착 온도" (또는 "기판 온도") 는 증착 동안 기판을 홀딩하는 페데스탈이 설정되는 온도를 지칭한다는 것이 이해될 것이다.
교번하는 옥사이드 및 나이트라이드 막 스택을 형성하기 위한 옥사이드 및 나이트라이드 층들은 ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), CVD (chemical vapor deposition), PECVD (chemical vapor deposition), 또는 스퍼터링과 같은 임의의 적합한 기법을 사용하여 증착될 수도 있다. 다양한 실시예들에서, 옥사이드 및 나이트라이드 층들은 PECVD에 의해 증착된다.
막 스택은 48 내지 512 개의 층들의 교번하는 옥사이드 및 나이트라이드 층들을 포함할 수도 있고, 옥사이드 층 또는 나이트라이드 층 각각은 일 층으로 구성된다. 교번하는 옥사이드 및 나이트라이드 층들을 포함하는 막 스택은 ONON 스택으로 참조될 수도 있다.
도 3은 기판 (100) 상에 증착된 교번하는 옥사이드 막 (101) 및 나이트라이드 막 (102) 을 갖는 기판 (100) 의 예시적인 개략적인 예시를 도시한다. 도 3에 도시된 구조체는 옥사이드가 먼저 증착되고 이어서 나이트라이드, 옥사이드, 나이트라이드, 등이 증착될 수도 있지만, 나이트라이드가 먼저 증착되고, 이어서 옥사이드, 나이트라이드, 옥사이드, 등이 증착될 수도 있다는 것을 주의한다.
ONON 스택의 증착에 이어서, 채널들 (도 3에는 미도시) 이 기판에 에칭될 수도 있다. 후속하여, 도 1을 참조하면, 동작 186에서, 계단형 패턴이 기판 상에 형성된다. 본 명세서에 지칭된 바와 같은 "계단형 패턴"은 2 이상의 계단들을 포함하고, 계단 각각은 옥사이드 및 나이트라이드 층을 포함한다. 옥사이드 및 나이트라이드 층들의 세트 각각의 상단 층은 계단형의 계단들의 형성을 위한 옥사이드 또는 나이트라이드일 수도 있다는 것이 이해될 것이다. 다양한 실시예들에서, 계단형 패턴은 24 내지 256 개의 계단들을 포함한다. 계단형 패턴은 다양한 패터닝 기법들을 사용하여 형성될 수도 있다. 예를 들어, 일 기법은 기판 위에 희생 층을 증착하는 단계 및 계단형을 형성하기 위해 옥사이드 및 나이트라이드 층들의 세트 각각을 에칭하도록 기판의 영역들을 마스킹하는 단계를 포함할 수도 있다.
도 4a는 최상단 나이트라이드 층 위에 하드마스크 (110) 를 갖는 옥사이드 (111) 층 및 나이트라이드 (112) 층의 계단형 패턴을 포함하는 기판 (100) 의 예를 제공한다. 도 4a가 4 개의 계단들의 계단형 패턴을 도시하지만, 계단형 패턴이 24 내지 256 개의 계단들을 가질 수도 있다는 것이 이해될 것이다. 계단 각각은 나이트라이드 및 옥사이드 층을 포함하고, 도 4a에 도시된 바와 같이 거리 d는 약 150 ㎚ 내지 약 1000 ㎚, 예컨대 약 500 ㎚일 수도 있다. 그 위의 계단의 에지로부터 외측으로 연장하는 계단 각각의 이 영역은 "패드"로 지칭될 수도 있다.
논의의 목적들을 위해, 이하의 논의 및 기판의 후속하는 개략적인 예시들은 도 4b에 도시된 바와 같은 1/2 도 (199) 를 포함할 것이다.
도 1의 동작 188에서, 옥사이드가 기판 위에 증착된다. 다양한 실시예들에서, 옥사이드는 ONON 스택의 층들에 증착된 옥사이드와 동일한 조성일 수도 있다. 다양한 실시예들에서, 기판 위에 증착된 옥사이드는 ONON 스택에 옥사이드 층들을 증착하기 위해 사용된 증착 온도와 상이한 증착 온도로 증착된다. 증착 온도는 상온 내지 약 600 ℃일 수도 있다. 수직 슬릿들은 후속하여 옥사이드가 증착된 후 기판 내로 에칭될 수도 있다.
도 5a는 기판 위에 증착된 ONON 계단형, 하드마스크 (110), 및 옥사이드 (122) 를 포함하는 예시적인 기판 (100) 을 도시한다. 도 5b는 수직 슬릿들 (130) 이 에칭된 후, 기판 (100) 의 측면도를 도시한다.
동작 190에서, 나이트라이드는 기판 상의 옥사이드에 상대적으로 선택적으로 에칭된다. 에칭은 선택적인 건식 에칭 프로세스를 사용하여, 예컨대 다음 가스들: 염소 (Cl2), 산소 (O2), 아산화질소 (N2O), 테트라플루오로메탄 (CF4), 테트라플루오라이드 황 (SF4), 이산화탄소 (CO2-), 플루오로메탄 (CH3F), 트리플루오라이드 질소 (NF3), 질소 (N2), 수소 (H2), 암모니아 (NH3), 메탄 (CH4), 헥사플루오라이드 황 (SF6), 아르곤 (Ar), 카르보닐 설파이드 (COS), 이황화탄소 (CS2), 황화 수소 (H2S), 및 산화 질소 (NO) 중 임의의 하나 이상에 기판을 노출함으로써 수행될 수도 있다. 이 동작은 에칭 종들이 수직 슬릿 내로 흐르도록 ONON 스택으로부터 나이트라이드 층들을 제거하고 나이트라이드를 선택적으로 에칭한다. 선택적인 에칭은 제 2 재료를 에칭하는 것보다 고속의 레이트로 제 1 재료를 에칭하는 것을 수반한다는 것이 이해될 것이다. 예를 들어, 옥사이드에 상대적으로 나이트라이드를 선택적으로 에칭하는 것은 나이트라이드가 옥사이드의 에칭보다 고속으로 에칭된다는 것을 의미한다. 나이트라이드는 습식 에칭 프로세스를 사용하여, 예컨대 인산 (H3PO4) 및/또는 희불산 ("DHF") 또는 이들 용액들의 혼합물에 기판을 노출함으로써, 선택적으로 에칭된다. 그러나, 나이트라이드를 선택적으로 제거하기 위한 종래의 기법들은 열화 및 계단형 각각의 단부에서 옥사이드-옥사이드 계면에서와 같이, 다양한 계면들에서 옥사이드 재료의 제거 위험을 제기한다. 도 6a는 에칭 나이트라이드로부터 형성된 수평 갭들 (132) 을 갖는 기판 (100) 의 예시적인 개략적인 예시를 도시하지만, 170에서 원 내에 도시된 줌-인 도면으로 도시된 바와 같이, 갭 (134) 은 에칭 종이 갭들 (132) 내로 흐르고 에칭 동작 동안 옥사이드에서 에칭되기 때문에, 옥사이드-옥사이드 계면에 형성된다. 도 6b는 나이트라이드를 선택적으로 에칭하는 것으로부터 갭들 (132) 이 형성되는 기판의 단면의 측면도를 도시한다.
동작 192에서, 텅스텐은 텅스텐 워드라인들을 형성하도록 기판의 갭들 내로 증착된다. 텅스텐은 ALD, CVD, PEALD, 및/또는 PECVD와 같은 임의의 적합한 기법에 의해 증착될 수도 있다. 일부 실시예들에서, 배리어 층 및/또는 텅스텐 핵생성 층은 벌크 텅스텐을 증착하기 전에 증착된다. 도 7a는 증착된 텅스텐 워드라인들 (140) 을 포함하는 기판 (100) 의 예를 도시한다. 그러나, 170에서 줌-인 도면으로 도시된 바와 같이 옥사이드-옥사이드 계면에서 옥사이드의 열화로 인해, 텅스텐은 141에서 갭을 충진하여, 쇼트를 유발할 수 있는 2 개의 워드라인들을 연결한다. 도 7b는 이전에 나이트라이드가 있었던 갭들 내에 증착된 텅스텐 (140) 을 갖는 측면도로부터 단면도에 도 7a의 기판의 개략적인 예시를 도시한다.
도 1을 다시 참조하면, 동작 194에서, 옥사이드는 비아들을 형성하도록 수직으로 에칭된다. 옥사이드는 다음 가스들: O2, Ar, C4F6, C4F8, SF6, CHF3, 및 CF4 중 하나 이상으로의 노출을 사용하여 건식 에칭에 의해 에칭될 수도 있다. 도 8은 비아들 (137) 이 옥사이드 (122) 내에 에칭된, 계단형 패턴의 ONON 스택을 포함하는 예시적인 기판 (100) 을 도시한다. 그러나, 텅스텐 워드라인 층의 박형으로 인해 옥사이드의 수직 에칭을 보장하기 위해 사용된 지속기간은 가장 깊은 비아들 (예를 들어, 137b) 을 에칭하기 충분하고, 에칭 종이 옥사이드의 얕은 부분들에 대해 에칭되는 비아들 (예를 들어, 137a) 내로 흘러, 텅스텐 층 (136) 을 에칭쓰루하고 심지어 또 다른 옥사이드 층 (138) 을 에칭쓰루한다. 이는 아래의 층들로 콘택트 펀치쓰루 또는 브레이크쓰루를 유발한다.
도 1에서, 동작 196에서, 텅스텐은 텅스텐 워드라인들으로 상호접속부들을 형성하기 위해 비아들 내에 증착된다. 그러나, 도 9에 도시된 바와 같이, 깊은 비아들을 에칭하도록 사용된 지속기간으로 인해 얕은 비아들이 아래의 층들로 브레이크쓰루를 유발하기 때문에, 텅스텐은 비아들을 충진하고 (충진된 텅스텐 비아 (142) 참조) 도 9의 172에서 원에서와 같이 쇼트를 유발한다. 비아들은 깊이가 가변하고 약 1 ㎛ 내지 약 12 ㎛의 깊이를 가질 수도 있다. 얕은 비아들은 3.0 ㎛ 미만, 예컨대 약 1.5 ㎛ 내지 3.0 ㎛의 깊이를 갖는 것으로 규정될 수도 있다. 깊은 비아들은 3.0 ㎛보다 큰 깊이를 가질 수도 있다. 옥사이드 내에 형성된 비아들의 CD는 약 50 ㎚ 내지 약 500 ㎚일 수도 있다. 비아들은 옥사이드를 패터닝하기 위한 마스킹 동작들을 수반할 수도 있는 건식 에칭 프로세스를 사용하여 에칭될 수도 있다.
3D NAND 구조체들을 형성하기 위한 종래의 기법들은 상이한 깊이들의 비아들을 에칭할 때 나이트라이드의 선택적인 제거 및 텅스텐 워드라인들의 펀치쓰루 동안 옥사이드-옥사이드 계면에서 열화를 발생시킨다. 현재 기법들은 상이한 화학물질들 및 프로세스 조건들을 사용하여 상이한 깊이들의 비아들을 에칭하기 위해 기판의 영역들을 마스킹하기 위해 다양한 화학물질들 및 패터닝 프로세스들을 사용하여 수행된 폭넓은 에칭 기법들을 수반한다. 이러한 프로세스들은 쓰루풋을 감소시키고 제조 프로세스들의 효율을 감소시킨다.
나이트라이드 에칭 동안 에칭 선택도를 상승시키기 위한 재료 및 텅스텐 워드라인들 상의 패드들에 비아들을 형성하기 위한 에칭 정지 층 모두로 작동하도록 계단형 패턴 위에 봉지 층을 증착함으로써 텅스텐 워드라인의 펀치쓰루 없이 옥사이드-옥사이드 계면들을 열화시키지 않고 3D NAND 구조체들을 형성하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 봉지 층들은 ALD 기법 및/또는 CVD 기법을 사용하여 증착된 컨포멀한, 탄소-함유 층들이다.
도 10은 특정한 개시된 실시예들에 따라 수행된 방법을 위한 동작들의 프로세스 흐름도이다. 동작 1082 및 동작 1084는 각각 상기 도 1의 동작 182 및 184와 동일하거나 유사할 수도 있다. 동작 1086에서, 계단형 패턴이 기판 상에 형성된다. 동작 1086은 상기 기술된 바와 같이 도 1의 동작 186과 동일하거나 유사할 수도 있다. ONON 스택의 증착에 이어, 채널들이 기판 내에 에칭될 수도 있다.
동작 1202에서, 동작 1088에서 기판 위에 옥사이드를 증착하기 전에, 탄소-함유 봉지 층이 계단형 위에 증착된다. 탄소-함유 봉지 층은 실리콘 카바이드 (SiC), 산소-도핑된 실리콘 카바이드 (SiCO), 질소-도핑된 실리콘 카바이드 (SiCN), 붕소-및-질소-도핑된 실리콘 카바이드 (SiBCN), 및 이들의 조합들 중 임의의 하나일 수도 있다. 탄소-함유 봉지 층들을 형성하기 위한 전구체들 및 반응물질들은 증착될 탄소-함유 봉지 층의 화학물질 또는 재료에 종속된다.
탄소-함유 봉지 층은 약 100 ℃ 내지 약 700 ℃, 또는 약 150 ℃ 내지 약 400 ℃, 예컨대 약 400 ℃의 적합한 증착 온도에서 증착될 수도 있다. 다양한 실시예들에서, 탄소-함유 봉지 층의 증착 동안 기판을 하우징하는 챔버의 챔버 압력은 사용된 화학물질 및 상부에 봉지 층이 증착되는 기판에 따라 선택될 수도 있다. 예를 들어, 일부 실시예들에서, 챔버 압력은 약 1 Torr 내지 약 10 Torr, 또는 약 1.5 Torr 내지 약 7 Torr일 수도 있다.
탄소-함유 봉지 층은 ALD 또는 CVD를 사용하여 증착된 컨포멀 막이다. 다양한 실시예들에서, 탄소-함유 봉지 층은 리모트 플라즈마 CVD 프로세스를 사용하여 증착될 수도 있다. 일부 실시예들에서, 증착된 막들은 컨포멀하다. 막들의 컨포멀성 (conformality) 은 단차 커버리지 (step coverage) 에 의해 측정될 수도 있다. 본 명세서에 사용된 바와 같은 "단차 커버리지"는 측벽 상에 증착된 막의 평균 두께를 피처의 상단부에 증착된 막의 평균 두께로 나누고 백분율을 얻기 위해 100을 곱함으로써 계산된다. 개시된 실시예들은 적어도 약 50 %, 또는 적어도 약 95 %, 또는 약 100 %, 또는 100 %의 단차 커버리지를 갖는 막들을 증착할 수도 있다. 컨포멀 막들이 다양한 실시예들에서 사용될 수도 있지만, 탄소-함유 봉지 층은 일부 실시예들에서 컨포멀할 필요는 없을 수도 있다. 흥미롭게도, 불량한 단차 커버리지를 갖는 막이 여전히 효과적인 봉지 층 속성들을 가지면서 다양한 실시예들에서 여전히 증착될 수도 있다. 피처의 편평한 부분의 재료는 에칭 정지부로서 작동하고, 따라서 피처의 수평 편평한 부분을 실질적으로 또는 완전히 커버하기 충분한 두께로 증착된다. 반대로, 측벽 상의 재료는 건식 에칭 정지부일 필요는 없다. 측벽 상의 재료는 (SiN 제거 동안) 습식 에칭 정지부로서 작동하고 SiN 제거 동안 충분한 에칭 선택도를 갖는 한, 측벽 상의 두께는 중대하지 않을 수도 있다. 그러나, 측벽 상의 막 품질이 상단부 및 하단부와 동일하게 우수할 것이 여전히 목표된다. PECVD가 측벽 및 하단부들 모두에 대해 고품질 막들을 발생시키지 않을 수도 있지만, 리모트 플라즈마 CVD를 사용한 증착이 보다 적합할 수도 있다.
봉지 층의 두께는 ONON 스택의 두께에 종속된다. 다양한 실시예들에서, 봉지 층은 약 1 ㎚ 내지 약 350 ㎚의 두께로 증착된다.
봉지 층이 나이트라이드의 습식 에칭 동안 나이트라이드보다 실질적으로 느린 레이트로 에칭되도록 봉지 층은 고 습식 에칭 선택도를 허용하도록 탄소를 포함한다. 예를 들어, 4.5의 유전 상수를 갖는 산소-도핑된 실리콘 카바이드 층에 대해, H3PO4 및 100:1 DHF (희불산) 에서 습식 에칭 레이트는 거의 0인 한편, H3PO4 에서 Si3N4에 대한 습식 에칭 레이트는 60 Å/min 이상이고 100:1 DHF에서 약 5.0 Å/min이다.
탄소-함유 봉지 층에 대해 선택된 재료는 옥사이드 대 탄소-함유 봉지 층의 건식 에칭 선택도로 하여금 약 2:1 내지 약 100:1, 또는 약 20:1 내지 약 50:1이게 하는 기판 상에 나이트라이드 막 및 옥사이드 막에 종속된다. 이는 봉지 층으로 하여금 옥사이드에 비아들을 형성하기 위해 후속 에칭에서 에칭 정지 층으로서 작동하게 한다.
본 명세서에 기술된 바와 같이 탄소-함유 봉지 층을 증착하기 위한 프로세스의 일 예는 다음의 동작들을 포함할 수도 있다. 실리콘 카바이드들을 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함할 수 있다. 일부 실시예들에서, 실리콘 카바이드 탄소-함유 봉지 층을 증착하기 위한 전구체 분자들은 실리콘-함유 전구체 및 탄소-함유 전구체일 수도 있다. 실리콘 옥시카바이드들을 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 그리고 실리콘-산소 (Si-O) 결합들 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. 실리콘 나이트리카바이드들을 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 그리고 실리콘-질소 (Si-N) 결합들 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. 실리콘 옥시 나이트리카바이드들을 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 그리고 실리콘-질소 (Si-N) 결합들, 실리콘-산소 (Si-O) 결합들, 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. 일부 실시예들에서, 실리콘-함유 전구체들은 Si-O 결합들을 갖는 반응물질 및 Si-C 결합들을 갖는 반응물질들을 포함할 수 있다. 임의의 수의 적합한 반응물질들이 본 개시의 범위 내에서 채용될 수도 있다는 것이 이해될 것이다. 실리콘-함유 전구체들은 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합들을 포함한다. 증착 프로세스 동안, Si-H 결합들 및/또는 Si-Si 결합들은 깨지고 (broken) 탄소-함유 봉지 층과 같은 증착된 실리콘 카바이드 막 내 실리콘-함유 전구체들 사이에 결합들을 형성하기 위한 반응성 사이트들로서 작용한다. 깨진 결합들은 또한 증착 동안 또는 증착 후 수행된 열적 프로세싱 동안 교차-결합을 위한 사이트들로서 작용할 수 있다. 반응성 사이트들에서 결합 및 교차-결합은 탄소-함유 봉지 층으로서 발생되는 실리콘 카바이드 막에서 집합적으로 1차 백본 또는 매트릭스를 형성할 수 있다. 실리콘 카바이드 막들이 예시적인 탄소-함유 봉지 층들로서 본 명세서에 기술되지만, 탄소-함유 봉지 층들이 증착될 수도 있다는 것이 이해될 것이다. 예를 들어, 탄소-함유 봉지 층은 실리콘 카바이드, 산소-도핑된 실리콘 카바이드, 질소-도핑된 실리콘 카바이드, 붕소-및-질소-도핑된 실리콘 카바이드, 및 이들의 조합들 중 임의의 하나를 포함할 수도 있다. 또한, 일부 실시예들에서, 탄소-함유 봉지 층은 상기 식별된 재료들 중 임의의 하나 이상을 갖는 탄소-함유 재료의 하나 이상의 층들을 포함할 수도 있고, 일부 경우들에서 탄소-함유 봉지 막으로서 지칭될 수도 있다는 것이 이해될 것이다.
논의된 바와 같이, 실리콘 카바이드 막들을 형성하는데 채용된 전구체들은 적어도 하나의 Si-H 결합 및/또는 적어도 하나의 Si-Si 결합을 갖는 적어도 일부의 실리콘-함유 전구체들을 갖는 실리콘-함유 전구체들을 포함할 수 있다. 특정한 실시예들에서, 실리콘-함유 전구체는 실리콘 원자마다 최대 하나의 수소 원자를 갖는다. 따라서, 예를 들어, 하나의 실리콘 원자를 갖는 전구체는 실리콘 원자에 결합된 최대 하나의 수소 원자를 갖고; 2 개의 실리콘 원자들을 갖는 전구체는 하나의 실리콘 원자에 결합된 하나의 수소 원자 및 선택가능하게 제 2 실리콘 원자에 결합된 또 다른 수소 원자를 갖고; 3개의 실리콘 원자들을 갖는 전구체는 하나의 실리콘 원자에 결합된 적어도 하나의 수소 원자 및 선택가능하게 1 또는 2 이상의 남아 있는 실리콘 원자들에 결합된 1 또는 2 이상의 수소 원자들을 갖고, 이렇게 계속된다. 이에 더하여, 실리콘-함유 전구체들은 적어도 하나의 Si-O 결합, 적어도 하나의 Si-N 결합, 및/또는 적어도 하나의 Si-C 결합을 포함할 수도 있다. 임의의 수의 적절한 전구체들이 실리콘 카바이드 막들을 형성에 사용될 수 있지만, 전구체들 중 적어도 일부는 적어도 하나의 Si-H 결합 또는 Si-Si 결합, 및 선택가능하게 적어도 하나의 Si-O 결합, Si-N 결합, 및/또는 Si-C 결합을 갖는 실리콘-함유 전구체들을 포함할 것이다. 다양한 구현예들에서, 실리콘-함유 전구체(들)는 O-C 또는 N-C 결합들을 포함하지 않고; 예를 들어, 전구체(들)는 알콕시 (-O-R) 를 포함하지 않고, 여기서 R은 하이드로카본기 (hydrocarbon group), 또는 아민 (-NR1R2) 기들과 같은 유기기이고, R1 및 R2는 독립적으로 수소기 또는 유기기이다.
특정한 실시예들에서, 실리콘 카바이드 막에 제공된 적어도 일부 탄소는 실리콘-함유 전구체 상의 하나 이상의 하이드로카본 모이어티들 (moieties) 에 의해 제공된다. 이러한 모이어티들은 알킬기들, 알켄기들, 알킨기들, 아릴기들, 등으로부터의 모이어티들일 수도 있다. 특정한 실시예들에서, 하이드로카본기는 증착 동안 Si-H 및/또는 Si-Si 결합 분열 반응의 입체 장애 (steric hindrance) 를 최소화하기 위해 단일 탄소 원자를 갖는다. 그러나, 전구체들은 단일-탄소기들로 제한되지 않고, 보다 많은 수의 탄소 원자들이 2, 3, 4, 5, 또는 6 개의 탄소 원자들과 같이 사용될 수도 있다. 특정한 실시예들에서, 하이드로카본기는 선형이다. 특정한 실시예들에서, 하이드로카본기는 고리형이다.
일부 실시예들에서, 실리콘-함유 전구체는 화학적 부류로 나눠진다. 실리콘-함유 전구체들의 다른 화학적 부류들이 채용될 수도 있고 실리콘-함유 전구체들은 이하에 논의된 화학적 부류들로 제한되지 않는다는 것이 이해될 것이다.
일부 실시예들에서, 실리콘-함유 전구체는 실록산일 수 있다. 일부 실시예들에서, 실록산은 고리형일 수도 있다. 고리형 실록산류는 사이클로테트라실록산류 (cyclotetrasiloxanes), 예컨대 2,4,6,8-테트라메틸사이클로테트라실록산 (TMCTS: 2,4,6,8-tetramethylcyclotetrasiloxane), 옥타메틸사이클로테트라실록산 (OMCTS: octamethylcyclotetrasiloxane), 및 헵타메틸사이크로테트라실록산 (HMCTS: heptamethylcyclotetrasiloxane) 을 포함할 수도 있다. 다른 고리형 실록산류는 또한 이로 제한되는 것은 아니지만, 사이클로트리실록산류 및 사이클로펜타실록산류를 포함할 수 있다. 고리형 실록산류를 사용하는 실시예들은, 링의 반경에 대응하는 포어들의 사이즈를 갖는, 산소 도핑된 실리콘 카바이드 막에 다공성을 도입할 수 있는 링 구조들이다. 예를 들어, 사이클로테트라실록산 링은 약 6.7 Å의 반경을 가질 수 있다.
일부 실시예들에서, 실록산은 3차원 구조 또는 케이지된 구조를 가질 수도 있다. 케이지된 실록산들은 다면체 또는 임의의 3-D 구조체를 형성하기 위해 산소 원자들을 통해 서로 브리지된 (bridged) 실리콘 원자들을 갖는다. 케이지된 실록산 전구체 분자의 일 예는 실세스퀴녹산 (silsesquioxane) 이다. 케이지된 실록산 구조체들은 Cleemput 등이 공동으로 소유한 미국 특허 제 6,576,345 호에 더 상세히 기술되고, 전체 내용이 모든 목적들을 위해 참조로서 본 명세서에 인용된다. 고리형 실록산류와 같이, 케이지된 실록산은 산소 도핑된 실리콘 카바이드 막에 다공성을 도입할 수 있다. 일부 실시예들에서, 다공성 크기는 메조포러스 (mesoporous) 이다.
일부 실시예들에서, 실록산은 선형일 수도 있다. 적합한 선형 실록산류의 예들은 이로 제한되는 것은 아니지만, 디실록산류, 예컨대 펜타메틸디실록산 (PMDSO: pentamethyldisiloxane) 및 테트라디메틸디실록산 (TMDSO: tetramethyldisiloxane), 및 트리실록산류, 예컨대 헥사메틸트리실록산, 헵타메틸트리실록산을 포함한다.
일부 실시예들에서, 실리콘-함유 전구체는 알킬 실란일 수 있고 또는 다른 하이드로카본-치환된 실란일 수 있다. 알킬 실란류는 중심 실리콘 원자에 결합된 하나 이상의 알킬기들 뿐만 아니라 중심 실리콘 원자에 결합된 하나 이상의 수소 원자들을 갖는 중심 실리콘 원자를 포함한다. 특정한 실시예들에서, 임의의 하나 이상의 알킬기들은 1 내지 5 개의 탄소 원자들을 함유한다. 하이드로카본기들은 포화될 수도 있고 또는 불포화될 (예를 들어, 알켄 (예를 들어, 비닐) 기, 알킨기, 및 방향족들) 수도 있다. 예들은 이로 제한되는 것은 아니지만, 트리메틸실란 (3MS: trimethylsilane), 트리에틸실란 (triethylsilane), 펜타메틸 디실라메탄 (pentamethyl disilamethane) ((CH3)2Si-CH2-Si(CH3)3), 및 디메틸실란 (2MS: dimethylsilane) 을 포함한다.
일부 실시예들에서, 실리콘-함유 전구체는 알콕시 실록산일 수 있다. 알콕시 실록산류는 중심 실리콘 원자에 결합된 하나 이상의 알콕시 기들 및 중심 실리콘 원자에 결합된 하나 이상의 수소 원자들을 갖는 중심 실리콘 원자를 포함한다. 예들은 이로 제한되는 것은 아니지만, TMOS (trimethoxysilane), DMOS (dimethoxysilane), MOS (methoxysilane), MDMOS (methyldimethoxysilane), DEMS (diethyoxymethylsilane), DMES (dimethylethoxysilane), 및 DMMOS (dimethylmethoxysilane) 을 포함한다.
부가적으로, 디실란류, 트리실란류, 또는 다른 보다 고차 실란류가 모노실란류 대신 사용될 수도 있다. 알킬 실란 부류로부터 이러한 디실란의 일 예는 헥사메틸디실란 HMDS (hexamethyldisilane) 이다. 알킬 실란 부류로부터 디실란의 또다른 예는 PMDS (pentamethyldisilane) 를 포함할 수 있다. 다른 타입들의 알킬 실란류는 실리콘 원자에 결합 탄소뿐만 아니라 실리콘 원자에 결합된 알킬기들을 갖는 분기된 (branched) 고분자 구조를 가질 수 있는, 알킬카보실란류를 포함할 수 있다. 예들은 DTMSM (dimethyl trimethylsilyl methane) 및 BDMSE (bis-dimethylsilyl ethane) 을 포함한다. 일부 실시예들에서, 실리콘 원자들 중 하나는 실리콘 원자에 부착된 탄소-함유기 또는 하이드로카본-함유기를 가질 수 있고, 실리콘 원자들 중 하나는 실리콘 원자에 부착된 수소 원자를 가질 수 있다.
실리콘 카바이드 증착시, 복수의 실리콘-함유 전구체들이 프로세스 가스 내에 존재할 수 있다. 예를 들어, 실록산 및 알킬 실란은 함께 사용될 수도 있고, 또는 실록산 및 알콕시 실록산은 함께 사용될 수도 있다. 개별 전구체들의 상대적인 비율들은 선택된 전구체들의 화학적 구조들 및 발생되는 실리콘 카바이드 막의 애플리케이션에 기초하여 선택될 수 있다.
일부 실시예들에서, 프로세스 조건들은 증착될 때 실리콘 카바이드 막의 층의 Si-C 결합들, 및 존재한다면, Si-O 및 Si-N 결합들을 실질적으로 보호할 수 있다. 이에 따라, 기판에 인접한 반응 조건들은 예를 들어, 분열된 Si-H 결합들로부터 수소를 추출하는, Si-H 결합들 및/또는 Si-Si 결합들의 선택적 분열을 제공하지만, 반응 조건들은 Si-O 결합들로부터 산소, Si-N 결합들로부터 질소, 또는 Si-C 결합들로부터 탄소를 추출하는 것은 제공하지 않는다. 그러나, 이하에 논의된 바와 같이, 산소와 같은 공-반응물질의 도입으로 Si-C 결합들로부터 탄소를 추출할 수도 있다. 일반적으로, 기술된 반응 조건들이 기판의 노출된 면 (실리콘 카바이드 막이 증착되는 면) 에 존재한다. 이 조건들은 또한 기판 위로 일정 거리에, 예를 들어, 기판 위로 약 0.5 ㎛ 내지 약 150 ㎜에 존재할 수도 있다. 사실상, 전구체의 활성화 (activation) 는 기판 위로 상당한 거리에서 가스 상 (phase) 으로 발생할 수 있다. 통상적으로, 특정한 애플리케이션들이 어느 정도 변형을 허용할 수도 있지만, 관련한 반응 조건들은 기판의 전체 노출된 표면 위에서 균일하거나 실질적으로 균일할 것이다.
실리콘-함유 전구체들에 더하여, 워크피스 (예를 들어, 기판 (100)) 에 인접한 환경은 바람직하게 실질적으로 저 에너지 상태인 하나 이상의 라디컬 종을 포함할 수 있다. 이러한 종의 예는 수소 원자 라디컬들을 포함한다. 일부 실시예들에서, 모든, 또는 실질적으로 모든, 또는 상당한 분획 (fraction) 의 수소 원자 라디컬들은 바닥 상태에 있다, 예를 들어, 워크피스에 인접한 수소 원자 라디컬들의 적어도 약 90 % 또는 95 %가 바닥 상태에 있다. 특정한 실시예들에서, 소스 가스는 헬륨과 같은 캐리어 가스에 제공된다. 수소 가스는 다양한 실시예들에서 소스 가스일 수도 있다. 예로서, 수소 가스는 약 1 내지 10 %의 수소 농도로 헬륨 캐리어에 제공될 수도 있다. 저 에너지 상태의 라디컬들이 재결합하지 않기 때문에 수소 원자들이 기판 (100) 과 직면하도록 (encounter) 압력, 헬륨과 같은 캐리어 가스의 분획 및 다른 프로세스 조건들이 선택된다.
수소 가스는 수소 원자 라디컬들 또는 수소 라디컬들을 생성하기 위해 리모트 플라즈마 소스 내로 공급될 수도 있다. 일단 생성되면, 수소 원자 라디컬들은 여기된 (excited) 에너지 상태에 있을 수도 있다. 예를 들어, 여기된 에너지 상태의 수소는 적어도 10.2 eV (제 1 여기된 상태) 의 에너지를 가질 수 있다. 여기된 수소 원자 라디컬들은 실리콘-함유 전구체의 비선택적인 (unselective) 분해를 유발할 수도 있다. 예를 들어, 여기된 상태의 수소 원자 라디컬들은 Si-H, Si-Si, Si-N, Si-O, 및 Si-C 결합들을 용이하게 분열시킬 수 있고, 이는 실리콘 카바이드 막의 조성 또는 물리적 특성들 또는 전기적 특성들을 변경할 수 있다. 일부 구현예들에서, 여기된 수소 원자 라디컬들이 에너지를 손실하거나 완화되면, 여기된 수소 원자 라디컬은 실질적으로 저 에너지 상태 수소 원자 라디컬 또는 바닥 상태 수소 원자 라디컬이 될 수도 있다. 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 원자 라디컬들은 일반적으로 Si-O 결합, Si-N 결합, 및 Si-C 결합을 보존하면서 Si-H 결합들 및 Si-Si 결합들을 선택적으로 분열시킬 수 있다. 일부 구현예들에서, 프로세스 조건들은 여기된 수소 원자 라디컬들이 실질적으로 저 에너지 상태 또는 바닥 상태 수소 원자 라디컬들을 형성하기 위해 에너지를 손실하거나 완화되도록 제공될 수도 있다. 예를 들어, 리모트 플라즈마 소스 또는 연관된 컴포넌트들이 리모트 플라즈마 소스로부터 기판으로 확산하는 수소 원자 라디컬들의 체류 시간이 여기된 수소 원자 라디컬의 에너지의 (energetic) 완화 시간보다 길도록 설계될 수도 있다. 여기된 수소 원자 라디컬에 대한 에너지의 완화 시간은 약 1x10-3 초와 거의 같거나 보다 작을 수 있다.
수소 원자 라디컬들의 상당한 분획이 바닥 상태에 있는 상태가 다양한 기법들에 의해 달성될 수 있다. 이하에 기술된 바와 같은 일부 장치가 이 상태를 달성하도록 설계된다. 장치 피처들 및 프로세스 제어 피처들이 수소 원자 라디컬들의 상당한 분획이 바닥 상태인 순한 상태를 생성하기 위해 테스트되고 튜닝될 수 있다. 예를 들어, 장치는 플라즈마 소스의 다운스트림에; 즉, 기판 근방에 충전된 입자들에 대해 동작되고 테스트될 수도 있다. 프로세스 및 장치는 대전된 종이 기판 근방에 실질적으로 존재하지 않을 때까지 튜닝될 수도 있다. 부가적으로, 장치 피처들 및 프로세스 피처들은 트리메틸실란과 같은 표준 전구체로부터 실리콘 카바이드 막을 생성하기 시작하는 구성으로 튜닝될 수도 있다. 이러한 막 증착을 지지하는 상대적으로 순한 조건들이 선택된다.
일부 실시예들에서, 프로세스 조건들은 Si-O, Si-N, 및 Si-C 결합들을 실질적으로 보존하면서, Si-H 결합들 및/또는 Si-Si 결합들을 분열시키기 충분한 실질적으로 저 에너지 상태의 라디컬 종을 채용한다. 이러한 프로세스 조건들은 바닥 상태 이상의 상태들과 같은 고 에너지 상태들인 상당한 양의 이온들, 전자들 또는 라디컬 종을 갖지 않을 수도 있다. 일부 실시예들에서, 막에 인접한 영역에서 이온들의 농도는 약 107/㎤보다 크지 않다. 상당한 양의 이온들 또는 고 에너지 라디컬들의 존재는 Si-O 결합, Si-N 결합, 및 Si-C 결합들을 분열시키는 경향이 있을 수도 있고, 이는 바람직하지 않은 전기적 속성들 (예를 들어, 고 유전 상수들 및/또는 저 브레이크다운 전압들) 및 불량한 컨포멀성을 갖는 막들을 생성할 수 있다.
기판에 인접한 환경에서, 실리콘-함유 전구체들은 통상적으로 다른 종, 특히 캐리어 가스와 함께 전달된다. 일부 구현예들에서, 실리콘-함유 전구체들은 라디컬 종 및 다른 반응성 종 및/또는 캐리어 가스들을 포함하는, 다른 종과 함께 존재한다. 일부 실시예들에서, 실리콘-함유 전구체들은 혼합물로서 도입될 수도 있다. 증착 반응 표면으로부터 업스트림에서, 실리콘-함유 전구체들은 불활성 캐리어 가스와 혼합될 수 있다. 예시적인 불활성 캐리어 가스들은, 이로 제한되는 것은 아니지만, 질소 (N2), 아르곤 (Ar), 및 헬륨 (He) 을 포함한다. 이에 더하여, 실리콘-함유 전구체들은 주 (major) 종 및 부 (minor) 종을 갖는 혼합물로 도입될 수 있고, 부 종은 실리콘 카바이드 막내에 상대적으로 저 농도로 존재하는 일부 원소와 함께 또는 구조적 특징 (예를 들어, 링 구조, 케이지 구조, 불포화 결합, 등) 을 갖는다. 복수의 전구체들은 발생되는 실리콘 카바이드 막에서 1차 백본 또는 매트릭스를 형성하기 적절하게 등몰 (equimolar) 또는 상대적으로 유사한 비율로 존재할 수도 있다. 다른 실시예들에서, 상이한 전구체들의 상대적인 양들은 등몰로부터 상당히 왜곡된다.
일부 실시예들에서, 하나 이상의 실리콘-함유 전구체들은 막 질량의 약 5 원자% 미만 또는 약 2 원자% 미만을 제공하는 리모트 플라즈마로부터 소량의 수소 또는 다른 원소와 함께, 대부분의 증착된 실리콘 카바이드 막을 본질적으로 제공한다. 일부 실시예들에서, 라디컬 종 및 하나 이상의 실리콘-함유 전구체들만이 증착된 실리콘 카바이드 막의 조성에 기여한다. 다른 실시예들에서, 증착 반응은 하나 이상의 실리콘-함유 전구체들 및 라디컬 종 이외에 공-반응물질을 포함한다. 이러한 공-반응물질들의 예들은 이산화탄소 (CO2), 일산화탄소 (CO), 물 (H2O), 메탄올 (CH3OH), 산소 (O2), 오존 (O3), 질소 (N2), 아산화질소 (N2O), 암모니아 (NH3), 디아진 (N2H2), 메탄 (CH4), 에탄 (C2H6), 아세틸렌 (C2H2), 에틸렌 (C2H4), 디보란 (B2H6) 및 이들의 조합들을 포함한다. 이러한 재료들은 질화제들 (nitriding agents), 산화제들, 환원제들, 등으로서 사용될 수도 있다. 일부 경우들에서, 이들은 실리콘-함유 전구체와 함께 제공된 탄소의 분획을 제거함으로써 증착된 막에서 탄소의 양을 튜닝하도록 사용될 수 있다. 비-수소 공-반응물질을 채용하는 일부 구현예들에서, 공-반응물질은 실리콘-함유 전구체와 동일한 플로우 경로 예를 들어, 샤워헤드를 포함하는 경로를 통해; 통상적으로 플라즈마에 직접적인 노출 없이, 반응 챔버로 도입된다. 일부 실시예들에서, 산소 및/또는 이산화탄소가 증착 동안 막 또는 전구체로부터 탄소를 제거함으로써 실리콘 카바이드 막의 조성을 변경하기 위해 전구체와 함꼐 도입된다. 비-수소 공-반응물질을 채용하는 일부 구현예들에서, 공-반응물질은 공-반응물질이 적어도 부분적으로 라디컬들 및/또는 이온들로 변환되도록 수소와 동일한 플로우 경로를 통해 반응 챔버로 도입된다. 이러한 구현예들에서, 수소 라디컬들 및 공-반응물질 라디컬들 모두 증착된 실리콘 카바이드 막을 생성하기 위해 실리콘-함유 전구체(들)와 반응한다.
특정한 실시예들에서 공-반응물질들이 사용되고 공-반응물질들이 라디컬들 (예를 들어, 수소) 로 변환될 종과 함께 챔버에 도입되면, 공-반응물질등느 라디컬들 (예를 들어, 수소) 및 헬륨과 같은 임의의 캐리어 가스(들)의 소스를 포함하는, 반응 챔버 내 다른 가스들과 비교하여 상대적으로 적은 양들로 반응 챔버에 제공될 수도 있다. 예를 들어, 공-반응물질은 중량으로 (by mass) 약 0.05 % 이하 또는 중량으로 약 0.01 % 이하, 또는 중량으로 약 0.001 % 이하로 프로세스 가스들 내에 존재할 수도 있다. 예를 들어, (플라즈마 소스 내로 들어가는) 반응물질 혼합물은 약 10 내지 20 L/m (liters per minute) 의 He, 약 200 내지 500 sccm (standard cubic centimeters per minute) 의 H2, 및 약 1 내지 10 sccm 산소일 수도 있다. 공-반응물질들이 실리콘-함유 전구체와 함께 (예를 들어, 샤워헤드를 통해) 반응 챔버로 도입될 때, 공-반응물질들은 보다 높은 농도, 예를 들어, 약 2 % 이하 또는 약 0.1 % 이하로 존재할 수도 있다. 공-반응물질이 상대적으로 약한 반응물질 (예를 들어, 이산화탄소와 같이 약한 산화제) 일 때, 훨씬 보다 높은 농도들, 예컨대 약 10 % 이하 또는 약 4 % 이하로 존재할 수도 있다.
탄소-함유 봉지 층은 다양한 기법들에 의해 증착될 수도 있다. 기법들의 부가적인 예시들은 2012년 6월 12일 출원된, 명칭이 "REMOTE PLASMA BASE DEPOSITION OF SiOC CLASS OF FILMS"인 미국 특허 출원 공보 제 2013/0330935 호, 2015년 2월 6일 출원된, 명칭이 "DEPOSITION OF SILICON CARBIDE FILMS"인 미국 특허 출원 공보 제 2015/0303056 호; 2013년 10월 24일 출원되고 2016년 6월 21일 허여된, 명칭이 "STATE HYDROGEN RADICAL SOURCES FOR CVD OF SILICON-CARBON-CONTAINING FILMS"인 미국 특허 제 9,371,579 호; 및 2013년 5월 31일 출원된, 명칭이 "TO OBTAIN SIC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES"인 미국 특허 출원 공보 제 2014/0356549 호에 기술되고, 모두 전체 내용이 참조로서 본 명세서에 인용된다.
본 명세서에 기술된 바와 같이 탄소-함유 봉지 층을 증착하는 프로세스의 일예는 다음의 동작들을 포함할 수도 있다. 탄소-함유 봉지 층은 ALD에 의해 증착될 수도 있다. ALD는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 기판 표면으로 적어도 하나의 반응물질을 전달하고 흡착시키기 위한 동작, 이어서 막의 부분 층을 형성하도록 흡착된 반응물질을 하나 이상의 반응물질들과 반응시키기 위한 동작을 포함한다. 예로서, 실리콘 카바이드 증착 사이클은 다음 동작들: (i) 실리콘 전구체의 전달/흡착, (ii) 챔버로부터 실리콘 전구체의 퍼지, (iii) 선택가능한 플라즈마와 함께 탄소-함유 반응물질의 전달, 및 (iv) 챔버로부터 탄소-함유 가스 및/또는 플라즈마의 퍼지를 포함할 수도 있다.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층 단위 (layer-by-layer basis) 로 막들을 증착하기 위해 표면-매개 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는 기판 표면은 기판을 하우징하는 챔버로 제공된 도즈의, 실리콘 전구체와 같은 제 1 전구체의 가스 상 분포에 노출된다. 이 제 1 전구체의 분자들은 제 1 전구체의 화학흡착된 종 및/또는 물리흡착된 분자들을 포함하여, 기판 표면 상에 흡착된다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, 실리콘 전구체의 흡착된 층은 실리콘 전구체뿐만 아니라 실리콘 전구체의 유도체들을 포함할 수도 있다. 제 1 전구체 도즈 후에, 이어서 챔버는 흡착된 종만 또는 흡착된 종 대부분이 남아 있도록 가스 상으로 남아 있는 제 1 전구체의 대부분 또는 전부를 제거하도록 배기된다. 일부 구현예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 챔버는 가스 상의 제 1 전구체의 분압이 반응을 완화시키기 위해 충분히 낮도록 배기될 수도 있다. 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 제 2 반응물질, 예컨대 탄소-함유 반응물질이 챔버로 도입된다. 일부 프로세스들에서, 제 2 전구체는 흡착된 제 1 전구체와 즉시 반응한다. 다른 실시예들에서, 제 2 반응물질은 활성화 소스가 일시적으로 인가된 후에만 반응한다. 일부 실시예들에서, 플라즈마는 제 2 반응물질 도즈 동안 점화된다. 이어서 챔버는 결합되지 않은 제 2 반응물질 분자들을 제거하도록 다시 배기될 수도 있다. 상기 기술된 바와 같이, 일부 실시예들에서 챔버는 완전히 배기되지 않을 수도 있다. 부가적인 ALD 사이클들이 막 두께를 구축하기 위해 사용될 수도 있다.
특정한 실시예들에서, ALD 제 1 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 표면을 고르게 포화시키기 위해 전구체가 기판과 콘택트하기 전에 완료된다. 통상적으로, 전구체 플로우가 턴오프 (turned off) 되거나 이 시점에 전환되고 (diverted), 퍼지 가스만이 흐른다. 이러한 반-포화 (sub-saturation) 레짐에서 동작시킴으로써, ALD 프로세스는 사이클 시간을 감소시키고 쓰루풋을 상승시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간 가변할 수도 있다. 반-포화 레짐에서 동작하는 ALD 프로세스들의 예들은 2013년 10월 23일 출원된, 명칭이 "SUB-SATURATED ALD AND CONFORMAL FILM DEPOSITION"인 미국 특허 출원번호 제 14/061,587 호 (현재 미국 특허 제 9,355,839 호) 에 제공되고, 전체 내용이 본 명세서에 참조로서 인용된다.
기술된 바와 같이, 일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법들 및 장치들은 CFD (conformal film deposition) 방법들일 수도 있고, 2011년 4월 11일 출원된, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원번호 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된, 명칭이 "SILICON NITRIDE FILMS FILMS AND METHODS"인 미국 특허 출원번호 제 13/084,305 호에 일반적으로 기술되고, 전체 내용들이 본 명세서에 참조로서 인용된다.
일부 실시예들에서, 플라즈마는 증착 동안, 예컨대 탄소-함유 반응물질에 노출하는 동안 사용될 수도 있다. 플라즈마 에너지는 탄소-함유 가스와 같은 제 2 반응물질을 제 1 전구체의 흡착된 층과 반응하는, 이온들 및 라디컬들 그리고 다른 활성화된 종으로 활성화하도록 제공될 수도 있다. 다양한 실시예들에서 플라즈마는, 플라즈마가 챔버 내에서 기판 표면 바로 위에 형성되도록 인-시츄 플라즈마이다. 인-시츄 플라즈마는 약 0.2122 W/㎠ 내지 약 2.122 W/㎠의 기판 면적 당 전력으로 점화될 수도 있다. 예를 들어, 4 개의 300 ㎜ 웨이퍼들을 프로세싱하는 챔버에 대해, 전력은 약 150 W 내지 약 6000 W, 또는 약 600 W 내지 약 6000 W, 또는 약 800 W 내지 약 4000 W의 범위일 수도 있다. 예를 들어, ALD 프로세스들을 위한 플라즈마들은 2 개의 용량 커플링된 플레이트들을 사용하여 가스에 RF 필드를 인가함으로써 생성될 수도 있다. RF 필드에 의한 플레이트 사이들의 가스의 이온화는 플라즈마를 점화하여, 플라즈마 방전 영역에서 자유 전자들을 생성한다. 이들 전자들은 RF 필드에 의해 가속되고 가스 상 반응물질 분자들과 충돌할 수도 있다. 이들 전자들과 반응물질 분자들의 충돌은 증착 프로세스에 참여하는 라디컬 종을 형성할 수도 있다. RF 필드는 임의의 적합한 전극들을 통해 커플링될 수도 있다는 것이 이해될 것이다. 다양한 실시예들에서, 적어도 약 13.56 ㎒, 또는 적어도 약 27 ㎒, 또는 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒의 주파수를 갖는 고 주파수 플라즈마가 사용된다. 일부 실시예들에서, 마이크로파 기반 플라즈마가 사용될 수도 있다. 전극들의 비제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. ALD 프로세스들을 위한 플라즈마들은 가스로의 RF 필드의 용량성 커플링 이외의 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 플라즈마는 제 2 반응물질이 챔버의 리모트 플라즈마 생성기 업스트림에서 점화되고, 이어서 기판이 하우징된 챔버로 전달되도록, 리모트 플라즈마이다.
ALD에 의한 봉지 층의 증착을 위해, 하나 이상의 사이클들은 증착 두께를 구축하도록 사용될 수도 있다.
도 11은 하드마스크 (1110) 및 계단형 패턴 위에 컨포멀하게 증착된 봉지 층 (1180) 과 함께 계단형 패턴으로 에칭된 옥사이드 (1111) 및 나이트라이드 (1112) 교번 층들을 갖는 예시적인 기판 (1100) 을 도시한다.
도 10을 다시 참조하면, 동작 1088에서, 옥사이드는 기판 위에 증착된다. 도 12에 도시된 바와 같이, 옥사이드 (1122) 는 기판 위에 증착되고 따라서 또한 봉지 층 (1180) 위에 증착된다. 증착 기법들 및 프로세스 조건들은 도 1의 동작 188에 대해 상기 기술된 임의의 기법 및 조건일 수도 있다.
동작 1090에서, 나이트라이드는 옥사이드에 상대적으로 선택적으로 에칭된다. 에칭 프로세스 및 프로세스 조건들은 도 1의 동작 110에 대해 상기 기술된 임의의 프로세스 및 프로세스 조건일 수도 있다. 도 13은 옥사이드 (1111) 의 층들 사이에 갭들 (1132) 을 형성하기 위해 제거된 나이트라이드를 갖는 예시적인 기판 (1100) 의 개략적인 예시를 도시한다. 옥사이드-옥사이드 계면의 줌-인 도면 (1170) 에서, 봉지 층 (1180) 은 옥사이드-옥사이드 계면을 열화로부터 방지하고, 이에 따라 옥사이드 층 (1111) 및 옥사이드 (1122) 를 보존하고 옥사이드 층들 사이에 갭들 (1132) 을 남긴다는 것을 주의한다. 갭이 옥사이드 층 (111) 과 옥사이드 (122) 사이에 형성된 도 6a와 비교하여, 도 13은 계단형 구조체 위에 이전에 증착된 봉지 층 (1180) 으로 인해 옥사이드 층 (1111) 과 옥사이드 (122) 사이에 갭이 형성되지 않은 것을 도시한다. 봉지 층은 나이트라이드가 봉지 층을 제거하지 않고 제거되도록 나이트라이드에 상대적으로 저 습식 에칭 레이트를 갖는다. 탄소-함유 봉지 층에 대한 나이트라이드의 습식 에칭 레이트 선택도는 약 10 초과, 무한대일 수도 있고; 일부 실시예들에서, 습식 에칭 레이트 선택도는 약 10 내지 약 100일 수도 있다. 나이트라이드에 대한 습식 에칭 레이트 선택도가 무한대까지일 수도 있도록 희불산에서 0 또는 거의 0의 습식 에칭 레이트를 갖는 고품질 실리콘 카바이드 막이 다양한 실시예들에서, 탄소-함유 봉지 층으로서 사용될 수도 있다.
도 10을 다시 참조하면, 동작 1092에서, 텅스텐이 텅스텐 워드라인들을 형성하기 위해 기판 내로 증착된다. 이 동작은 도 1의 동작 192에 대해 상기 기술된 바와 같은 임의의 기법 또는 프로세스 조건을 사용하여 수행될 수도 있다. 도 14는 텅스텐 (1140) 이 옥사이드 층들 (1111) 사이의 갭들 내로 증착되는, 예시적인 기판 (1100) 의 개략적인 예시를 도시한다. 1170에서 줌-인 도면으로 도시된 바와 같이, 텅스텐 (1140) 은 봉지 층 (1180) 의 존재로 인해 옥사이드 층 (1111) 내에 갭이 형성되지 않기 때문에, 텅스텐 워드라인들 사이에 접속부들을 형성하지 않고 옥사이드 층들 (1111) 사이의 갭들 내로 증착된다.
도 10을 다시 참조하면, 동작 1204에서, 옥사이드는 에칭 정지 층으로서 봉지 층을 사용하여 비아들을 형성하도록 에칭된다. 이 동작은 도 1의 동작 194에 대해 상기 기술된 바와 같은 임의의 기법들, 화학물질들, 및 프로세스 조건들을 사용하여 형성될 수도 있다. 예를 들어, 탄소-함유 봉지 층을 에칭하는 것보다 고속의 레이트로 옥사이드를 에칭하기 위한 에칭 선택도를 갖는 건식 에칭 프로세스가 사용될 수도 있다. 탄소-함유 봉지 층에 대한 옥사이드의 에칭 선택도는 약 4 내지 약 8일 수도 있다. 탄소-함유 봉지 층이 옥사이드보다 훨씬 느린 레이트로 에칭하기 때문에, 탄소-함유 봉지 층은 보다 깊은 비아들이 에칭되는 동안 패드들에서 텅스텐 워드라인의 펀치쓰루를 방지한다. 도 15는 보다 깊은 비아 (1137b) 가 에칭되는 동안 에칭 정지 층으로서 작동하는 봉지 층 (1180) 으로 인해 비아 (1137a) 가 옥사이드 (1122) 내에 형성된 후, 1172a에서 패드가 비아 (1137a) 에서 건식 에칭 종으로의 노출을 견딜 수 있는 기판 (1100) 의 예시적인 개략적인 예시를 도시한다. 기판 (1100) 은 봉지 층 (1180) 이 1172b에서 노출될 때까지 비아 (1137b) 를 형성하기 충분한 지속기간 동안 에칭 종에 노출될 수 있다.
도 10을 다시 참조하면, 동작 1206에서, 탄소-함유 봉지 층은 텅스텐 워드라인들을 노출하기 위해 선택적으로 에칭된다. 도 16은 봉지 층 (1181) 이 텅스텐 워드라인 (1140) 을 노출하기 위해 1139에서 에칭되는, 기판 (1100) 의 예시적인 개략적인 예시를 도시한다. 봉지 층은 건식 에칭 화학물질과 같은 임의의 적합한 에칭 화학물질을 사용하여 에칭될 수도 있다.
도 10을 다시 참조하면, 동작 1096에서, 텅스텐은 텅스텐 워드라인들에 상호접속들을 형성하기 위해 비아들 내로 증착된다. 기법들 및 프로세스 조건들은 도 1의 동작 196에 대해 상기 기술된 임의의 기법 및 프로세스 조건일 수도 있다. 텅스텐 (1142) 이 예를 들어, 1173에서 텅스텐 워드라인들 (1140) 에 상호접속부를 형성하기 위해 비아들 내로 증착되는, 도 17에 일 예가 도시된다. 도 9와 달리, 봉지 층이 비아들의 형성 동안 에칭 정지 층으로서 작동하기 때문에, 쇼트 회로가 형성되지 않는다.
장치
도 18은 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (1802) 를 갖는 ALD (atomic layer deposition) 및/또는 CVD (chemical vapor deposition) 프로세스 스테이션 (1800) 의 실시예의 개략적인 예시를 도시한다. 복수의 프로세스 스테이션들 (1800) 은 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 19는 멀티-스테이션 프로세싱 툴 (1900) 의 일 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 논의된 것들을 포함하는 ALD 프로세스 스테이션 (1800) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (1850) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션 (1800) 은 분배 샤워헤드 (1806) 로 프로세스 가스들을 전달하기 위해 반응물질 전달 시스템 (1801a) 과 유체로 연통한다. 반응물질 전달 시스템 (1801a) 은 샤워헤드 (1806) 로 전달할 실리콘 전구체 가스, 또는 제 2 반응물질 가스 (예를 들어, 탄소-함유 반응물질) 와 같은 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (1804) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (1820) 은 혼합 용기 (1804) 로 프로세스 가스들의 도입을 제어할 수도 있다. 플라즈마는 또한 샤워헤드 (1806) 로 전달될 수도 있고 또는 프로세스 스테이션 (1800) 내에서 생성될 수도 있다. 반응물질 전달 시스템 (1801a) 은 프로세스 스테이션 (1800) 에 제공된 기판 위에 탄소-함유 봉지 층을 증착하기 위해 프로세스 가스들을 전달하도록 구성될 수도 있다.
예로서, 도 18의 실시예는 혼합 용기 (1804) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점 (1803) 을 포함한다. 일부 실시예들에서, 기화 지점 (1803) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생산된 포화된 반응 물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 방법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 포함할 수도 있다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 상승시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (1803) 의 전달 파이프 다운스트림은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (1804) 가 또한 열 추적될 수도 있다. 일 비제한적 예에서, 기화 지점 (1803) 의 파이프 다운스트림은 혼합 용기 (1804) 에서 대략 100 ℃에서 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 실시예에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (1803) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (1804) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (1806) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (1803) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세스 스테이션 (1800) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
샤워헤드 (1806) 는 기판 (1812) 을 향하여 프로세스 가스들을 분배한다. 도 18에 도시된 실시예에서, 기판 (1812) 은 샤워헤드 (1806) 밑에 위치되고, 페데스탈 (1808) 상에 놓인 것으로 도시된다. 샤워헤드 (1806) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (1812) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (1808) 은 기판 (1812) 과 샤워헤드 (1806) 사이의 볼륨에 기판 (1812) 을 노출하도록 상승 또는 하강될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (1850) 에 의해 프로그램적으로 조저오딜 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (1808) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 플라즈마가 점화되는 실시예들의 프로세스에서 플라즈마 활성화 사이클들 동안 가변하게 할 수도 있다. 프로세스 페이즈의 완료시, 페데스탈 (1808) 은 페데스탈 (1808) 로부터 기판 (1812) 의 제거를 허용하기 위해 또 다른 기판 이송 동안 하강될 수도 있다.
일부 실시예들에서, 페데스탈 (1808) 은 히터 (1810) 를 통해 온도 제어될 수도 있다. 일부 실시예들에서, 페데스탈 (1808) 은 개시된 실시예들에 기술된 바와 같이 실리콘 나이트라이드 막들의 증착 동안 적어도 약 250 ℃, 또는 일부 실시예들에서, 약 300 ℃ 미만, 예컨대 약 250 ℃의 온도로 가열될 수도 있다. 일부 실시예들에서, 페데스탈은 약 50 ℃ 내지 약 300 ℃의 온도, 예컨대 약 200 ℃ 내지 약 275 ℃의 온도로 설정된다. 일부 실시예들에서, 페데스탈은 약 50 ℃ 내지 약 300 ℃의 온도로 설정된다. 일부 실시예들에서, 페데스탈은 약 200 ℃ 내지 약 275 ℃의 온도로 설정된다.
또한, 일부 실시예들에서, 프로세스 스테이션 (1800) 에 대한 압력 제어는 버터플라이 밸브 (1818) 에 의해 제공될 수도 있다. 도 18의 실시예에 도시된 바와 같이, 버터플라이 밸브 (1818) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀링한다 (throttle). 그러나, 일부 실시예들에서, 프로세스 스테이션 (1800) 의 압력 제어는 또한 프로세스 스테이션 (1800) 으로 도입되는 하나 이상의 가스들의 플로우 레이트를 가변함으로써 조정될 수도 있다.
일부 실시예들에서, 샤워헤드 (1806) 의 위치는 기판 (1812) 과 샤워헤드 (1806) 사이의 체적을 가변하도록 페데스탈 (1808) 에 상대적으로 조정될 수도 있다. 또한 페데스탈 (1808) 및/또는 샤워헤드 (1806) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (1808) 은 기판 (1812) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은, 하나 이상의 적합한 컴퓨터 제어기들 (1850) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
플라즈마가 상기 논의된 바와 같이 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (1806) 및 페데스탈 (1808) 은 플라즈마에 전력을 공급하기 위해 RF (radio frequency) 전력 공급부 (1814) 및 매칭 네트워크 (1816) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (1814) 및 매칭 네트워크 (1816) 는 목표된 조성의 라디컬 종들을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (1814) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (1814) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒ 초과, 또는 27 ㎒ 초과, 또는 180 ㎒ 초과, 또는 60 ㎒ 초과의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (1850) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들 (sequencing instructions) 을 통하여 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 리액터 (reactor) 파라미터들의 설정을 위한 인스트럭션들은 레시피 페이즈에 포함될 수 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스 (예를 들어, 실리콘 전구체와 같은 제 1 전구체) 를 설정하기 위한 인스트럭션들, 캐리어 가스 (예를 들어, 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들 (time delay instructions) 을 포함할 수도 있다. 제 2 후속적 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 변경 또는 정지하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변경하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 후속적 레시피 페이즈는 탄소-함유 반응물질과 같은 제 2 반응 물질 가스의 플로우 레이트를 변경하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변경하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 후속 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 변경 또는 정지하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변경하기 위한 인스트럭션들 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 개시된 실시예들의 범위 내에서 임의의 적합한 방법에서 더 세분화 및/또는 반복될 수도 있다는 것이 이해될 것이다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 19는 인바운드 로드 록 (1902) 및 아웃바운드 로드 록 (1904) 을 갖는 멀티-스테이션 프로세싱 툴 (1900) 의 실시예의 개략도를 도시하고, 인바운드 로드 록 및 아웃바운드 로드 록 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서, 로봇 (1906) 은 대기 포트 (1910) 를 통해 인바운드 로드 록 (1902) 으로 로딩된 카세트로부터 포드 (1908) 를 통해 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 로봇 (1906) 에 의해 인바운드 로드 록 (1902) 내의 페데스탈 (1912) 상에 위치되고, 대기 포트 (1910) 는 닫히고, 로드 록은 펌프다운된다. 인바운드 로드 록 (1902) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (1914) 내로 도입되기 전에 로드 록 내의 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 인바운드 로드 록 (1902) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (1914) 로 챔버 이송 포트 (1916) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 리액터 내의 도시된 제 1 스테이션의 페데스탈 상의 리액터 내에 웨이퍼를 위치시킨다. 도 19에 도시된 실시예들이 로드록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (1914) 는 도 19에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 1918로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 모드와 PEALD 프로세스 모드 사이에서 스위칭가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (1914) 는 ALD 프로세스 스테이션과 PEALD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (1914) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 19는 프로세싱 챔버 (1914) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (1990) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (1990) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 19는 또한 프로세스 툴 (1900) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1950) 의 실시예를 도시한다. 시스템 제어기 (1950) 는 하나 이상의 메모리 디바이스들 (1956), 하나 이상의 대용량 저장 디바이스들 (1954), 및 하나 이상의 프로세서들 (1952) 을 포함할 수도 있다. 프로세서들 (1952) 은 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1950) 는 프로세스 툴 (1900) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1950) 는 대용량 저장 디바이스 (1954) 에 저장되고 메모리 디바이스 (1956) 내로 로딩되어 프로세서 (1952) 상에서 실행되는 시스템 제어 소프트웨어 (1958) 를 실행한다. 대안적으로, 제어 로직은 제어기 (1950) 에서 하드코딩될 수도 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 대신 사용될 수도 있다. 시스템 제어 소프트웨어 (1958) 는 타이밍, 가스들의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판, 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (1900) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1958) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (1958) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (1958) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (1950) 와 연관된 대용량 저장 디바이스 (1954) 및/또는 메모리 디바이스 (1956) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1918) 상에 기판을 로딩하고 기판과 프로세스 툴 (1900) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 실리콘 전구체 가스들, 및 탄소-함유 가스들, 캐리어 가스들 및 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위해 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1950) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1950) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 플라즈마 조건들 (예컨대 RF 전력 레벨들), 등을 포함할 수도 있다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들이 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1950) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1900) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, 압력 센서들 (예컨대 마노미터들 (manometers)), 서모 커플들, 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (1950) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 막 스택들의 인-시츄 증착을 동작시키기 위해 파라미터들을 제어할 수도 있다.
시스템 제어기 (1950) 는 통상적으로 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함하여, 장치가 개시된 실시예들에 따른 방법을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (1950) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (1950) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (1950) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (1950) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (1950) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (1950) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (1950) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (1950) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (1950) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (1950) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (1950) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에서 개시된 방법들을 수행하기 적절한 장치들은, 2011년 4월 11일에 출원된, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원번호 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호) 및 2011년 4월 11일에 출원된, 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 번호 제 13/084,305 호에 기술되고 더 논의되고, 전체 내용들은 본 명세서에서 참조로서 인용된다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 실행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 다음 동작들: (1) 스핀-온 또는 스프레이-온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광 또는 UV 광 또는 x-레이 광에 포토레지스트를 노출하는 동작; (4) 레지스트를 선택적으로 제거하여 습식 벤치와 같은 툴을 사용하여 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함한다.
본 명세서에 기술된 에칭 동작들, 예컨대 나이트라이드 또는 옥사이드를 에칭하는 것은 임의의 적합한 프로세스 챔버에서 수행될 수도 있다. 일부 실시예들에서, 기판들은 도 20에 도시된 바와 같이 ICP (inductively coupled plasma) 리액터 내에서 에칭될 수도 있다.
특정한 실시예들에서, ICP 리액터들은 에칭 동작들에 적합할 수도 있고 ALD 동작들이 이제 기술된다. 이러한 ICP 리액터들은 또한 2013년 12월 10일 출원된, 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 미국 특허 출원 공보 제 2014/0170853 호에 기술되고, 전체 내용들이 모든 목적들을 위해 참조로서 본 명세서에 인용된다. ICP 리액터들이 본 명세서에 기술되었지만, 일부 실시예들에서, 용량 커플링된 플라즈마 리액터들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 20은 본 명세서의 특정한 실시예들을 구현하기에 적절한 유도 커플링 플라즈마 통합된 에칭 및 증착 장치 (2000) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo™ 리액터이다. 유도 커플링 플라즈마 장치 (2000) 는 챔버 벽들 (2001) 및 윈도우 (2011) 에 의해서 구조적으로 구획된 전체 프로세스 챔버를 포함한다. 챔버 벽들 (2001) 은 스테인레스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (2011) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택가능한 내부 플라즈마 그리드 (2050) 는 상부 서브-챔버 (2002) 및 하부 서브-챔버 (2003) 로 전체 프로세싱 챔버를 분할한다. 대부분의 실시예들에서, 플라즈마 그리드 (2050) 는 제거될 수도 있고, 따라서, 서브-챔버들 (2002 및 2003) 로 이루어진 챔버 공간을 활용한다. 척 (2017) 은 하단 내측 표면 근방에서 하부 프로세싱 챔버 (2003) 내에 위치된다. 척 (2017) 은 에칭 및 증착 프로세스들이 수행되는 반도체 웨이퍼 (2019) 를 수용 및 홀딩하도록 구성된다. 척 (2017) 은 웨이퍼 (2019) 가 존재하는 경우에 웨이퍼 (2019) 를 지지하는 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 이 척 (2017) 을 둘러싸며 척 (2017) 위에 존재할 경우에 웨이퍼 (2019) 의 상단 표면과 대략 동일 평면에 있는 상부 표면을 갖는다. 척 (2017) 은 또한 웨이퍼 (2019) 를 척킹 및 디척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부가 이 목적을 위해서 제공될 수도 있다. 척 (2017) 으로부터 웨이퍼 (2019) 를 리프팅 (lifting) 하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (2017) 은 RF 전력 공급부 (2023) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (2023) 는 연결부 (2027) 를 통해 매칭 회로 (2021) 에 연결된다. 매칭 회로 (2021) 는 연결부 (2025) 을 통해 척 (2017) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (2023) 는 척 (2017) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (2011) 위에 위치된 코일 (2033) 을 포함한다. 일부 실시예들에서, 코일이 개시된 실시예들에서 사용되지 않는다. 코일 (2033) 은 전기적으로 도전성 재료로 제조되고, 적어도 1 회의 완전한 턴 (turn) 을 포함한다. 도 20에 도시된 코일 (2033) 의 예는 3 회의 턴들을 포함한다. 코일 (2033) 의 단면도들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"을 갖는 코일들은 페이지로부터 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (2033) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (2041) 를 포함한다. 일반적으로, RF 전력 공급부 (2041) 는 연결부 (2045) 를 통해 매칭 회로 (2039) 에 연결된다. 매칭 회로 (2039) 는 연결부 (2043) 를 통해 코일 (2033) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (2041) 는 코일 (2033) 에 연결된다. 선택가능한 Faraday 차폐부 (2049) 는 코일 (2033) 과 윈도우 (2011) 사이에 위치된다. Faraday 차폐부 (2049) 는 코일 (2033) 에 대해 이격된 관계로 유지될 수도 있다. Faraday 차폐부 (2049) 는 윈도우 (2011) 바로 위에 배치된다. 코일 (2033), Faraday 차폐부 (2049), 및 윈도우 (2011) 는 각각 서로 실질적으로 평행하도록 구성된다. Faraday 차폐부는 금속 또는 다른 종이 플라즈마 챔버의 유전체 윈도우 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들이 상부 챔버 내에 위치된 하나 이상의 주 가스 플로우 유입구들 (2060) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (2070) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 프로세스 가스들을 용량 커플링 플라즈마 프로세싱 챔버로 공급하도록 유사한 가스 플로우 유입구들이 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 드라이 펌프 및/또는 터보분자 펌프 (2040) 가 프로세스 챔버 (2024) 로부터 프로세스 가스들을 유출하도록 그리고 프로세스 챔버 (2024) 내에서 압력을 유지하도록 사용될 수도 있다. 예를 들어, 펌프는 챔버 (2001) 를 배기하도록 사용될 수도 있다. 진공 펌프에 의해 제공된 진공 환경의 적용을 선택적으로 제어하도록 프로세스 챔버로 진공 펌프를 유체적으로 연결하도록 밸브-제어된 도관이 사용될 수도 있다. 이는 동작 중인 플라즈마 프로세싱 동안, 쓰로틀 밸브 (미도시) 또는 펜둘럼 밸브 (미도시) 와 같은 폐루프 제어된 플로우 제한 디바이스를 채용함으로써 이루어질 수도 있다. 유사하게, 용량 커플링 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (2060 및/또는 2070) 를 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스들은 주 가스 플로우 유입구 (2060) 를 통해서만, 또는 측면 가스 플로우 유입구 (2070) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 보다 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. Faraday 차폐부 (2049) 및/또는 선택가능한 그리드 (2050) 는 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. Faraday 차폐부 (2049) 및 선택가능한 그리드 (2050) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할을 할 수도 있다. 일부 실시예들에서, 일단 액체 반응물질이 기화되면, 기화된 반응물질이 가스 플로우 유입구 (2060 및/또는 2070) 를 통해 챔버 내로 도입되도록, 액체 기화 및 전달 시스템이 챔버 (2001) 의 업스트림에 위치될 수도 있다.
RF 전류로 하여금 코일 (2033) 을 통해 흐르게 하도록 RF 전력 공급부 (2041) 로부터 코일 (2033) 로 RF 전력이 공급된다. 코일 (2033) 을 통한 RF 전류는 코일 (2033) 을 중심으로 전자기장을 생성한다. 전자기장은 상부 서브-챔버 (2002) 내에 유도 전류를 생성한다. 웨이퍼 (2019) 와 다양한 생성된 이온들 및 라디컬들의 물리적 및 화학적 상호작용들은 웨이퍼의 피처들을 선택적으로 에칭한다.
상부 서브-챔버 (2002) 및 하부 서브-챔버 (2003) 양자가 있도록 플라즈마 그리드가 사용되면, 상부 서브-챔버 (2002) 내에서 전자-이온 플라즈마를 생성하도록 유도 전류는 상부 서브-챔버 (2002) 내에 존재하는 가스에 작용한다. 선택가능한 내부 플라즈마 그리드 (2050) 는 하부 서브-챔버 (2003) 내 핫 (hot) 전자들의 양을 제한한다. 일부 실시예들에서, 장치는 하부 서브-챔버 (2003) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 양자가 양이온 및 음이온을 포함할 수도 있지만, 이온-이온 플라즈마가 양이온들에 대한 음이온들의 보다 큰 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (2022) 를 통해 하부 서브-챔버 (2003) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (2017) 은 약 10 ℃ 내지 약 850 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
챔버 (2001) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 환경 입자 제어를 제공하는 플럼빙을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 챔버 (2001) 에 커플링된다. 부가적으로, 챔버 (2001) 는 로보틱스들로 하여금 통상적인 자동화를 사용하여 반도체 웨이퍼들을 장치 챔버 (2001) 내외로 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시예들에서, (하나 이상의 물리적 제어기 또는 논리 제어기를 포함할 수도 있는) 시스템 제어기 (2030) 는 프로세싱 챔버의 동작들 중 일부 또는 전부를 제어한다. 시스템 제어기 (2030) 는 시스템 제어기 (1950) 에 대해 상기 기술된 임의의 하나 이상의 특성들을 포함할 수도 있다.
도 21은 VTM (vacuum transfer module) (2138) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다. 복수의 저장 설비들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들 및 프로세스 모듈들의 장치는 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 또한 로드록 또는 이송 모듈로 공지된 에어록 (2130) 이 4 개의 프로세싱 모듈들 (2120a 내지 2120d) 을 갖는 VTM (2138) 내에 도시되고, 프로세싱 모듈들은 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있다. 예로서, 프로세싱 모듈들 (2120a 내지 2120d) 은 기판 에칭, 증착, 이온 주입, 웨이퍼 세정, 스퍼터링, 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 일부 실시예들에서, ALD 및 선택적인 에칭이 동일한 모듈에서 수행된다. 일부 실시예들에서, ALD 및 선택적인 에칭은 동일한 툴의 상이한 모듈들에서 수행된다. 하나 이상의 기판 에칭 프로세싱 모듈들 (2120a 내지 2120d 중 어느 하나) 이 본 명세서에 개시된 바와 같이, 즉, 컨포멀한 막들을 증착하기 위해, ALD에 의해 막들을 선택적으로 증착하기 위해, 패턴들을 에칭하기 위해, 그리고 개시된 실시예들에 따른 다른 적합한 기능들을 위해 구현될 수도 있다. 에어록 (2130) 및 프로세스 모듈 (2120) 은 "스테이션들"로 지칭될 수도 있다. 스테이션 각각은 스테이션을 VTM (2138) 에 인터페이싱하는 패싯 (2136) 을 갖는다. 패싯 각각 내부에서, 센서들 (1 내지 18) 은 웨이퍼가 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (2126) 의 통과를 검출하도록 사용된다.
로봇 (2122) 이 스테이션들 사이에서 웨이퍼 (2126) 를 이송한다. 일 실시예에서, 로봇 (2122) 은 하나의 암을 갖고, 또 다른 실시예에서, 로봇 (2122) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (2126) 와 같은 웨이퍼들을 피킹하기 위해 엔드 이펙터 (2124) 를 갖는다. ATM (atmospheric transfer module) (2140) 의 프론트엔드 로봇 (2132) 이 LPM (Load Port Module) (2142) 의 FOUP (Front Opening Unified Pod) (2134) 또는 카세트로부터 에어록 (2130) 으로 웨이퍼들 (2126) 을 이송하도록 사용된다. 프로세스 모듈 (2120) 내부의 모듈 중심 (2128) 은 웨이퍼 (2126) 를 배치하기 위한 일 위치이다. ATM (2140) 내 얼라이너 (2144) 가 웨이퍼들을 정렬하기 위해 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼는 LPM (2142) 내 FOUP들 (2134) 중 하나에 배치된다. 프론트엔드 로봇 (2132) 은 FOUP (2134) 로부터 웨이퍼 (2126) 로 하여금 에칭되거나 프로세싱되기 전에 적절히 중심에 위치되게 하는, 얼라이너 (2144) 로 웨이퍼를 이송한다. 정렬된 후, 웨이퍼 (2126) 는 프론트엔드 로봇 (2132) 에 의해 에어록 (2130) 내로 이동된다. 에어록 모듈들이 ATM와 VTM 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (2126) 는 손상되지 않고 두 압력 분위기들 사이에서 이동할 수 있다. 에어록 모듈 (2130) 로부터, 웨이퍼 (2126) 는 로봇 (2122) 에 의해 VTM (2138) 을 통해 프로세싱 모듈들 (2120a 내지 2120d) 중 하나 내로 이동된다. 이 웨이퍼 이동을 달성하기 위해, 로봇 (2122) 은 암들 각각의 엔드 이펙터들 (2124) 을 사용한다. 일단 웨이퍼 (2126) 가 프로세싱되면, 웨이퍼는 로봇 (2122) 에 의해 프로세싱 모듈들 (2120a 내지 2120d) 로부터 에어록 모듈 (2130) 로 이동된다. 여기서부터, 웨이퍼 (2126) 는 프론트엔드 로봇 (2132) 에 의해 FOUP들 (2134) 중 하나 또는 얼라이너 (2144) 로 이동될 수도 있다.
웨이퍼 운동을 제어하는 컴퓨터는 클러스터 아키텍쳐에 로컬일 수 있거나 제조 플로어 내의 클러스터 아키텍쳐의 외부 또는 리모트 위치에 위치되고 네트워크를 통해 클러스터 아키텍쳐에 연결될 수 있다는 것을 주의한다. 도 19에 대하여 상기 기술된 바와 같은 제어기는 도 21의 툴을 사용하여 구현될 수도 있다.
본 개시의 일 양태는 본 명세서에 기술된 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 실시예들에서, 전술한 프로세스 동작들을 수행하기 위한 장치는 리모트 플라즈마 소스를 포함할 수 있다. 리모트 플라즈마 소스는 직접 플라즈마와 비교하여 순한 반응 조건들을 제공한다. 적합한 리모트 플라즈마 장치의 예는 2013년 10월 24일 출원된 미국 특허 출원번호 제 14/062,648 호에 기술되고, 전체 내용이 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
도 22는 특정한 실시예들에 따른 리모트 플라즈마 장치의 개략도를 나타낸다. 디바이스 (2200) 는 샤워헤드 어셈블리 (2220) 를 갖는 반응 챔버 (2210) 를 포함한다. 반응 챔버 (2210) 내부에서, 기판 (2230) 이 스테이지 또는 페데스탈 (2235) 상에 놓인다. 일부 실시예들에서, 페데스탈 (2235) 은 가열/냉각 엘리먼트와 피팅 (fit) 될 수 있다. 제어기 (2240) 가 디바이스 (2200) 의 동작을 제어하기 위해 디바이스 (2200) 의 컴포넌트들에 연결될 수도 있다. 예를 들어, 제어기 (2240) 는 디바이스 (2200) 의 동작들을 위한 프로세스 조건들, 예컨대 온도 프로세스 조건들 및/또는 압력 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 제어기 (2240) 는 전구체 가스, 공-반응물질 가스, 소스 가스, 및 캐리어 가스의 플로우 레이트들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (2240) 는 시간이 흐름에 따라 공-반응물질 가스의 플로우 레이트를 변화시키기 위한 인스트럭션들을 포함할 수도 있다. 부가적으로 또는 대안적으로, 제어기 (2240) 는 시간이 흐름에 따라 전구체 가스의 플로우 레이트를 변화시키기 위한 인스트럭션들을 포함할 수도 있다.
동작 동안, 가스들 또는 가스 혼합물들이 반응 챔버 (2210) 에 커플링된 하나 이상의 가스 유입구들을 통해 반응 챔버 (2210) 내로 도입된다. 일부 실시예들에서, 2 이상의 가스 유입구들이 반응 챔버 (2210) 에 커플링된다. 제 1 가스 유입구 (2255) 는 반응 챔버 (2210) 에 커플링될 수 있고 용기 (2250) 에 연결될 수 있고, 제 2 가스 유입구 (2265) 는 반응 챔버 (2210) 에 커플링될 수 있고 리모트 플라즈마 소스 (2260) 에 연결될 수 있다. 리모트 플라즈마 구성들을 포함하는 실시예들에서, 전구체들 및 리모트 플라즈마 소스에서 생성된 라디컬 종을 위한 전달 라인들은 분리된다. 따라서, 전구체들 및 라디컬 종은 기판 (2230) 에 도달하기 전에 실질적으로 상호작용하지 않는다.
하나 이상의 라디컬 종은 리모트 플라즈마 소스 (2260) 에서 생성될 수도 있고 제 2 가스 유입구 (2265) 를 통해 반응 챔버 (2210) 로 들어가도록 구성될 수도 있다. 임의의 타입의 플라즈마 소스가 라디컬 종을 생성하기 위해 리모트 플라즈마 소스 (2260) 에서 사용될 수도 있다. 이는, 이로 제한되는 것은 아니지만, 용량 커플링 플라즈마들, 유도 커플링 플라즈마들, 마이크로파 플라즈마들, DC 플라즈마들, 및 레이저-생성된 플라즈마들을 포함한다. 용량 커플링 플라즈마의 예는 RF (radio frequency) 플라즈마일 수 있다. 고-주파수 플라즈마는 13.56 ㎒ 이상에서 동작하도록 구성될 수 있다. 이러한 리모트 플라즈마 소스 (2260) 의 예는 California, Fremont 소재의 Lam Research Corporation에 의해 제작된 GAMMA®일 수 있다. 이러한 RF 리모트 플라즈마 소스 (2260) 의 또 다른 예는 Massachusetts, Wilmington 소재의 MKS Instruments에 의해 제작된 Astron®일 수 있고, 440 ㎑에서 동작할 수 있고 하나 이상의 기판들을 동시에 프로세싱하기 위해 보다 대형의 장치에 볼트 결합된 서브유닛으로서 제공될 수 있다. 일부 실시예들에서, 마이크로파 플라즈마가 또한 MKS Instruments에 의해 제작된 Astex®와 같은 리모트 플라즈마 소스 (2260) 로서 사용될 수 있다. 마이크로파 플라즈마는 2.45 ㎓의 주파수에서 동작하도록 구성될 수 있다. 리모트 플라즈마 소스로 제공된 가스는 수소, 질소, 산소, 및 본 명세서의 다른 곳에서 언급된 바와 같은 다른 가스들을 포함할 수도 있다. 특정한 실시예들에서, 수소는 헬륨과 같은 캐리어 가스에 제공된다. 예로서, 수소 가스는 약 1 내지 10 %의 수소의 농도의 헬륨 캐리어에 제공될 수도 있다.
전구체들은 용기 (2250) 내에 제공될 수 있고 제 1 가스 유입구 (2255) 를 통해 샤워헤드 (2220) 로 공급될 수 있다. 샤워헤드 (2220) 는 반응 챔버 (2210) 내로 기판 (2230) 을 향해 전구체들을 분산시킨다. 기판 (2230) 은 샤워헤드 (2220) 밑에 위치될 수 있다. 샤워헤드 (2220) 는 임의의 적합한 형상을 가질 수 있고, 가스들을 기판 (2230) 으로 분산시키기 위한 임의의 수 및 배열의 포트들을 가질 수도 있다고 인식될 것이다. 전구체들은 제어된 플로우 레이트로 샤워헤드 (2220) 로 궁극적으로 기판 (2230) 으로 공급될 수 있다.
리모트 플라즈마 소스 (2260) 에서 형성된 하나 이상의 라디컬 종은 기판 (2230) 을 향해 가스 상으로 반송될 수 있다. 하나 이상의 라디컬 종은 제 2 가스 유입구 (2265) 를 통해 반응 챔버 (2210) 내로 흐를 수 있다. 도 22에 예시된 바와 같이 제 2 가스 유입구 (2265) 가 기판 (2230) 의 표면에 직교할 필요는 없다는 것이 이해된다. 특정한 실시예들에서, 제 2 가스 유입구 (2265) 는 기판 (2230) 바로 위 또는 다른 위치들에 있을 수 있다. 리모트 플라즈마 소스 (2260) 와 반응 챔버 (2210) 사이의 거리는 리모트 플라즈마 소스 (2260) 에서 생성된 이온화된 종은 실질적으로 중성화되지만, 실질적으로 저 에너지 상태들인 적어도 일부 라디컬 종은 기판 (2230) 에 인접한 환경에 남아 있도록 순한 반응 조건들을 제공하도록 구성될 수 있다. 이러한 저 에너지 상태 라디컬 종은 안정한 화합물들을 형성하기 위해 재결합되지 않는다. 리모트 플라즈마 소스 (2260) 와 반응 챔버 (2210) 사이의 거리는 (예를 들어, 소스 RF 전력 레벨에 의해 부분적으로 결정된) 플라즈마의 침투성 (aggressiveness), 플라즈마 내 가스의 밀도 (예를 들어, 고농도의 수소 원자들이 있다면, 이들 중 상당한 분획은 반응 챔버 (2210) 에 도달하기 전 H2를 형성하도록 재결합할 수도 있음), 및 다른 인자들의 함수일 수 있다. 일부 실시예들에서, 리모트 플라즈마 소스 (2260) 와 반응 챔버 (2210) 사이의 거리는 약 1 ㎝ 내지 30 ㎝, 예컨대 약 5 ㎝ 또는 약 15 ㎝일 수 있다.
일부 실시예들에서, 주 실리콘-함유 전구체 또는 수소 라디컬이 아닌, 공-반응물질이 증착 반응 동안 도입된다. 일부 구현예들에서, 장치는 제 2 가스 유입구 (2265) 를 통해 공-반응물질을 도입하도록 구성되고, 이 경우, 공-반응물질은 적어도 부분적으로 플라즈마로 변환된다. 일부 구현예들에서, 장치는 제 1 가스 유입구 (2255) 를 통해 샤워헤드 (2220) 를 통해 공-반응물질을 도입하도록 구성된다. 공-반응물질의 예들은 산소, 질소, 암모니아, 이산화탄소, 일산화탄소, 등을 포함한다. 공-반응물질의 플로우 레이트는 등급화된 막에서 조성 변화도를 생성하기 위해 시간이 흐름에 따라 가변할 수 있다.
제어기 (2240) 는 디바이스 (2200) 의 동작을 위한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (2240) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부들 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들은 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기 (2240) 와 연관된 메모리 디바이스들 상에 저장될 수도 있거나 네트워크를 통해 제공될 수도 있다.
특정한 실시예들에서, 제어기 (2240) 는 본 명세서에 기술된 반도체 프로세싱 디바이스 (2200) 의 모든 또는 대부분의 액티비티들을 제어한다. 예를 들어, 제어기 (2240) 는 본 명세서에 기술된 바와 같은 탄소-함유 봉지 층과 같은 실리콘 카바이드 막을 증착하는 것과 연관된 반도체 프로세싱 디바이스 (2200) 의 모든 또는 대부분의 액티비티들을 제어할 수도 있다. 제어기 (2240) 는 타이밍, 가스 조성, 가스 플로우 레이트들, 챔버 압력, 챔버 온도, RF 전력 레벨들, 기판 위치 및/또는 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행할 수도 있다. 제어기 (2240) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들, 스크립트들, 또는 루틴들이 일부 실시예들에서 채용될 수도 있다. 기판 (2230) 에 인접한 환경에서 상대적으로 순한 반응 조건들을 제공하기 위해, RF 전력 레벨들, 리모트 플라즈마 영역으로의 가스 플로우 레이트, 및 플라즈마 점화 (ignition) 타이밍과 같은 파라미터들이 제어기 (2240) 에 의해 조정되고 유지될 수 있다. 부가적으로, 기판 위치를 조정하는 것은 기판 (2230) 에 인접한 환경에서 고-에너지 라디컬 종의 존재를 더 감소시킬 수도 있다. 멀티-스테이션 리액터에서, 제어기 (2240) 는 상이한 장치 스테이션들에 대해 상이하거나 동일한 인스트럭션들을 포함할 수도 있어서, 장치 스테이션들로 하여금 독립적으로 또는 동기하여 동작하게 한다.
일부 실시예들에서, 제어기 (2240) 는 실리콘-함유 전구체를 제 1 가스 유입구 (2255) 를 통해 반응 챔버 (2210) 내로 흘리는 동작, 실질적으로 저 에너지 상태의 소스 가스의 하나 이상의 라디컬 종을 리모트 플라즈마 소스 (2260) 로부터 제공하는 동작, 공-반응물질 가스를 제 2 가스 유입구 (2265) 를 통해 반응 챔버 (2210) 내로 흘리는 동작, 및 기판 (2230) 상에 탄소-함유 봉지 층을 형성하기 위해 실리콘-함유 전구체와 반응하도록 하나 이상의 라디컬 종을 제 2 가스 유입구 (2265) 를 통해 반응 챔버 (2210) 로 흘리는 동작과 같은 동작들을 수행하기 위한 인스트럭션들을 포함할 수도 있다. 일부 구현예들에서, 제어기 (2240) 는 시간이 흐름에 따라 실리콘-함유 전구체의 플로우 레이트를 변화시키기 위한 인스트럭션들을 포함할 수도 있다. 도 19에 대해 상기 기술된 바와 같은 제어기가 도 22의 장치를 사용하여 구현될 수도 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (14)

  1. 3D NAND 구조체를 제조하기 위해 반도체 기판을 프로세싱하는 방법에 있어서,
    계단형 (staircase) 패턴의 교번하는 제 1 옥사이드 층 및 나이트라이드 층을 갖는 기판을 제공하는 단계; 및
    상기 계단형 패턴 위에 제 2 옥사이드를 증착하기 전에,
    실리콘-함유 및 탄소-함유 전구체를 도입하는 단계;
    상기 기판을 하우징하는 챔버로부터 리모트인 플라즈마 소스에 소스 가스를 도입하는 단계;
    상기 소스 가스로부터 상기 플라즈마 소스의 상기 소스 가스의 하나 이상의 라디컬들을 생성하는 단계; 및
    상기 기판 상에 상기 소스 가스의 상기 하나 이상의 라디컬들을 도입하는 단계에 의해,
    상기 제 1 옥사이드 층 및 상기 나이트라이드 층 모두를 봉지하도록 (encapsulate) 탄소-함유 봉지 층을 증착하는 단계를 포함하고,
    상기 소스 가스의 상기 하나 이상의 라디컬들 모두 또는 실질적으로 모두는 상기 탄소-함유 봉지 층을 형성하기 위해 상기 실리콘-함유 및 탄소-함유 전구체와 반응하는 실질적으로 저 에너지 상태에 있고, 그리고
    상기 탄소-함유 봉지 층은 산소-도핑된 실리콘 카바이드, 질소-도핑된 실리콘 카바이드 및 이들의 조합들로 구성된 그룹으로부터 선택되는 재료를 포함하는, 반도체 기판을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 제 2 옥사이드 대 상기 탄소-함유 봉지 층의 건식 에칭 선택도는 2:1 내지 100:1인, 반도체 기판을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 탄소-함유 봉지 층은 1 ㎚ 내지 250 ㎚의 두께로 증착되는, 반도체 기판을 프로세싱하는 방법.
  4. 제 1 항에 있어서,
    상기 탄소-함유 봉지 층은 ALD (atomic layer deposition) 또는 CVD (chemical vapor deposition) 에 의해 증착되는, 반도체 기판을 프로세싱하는 방법.
  5. 제 1 항에 있어서,
    상기 탄소-함유 봉지 층은 상기 제 1 옥사이드와 상기 제 2 옥사이드 사이의 계면에서 열화를 방지하는, 반도체 기판을 프로세싱하는 방법.
  6. 제 1 항에 있어서,
    상기 제 1 옥사이드 층들 및 상기 나이트라이드 층들 각각은 10 ㎚ 내지 100 ㎚의 두께인, 반도체 기판을 프로세싱하는 방법.
  7. 제 1 항에 있어서,
    상기 계단형 패턴은 계단들을 포함하고, 계단 각각은 일 옥사이드 층 및 일 나이트라이드 층을 포함하고, 계단 각각은 150 ㎚ 내지 1000 ㎚의 폭을 갖는 인접한 위에 놓인 계단의 에지로부터 외측으로 연장하는 패드를 포함하는, 반도체 기판을 프로세싱하는 방법.
  8. 제 1 항에 있어서,
    상기 탄소-함유 봉지 층을 증착한 후, 상기 계단형 패턴 위에 상기 제 2 옥사이드를 증착하는 단계;
    상기 계단형 패턴에 수직 슬릿을 에칭하는 단계;
    상기 제 1 옥사이드 층들 사이에 갭들을 형성하기 위해 상기 제 1 옥사이드, 상기 제 2 옥사이드, 및 상기 탄소-함유 봉지 층에 상대적으로 상기 나이트라이드 층들을 선택적으로 에칭하는 단계;
    텅스텐 워드라인들을 형성하기 위해 상기 제 1 옥사이드 층들 사이의 상기 갭들에 텅스텐을 증착하는 단계;
    상기 제 2 옥사이드에 수직 비아들을 형성하도록 상기 텅스텐 워드라인들에 대해 상기 제 2 옥사이드를 에칭하는 단계로서, 상기 제 2 옥사이드는 상기 탄소-함유 봉지 층에 선택적으로 에칭되는, 상기 제 2 옥사이드를 에칭하는 단계;
    상기 수직 비아들의 하단부들에서 상기 텅스텐 워드라인들을 노출하기 위해 상기 제 1 옥사이드, 상기 제 2 옥사이드, 및 상기 텅스텐 워드라인들에 선택적으로 상기 탄소-함유 봉지 층을 에칭하는 단계; 및
    상기 텅스텐 워드라인들에 텅스텐 상호접속부들을 형성하기 위해 상기 수직 비아들에 텅스텐을 증착하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  9. 제 8 항에 있어서,
    상기 수직 비아들은 상이한 깊이들을 갖는 비아들을 포함하는, 반도체 기판을 프로세싱하는 방법.
  10. 제 8 항에 있어서,
    상기 수직 비아들은 50 ㎚ 내지 500 ㎚의 CD (critical dimension) 를 갖는, 반도체 기판을 프로세싱하는 방법.
  11. 제 9 항에 있어서,
    상기 상이한 깊이들은 1 ㎛ 내지 12 ㎛의 범위인, 반도체 기판을 프로세싱하는 방법.
  12. 제 1 항에 있어서,
    상기 제 1 옥사이드 층은 상기 제 2 옥사이드를 증착하기 위한 증착 온도와 상이한 증착 온도에서 증착되는, 반도체 기판을 프로세싱하는 방법.
  13. 제 1 항에 있어서,
    상기 플라즈마 소스로부터 생성된 상기 라디컬들은 저 에너지 상태를 갖고 그리고 상기 탄소-함유 봉지 층은 80 % 내지 100 %의 컨포멀성 (conformality) 을 갖는, 반도체 기판을 프로세싱하는 방법.
  14. 3D NAND 구조체를 제조하기 위해 반도체 기판을 프로세싱하는 방법에 있어서,
    계단형 패턴의 교번하는 제 1 옥사이드 층 및 나이트라이드 층을 갖는 기판을 제공하는 단계; 및
    상기 계단형 패턴 위에 제 2 옥사이드를 증착하기 전에, 상기 제 1 옥사이드 층 및 상기 나이트라이드 층 모두를 봉지하도록 탄소-함유 봉지 층을 증착하는 단계를 포함하고,
    상기 탄소-함유 봉지 층의 탄소 함량은 10 원자% 내지 15 원자%인, 반도체 기판을 프로세싱하는 방법.
KR1020227018307A 2016-11-23 2017-11-21 3d nand 제조시 계단형 봉지 KR102491769B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201662426035P 2016-11-23 2016-11-23
US62/426,035 2016-11-23
US201662435500P 2016-12-16 2016-12-16
US62/435,500 2016-12-16
US15/408,291 US10002787B2 (en) 2016-11-23 2017-01-17 Staircase encapsulation in 3D NAND fabrication
US15/408,291 2017-01-17
PCT/US2017/062882 WO2018098197A1 (en) 2016-11-23 2017-11-21 Staircase encapsulation in 3d nand fabrication
KR1020197017914A KR102607355B1 (ko) 2016-11-23 2017-11-21 3d nand 제조시 계단형 봉지

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197017914A Division KR102607355B1 (ko) 2016-11-23 2017-11-21 3d nand 제조시 계단형 봉지

Publications (2)

Publication Number Publication Date
KR20220079696A KR20220079696A (ko) 2022-06-13
KR102491769B1 true KR102491769B1 (ko) 2023-01-26

Family

ID=62147217

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020227018307A KR102491769B1 (ko) 2016-11-23 2017-11-21 3d nand 제조시 계단형 봉지
KR1020197017914A KR102607355B1 (ko) 2016-11-23 2017-11-21 3d nand 제조시 계단형 봉지
KR1020237040494A KR20230167767A (ko) 2016-11-23 2017-11-21 3d nand 제조시 계단형 봉지

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020197017914A KR102607355B1 (ko) 2016-11-23 2017-11-21 3d nand 제조시 계단형 봉지
KR1020237040494A KR20230167767A (ko) 2016-11-23 2017-11-21 3d nand 제조시 계단형 봉지

Country Status (5)

Country Link
US (2) US10002787B2 (ko)
KR (3) KR102491769B1 (ko)
CN (1) CN110024125A (ko)
TW (1) TWI756302B (ko)
WO (1) WO2018098197A1 (ko)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10340137B2 (en) * 2016-07-25 2019-07-02 Tokyo Electron Limited Monolayer film mediated precision film deposition
US10002787B2 (en) * 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
CN110998790A (zh) 2017-08-04 2020-04-10 朗姆研究公司 在水平表面上的选择性沉积SiN
US10658297B2 (en) 2018-06-30 2020-05-19 Intel Corporation Metal-nitride-free via in stacked memory
CN109314116B (zh) 2018-07-20 2019-10-01 长江存储科技有限责任公司 用于形成三维存储器件的方法
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
TW202025284A (zh) * 2018-09-10 2020-07-01 美商蘭姆研究公司 用於高深寬比圖案化及垂直縮放的膜堆疊簡化
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
CN109920791B (zh) * 2019-03-15 2021-05-04 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
US20220148971A1 (en) * 2019-05-09 2022-05-12 Intel Corporation Non-conductive etch stop structures for memory applications with large contact height differential
US11638377B2 (en) 2019-09-13 2023-04-25 Applied Materials, Inc. Self-aligned select gate cut for 3D NAND
CN112635476B (zh) * 2019-10-12 2023-08-08 长江存储科技有限责任公司 具有氢阻挡层的三维存储设备及其制造方法
US11088088B2 (en) 2019-11-05 2021-08-10 Micron Technology, Inc. Microelectronic devices with polysilicon fill material between opposing staircase structures, and related devices, systems, and methods
KR102640175B1 (ko) 2019-11-18 2024-02-23 삼성전자주식회사 반도체 장치
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
US11158577B2 (en) 2020-01-31 2021-10-26 Micron Technology, Inc. Methods for fabricating microelectronic devices with contacts to conductive staircase steps, and related devices and systems
WO2021243686A1 (en) * 2020-06-05 2021-12-09 Yangtze Memory Technologies Co., Ltd. Contact pad structure and method of forming the same
CN113192967B (zh) * 2020-06-11 2023-04-28 长江存储科技有限责任公司 半导体结构及其制备方法
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
US11647633B2 (en) 2020-07-13 2023-05-09 Micron Technology, Inc. Methods used in forming integrated circuitry comprising a stack comprising vertically-alternating first tiers and second tiers with the stack comprising a cavity therein that comprises a stair-step structure
TW202220191A (zh) * 2020-07-21 2022-05-16 美商日升存儲公司 用於製造nor記憶體串之3維記憶體結構之方法
CN112018129A (zh) * 2020-09-04 2020-12-01 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
CN117769255A (zh) * 2021-01-13 2024-03-26 长江存储科技有限责任公司 用于在三维存储器件中形成台阶的方法
KR102244885B1 (ko) 2021-02-03 2021-04-27 (주)원익머트리얼즈 높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정
US11756785B2 (en) * 2021-08-20 2023-09-12 Applied Materials, Inc. Molecular layer deposition contact landing protection for 3D NAND
WO2023076116A1 (en) 2021-10-27 2023-05-04 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
US20230157013A1 (en) * 2021-11-12 2023-05-18 Sandisk Technologies Llc Three-dimensional memory device with word-line etch stop liners and method of making thereof
US20230189515A1 (en) * 2021-12-13 2023-06-15 Micron Technology, Inc. Microelectronic devices including stack structures having air gaps, and related memory devices, electronic systems, and methods
US20230369031A1 (en) * 2022-05-12 2023-11-16 Applied Materials, Inc. Integrated method and tool for high quality selective silicon nitride deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009295617A (ja) 2008-06-02 2009-12-17 Toshiba Corp 不揮発性半導体記憶装置
US20140264925A1 (en) 2013-03-12 2014-09-18 Macronix International Co., Ltd. Interlayer conductor and method for forming
US20160284615A1 (en) 2014-07-16 2016-09-29 Applied Materials, Inc. Polishing with measurement prior to deposition of outer layer

Family Cites Families (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4177474A (en) 1977-05-18 1979-12-04 Energy Conversion Devices, Inc. High temperature amorphous semiconductor member and method of making the same
AU549925B2 (en) 1983-11-28 1986-02-20 Nitsuko Ltd. Automatic telephone hold releasing circuit
JP2736380B2 (ja) 1987-08-11 1998-04-02 株式会社豊田中央研究所 炭化珪素質材料の製造方法及び原料組成物
US5034355A (en) 1987-10-28 1991-07-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Tough silicon carbide composite material containing fibrous boride
US4895789A (en) 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
DE3811567A1 (de) 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
US5464699A (en) 1988-04-18 1995-11-07 Alloy Surfaces Co. Inc. Pyrophoric materials and methods for making the same
KR940003787B1 (ko) 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 박막 형성장치 및 방법
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5324690A (en) 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
SE9501312D0 (sv) 1995-04-10 1995-04-10 Abb Research Ltd Method for procucing a semiconductor device
KR100219550B1 (ko) 1996-08-21 1999-09-01 윤종용 반사방지막 및 이를 이용한 패턴형성방법
JP3164019B2 (ja) 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
JP3411559B2 (ja) 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー シリコーン膜の熱分解化学蒸着法
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6881683B2 (en) 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US5958324A (en) 1998-02-06 1999-09-28 Dow Corning Corporation Method for formation of crystalline boron-doped silicon carbide and amorphous boron silicon oxycarbide fibers from polymer blends containing siloxane and boron
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
JPH11354820A (ja) 1998-06-12 1999-12-24 Sharp Corp 光電変換素子及びその製造方法
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6399484B1 (en) 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6383898B1 (en) 1999-05-28 2002-05-07 Sharp Kabushiki Kaisha Method for manufacturing photoelectric conversion device
CA2375138A1 (en) 1999-06-03 2000-12-14 The Penn State Research Foundation Deposited thin film void-column network materials
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6100587A (en) 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
JP3430091B2 (ja) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
US6818990B2 (en) 2000-04-03 2004-11-16 Rensselaer Polytechnic Institute Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6774489B2 (en) 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
TW535253B (en) 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
WO2002058112A2 (en) 2001-01-22 2002-07-25 N.V.Bekaert S.A. Copper diffusion barriers
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6455409B1 (en) 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100414156B1 (ko) 2001-05-29 2004-01-07 삼성전자주식회사 집적회로소자의 캐패시터 제조방법
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US7057251B2 (en) 2001-07-20 2006-06-06 Reflectivity, Inc MEMS device made of transition metal-dielectric oxide materials
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
KR100420598B1 (ko) 2001-11-28 2004-03-02 동부전자 주식회사 알루미늄을 이용한 구리 확산 방지 막 형성방법
US6670715B2 (en) 2001-12-05 2003-12-30 United Microelectronics Corp. Bilayer silicon carbide based barrier
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6679978B2 (en) 2002-02-22 2004-01-20 Afg Industries, Inc. Method of making self-cleaning substrates
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
EP1365043B1 (de) 2002-05-24 2006-04-05 Schott Ag Vorrichtung für CVD-Beschichtungen
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6803313B2 (en) 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6869542B2 (en) 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7081673B2 (en) 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
JP3966249B2 (ja) 2003-07-30 2007-08-29 日産自動車株式会社 半導体装置及び半導体装置の製造方法
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
DE602004026635D1 (de) 2003-12-26 2010-05-27 Nissan Chemical Ind Ltd Zusammensetzung zur bildung eines nitridbeschichtungsfilms für eine hartmaske
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7285842B2 (en) 2004-04-27 2007-10-23 Polyset Company, Inc. Siloxane epoxy polymers as metal diffusion barriers to reduce electromigration
US7259090B2 (en) 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers
US7067409B2 (en) 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US20050277302A1 (en) 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en) 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7259111B2 (en) 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
TW200631095A (en) 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7189658B2 (en) 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
KR101272097B1 (ko) 2005-06-03 2013-06-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 집적회로 장치 및 그의 제조방법
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
JP4837370B2 (ja) 2005-12-05 2011-12-14 東京エレクトロン株式会社 成膜方法
WO2007075369A1 (en) 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8138082B2 (en) 2006-02-28 2012-03-20 Stmicroelectronics (Crolles 2) Sas Method for forming metal interconnects in a dielectric material
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7744746B2 (en) 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
WO2007116492A1 (ja) 2006-03-31 2007-10-18 Fujitsu Microelectronics Limited 半導体装置の製造方法
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
JP5380797B2 (ja) 2006-08-21 2014-01-08 富士通株式会社 半導体デバイスの製造方法
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US7759241B2 (en) 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7749892B2 (en) 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP2010519773A (ja) 2007-02-27 2010-06-03 シクストロン アドヴァンスド マテリアルズ、インコーポレイテッド 基板上に膜を形成するための方法
JP5140290B2 (ja) 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
CN101017834A (zh) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
TWI333676B (en) 2007-03-22 2010-11-21 United Microelectronics Corp Method for manufacturing mos transistor utilizing hybrid a hard mask
US7615482B2 (en) 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
TWI455203B (zh) 2007-05-03 2014-10-01 Lam Res Corp 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
JP5022116B2 (ja) 2007-06-18 2012-09-12 三菱重工業株式会社 半導体装置の製造方法及び製造装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
KR20100042644A (ko) 2007-07-13 2010-04-26 어플라이드 머티어리얼스, 인코포레이티드 보론 유도 물질 증착 방법
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
CN101971298A (zh) 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
KR20150038544A (ko) 2008-05-07 2015-04-08 더 트러스티즈 오브 프린스턴 유니버시티 전자 장치들 또는 다른 물품들 위의 코팅들에 사용하기 위한 혼성 층들
KR101629193B1 (ko) 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
JP5394270B2 (ja) 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US8349746B2 (en) 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
JP5123349B2 (ja) 2010-04-19 2013-01-23 Hoya株式会社 多階調マスクの製造方法
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
KR20130135261A (ko) 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
CN102468434A (zh) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
WO2012134605A1 (en) 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
DE112012001643B4 (de) * 2011-04-11 2022-09-01 Sumitomo Electric Industries, Ltd. Schneidewerkzeug und Verfahren zur Herstellung desselben
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (ko) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 고강도 실리콘옥시카바이드 결합 탄화규소 소재 제조용 조성물, 탄화규소 소재 및 그 제조방법
JP2013055136A (ja) 2011-09-01 2013-03-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
WO2013073216A1 (ja) 2011-11-14 2013-05-23 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
KR20130130593A (ko) * 2012-05-22 2013-12-02 삼성전자주식회사 분산 안테나를 사용하는 복수 개의 기지국을 포함하는 무선통신 시스템에서 기준 신호 측정 방법 및 장치
US8828884B2 (en) * 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US20180347035A1 (en) 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
KR102136769B1 (ko) 2013-03-14 2020-07-22 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스에서 우수한 접착 강도를 갖고 유전 상수 증가를 최소화하기 위한 접착 층
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6267953B2 (ja) 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US20160009064A1 (en) * 2014-07-10 2016-01-14 Apple Inc. Method for activating adhesives on complex surfaces
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9391086B1 (en) * 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10002787B2 (en) * 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009295617A (ja) 2008-06-02 2009-12-17 Toshiba Corp 不揮発性半導体記憶装置
US20140264925A1 (en) 2013-03-12 2014-09-18 Macronix International Co., Ltd. Interlayer conductor and method for forming
US20160284615A1 (en) 2014-07-16 2016-09-29 Applied Materials, Inc. Polishing with measurement prior to deposition of outer layer

Also Published As

Publication number Publication date
US20180144977A1 (en) 2018-05-24
KR20220079696A (ko) 2022-06-13
CN110024125A (zh) 2019-07-16
TW202234471A (zh) 2022-09-01
US20180330985A1 (en) 2018-11-15
KR20230167767A (ko) 2023-12-11
US10002787B2 (en) 2018-06-19
KR102607355B1 (ko) 2023-11-27
US10580690B2 (en) 2020-03-03
TW201832351A (zh) 2018-09-01
KR20190077587A (ko) 2019-07-03
WO2018098197A1 (en) 2018-05-31
TWI756302B (zh) 2022-03-01

Similar Documents

Publication Publication Date Title
KR102491769B1 (ko) 3d nand 제조시 계단형 봉지
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR102515238B1 (ko) 실리콘 카바이드 막들의 컨포멀한 증착
KR20210152447A (ko) 초박 원자층 증착 막 정확도 두께 제어
KR20160125310A (ko) 탄소계 막들을 사용하는 갭 충진
CN112956026A (zh) 用于高深宽比图案化和竖直缩放的膜堆叠简化
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
TWI839673B (zh) 3維反及製造中之階梯形包覆
WO2023178273A1 (en) Reducing capacitance in semiconductor devices
KR20210024673A (ko) 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant