US20180347035A1 - Conformal deposition of silicon carbide films using heterogeneous precursor interaction - Google Patents

Conformal deposition of silicon carbide films using heterogeneous precursor interaction Download PDF

Info

Publication number
US20180347035A1
US20180347035A1 US16/044,357 US201816044357A US2018347035A1 US 20180347035 A1 US20180347035 A1 US 20180347035A1 US 201816044357 A US201816044357 A US 201816044357A US 2018347035 A1 US2018347035 A1 US 2018347035A1
Authority
US
United States
Prior art keywords
silicon
bonds
silicon carbide
precursor
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/044,357
Inventor
Matthew Scott Weimer
Bhadri N. Varadarajan
Bo Gong
Zhe Gui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/494,836 external-priority patent/US10211310B2/en
Priority claimed from US13/907,699 external-priority patent/US9234276B2/en
Priority claimed from US14/616,435 external-priority patent/US10325773B2/en
Priority to US16/044,357 priority Critical patent/US20180347035A1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GUI, Zhe, GONG, Bo, VARADARAJAN, BHADRI N., WEIMER, MATTHEW SCOTT
Publication of US20180347035A1 publication Critical patent/US20180347035A1/en
Priority to KR1020237019109A priority patent/KR20230088843A/en
Priority to CN202311302407.5A priority patent/CN117660941A/en
Priority to KR1020217005460A priority patent/KR102542281B1/en
Priority to CN201980049405.5A priority patent/CN112469846B/en
Priority to PCT/US2019/042821 priority patent/WO2020023385A1/en
Priority to TW108125985A priority patent/TW202018115A/en
Priority to US18/175,513 priority patent/US20230203646A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Definitions

  • the silicon carbide (SiC) class of thin films has unique physical, chemical, and mechanical properties and is used in a variety of applications, particularly integrated circuit applications.
  • Classes of SiC thin films include oxygen doped silicon carbide, also known as silicon oxycarbide, nitrogen doped silicon carbide, also known as silicon nitricarbide, and oxygen and nitrogen doped silicon carbide, also known as silicon oxynitricarbide, and undoped silicon carbide.
  • the method includes providing a substrate in a reaction chamber, flowing a silicon-containing precursor into the reaction chamber towards the substrate, and flowing a co-reactant into the reaction chamber along with the silicon-containing precursor.
  • the silicon-containing precursor has (i) one or more Si—H bonds and/or Si—Si bonds, (ii) one or more Si—C bonds, Si—N, and/or Si—O bonds, (iii) no C—O bonds, and (iv) no C—N bonds.
  • the co-reactant is a hydrocarbon molecule.
  • the method further includes generating, from a hydrogen source gas, radicals of hydrogen in a remote plasma source that are generated upstream of the silicon-containing precursor and the co-reactant, and introducing the radicals of hydrogen into the reaction chamber and towards the substrate, where the radicals of hydrogen are in a ground state to react with the silicon-containing precursor and the co-reactant to form a doped or undoped silicon carbide film on the substrate, where the doped or undoped silicon carbide film has a conformality of at least 90%.
  • the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN).
  • the hydrocarbon molecule has one or more carbon-to-carbon double bonds or triple bonds.
  • the hydrocarbon molecule can include propylene, ethylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentyne, or hexyne.
  • the silicon-containing precursor and the co-reactant are simultaneously flowed along the same flow path into the reaction chamber.
  • the silicon-containing precursor includes an alkylcarbosilane, a siloxane, or a silazane.
  • Another aspect involves a method of depositing a silicon carbide film on a substrate.
  • the method includes providing a substrate in a reaction chamber, flowing a first organosilicon precursor into the reaction chamber, and flowing a second organosilicon precursor into the reaction chamber.
  • the first organosilicon precursor has (i) one or more Si—H bonds and/or Si—Si bonds, and (ii) one or more Si—C bonds, Si—N bonds, and/or Si—O bonds
  • the second organosilicon precursor includes (i) no Si—H bonds and (ii) no Si—Si bonds.
  • the method further includes generating, from a hydrogen source gas, radicals of hydrogen in a remote plasma source that are generated upstream of the first organosilicon precursor and the second organosilicon precursor, and introducing the radicals of hydrogen into the reaction chamber and towards the substrate, where the radicals of hydrogen are in a ground state to react with the first organosilicon precursor and the second organosilicon precursor to form a doped or undoped silicon carbide film on the substrate.
  • the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN).
  • a flow rate of the second organosilicon precursor is at least two times greater than a flow rate of the first organosilicon precursor.
  • the doped or undoped silicon carbide film has a conformality of at least 95%.
  • the second organosilicon precursor includes tetramethylsilane (4MS).
  • the first organosilicon precursor and the second organosilicon precursor are simultaneously flowed along the same flow path into the reaction chamber.
  • FIG. 1A illustrates a cross-sectional schematic of an example doped or undoped silicon carbide film deposited over a substrate.
  • FIG. 1B illustrates a cross-sectional schematic of an example doped or undoped silicon carbide film conformally deposited on features of a substrate.
  • FIG. 1C illustrates a cross-sectional schematic of example doped or undoped silicon carbide vertical structures on sidewalls of a gate electrode of a transistor.
  • FIG. 1D illustrates a cross-sectional schematic of example doped or undoped silicon carbide vertical structures on exposed sidewalls of copper lines in an air gap type metallization layer.
  • FIG. 1E illustrates a cross-sectional schematic of example doped or undoped silicon carbide pore sealants for porous dielectric materials.
  • FIG. 2 illustrates chemical structures of examples of representative caged siloxane precursors.
  • FIG. 3 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some implementations.
  • FIG. 4 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some other implementations.
  • FIG. 5A shows a TEM image of a silicon carbide thin film deposited on substrate features without using a carbon-containing non-depositing additive.
  • FIG. 5B shows a TEM image of a silicon carbide thin film deposited on substrate features using a carbon-containing non-depositing additive.
  • FIG. 6A shows a TEM image a silicon carbide thin film deposited on substrate features without using a silicon-containing depositing additive.
  • FIG. 6B shows a TEM image of a silicon carbide thin film deposited on substrate features using a silicon-containing depositing additive.
  • semiconductor wafer semiconductor wafer
  • wafer semiconductor wafer
  • substrate substrate
  • wafer substrate semiconductor substrate
  • partially fabricated integrated circuit can refer to a silicon wafer during any of many stages of integrated circuit fabrication.
  • a wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm.
  • the following detailed description assumes the present disclosure is implemented on a wafer. However, the present disclosure is not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • other work pieces that may take advantage of the present disclosure include various articles such as printed circuit boards and the like.
  • silicon carbide includes undoped or doped silicon carbides, such oxygen doped silicon carbide (SiCO), nitrogen doped silicon carbide (SiCN), and nitrogen and oxygen doped silicon carbide (SiOCN).
  • doped silicon carbides have at most about 50% atomic of dopant atoms, whether those atoms are oxygen, nitrogen, or atoms of another element. The doping level provides desired film properties.
  • Precursor molecules for depositing silicon carbides can include silicon-containing molecules having silicon-hydrogen (Si—H) and/or silicon-silicon (Si—Si) bonds, and silicon-carbon (Si—C) bonds.
  • Precursor molecules for depositing silicon oxycarbides include silicon-containing molecules having silicon-hydrogen (Si—H) bonds and/or silicon-silicon (Si—Si) bonds, and silicon-oxygen (Si—O) bonds and/or silicon-carbon (Si—C) bonds.
  • Precursor molecules for depositing silicon nitricarbides include silicon-containing molecules having silicon-hydrogen (Si—H) bonds and/or silicon-silicon (Si—Si) bonds, and silicon-nitrogen (Si—N) bonds and/or silicon-carbon (Si—C) bonds.
  • Precursor molecules for depositing silicon oxynitricarbides include silicon-containing molecules having silicon-hydrogen (Si—H) bonds and/or silicon-silicon (Si—Si) bonds, and silicon-nitrogen (Si—N) bonds, silicon-oxygen (Si—O) bonds, and/or silicon-carbon (Si—C) bonds.
  • Current PECVD processes may use in situ plasma processing in which a plasma is provided directly adjacent to a substrate.
  • PECVD may break Si—O and/or Si—C bonds in the precursor molecules to produce highly reactive radicals or other fragment types having high sticking coefficients.
  • the fragments of the resulting doped silicon carbide film can include silicon, carbon, and/or oxygen atoms with bonds that are “dangling,” meaning that silicon, carbon, and/or oxygen atoms have reactive unpaired valence electrons.
  • High sticking coefficients of the precursor molecules and their fragments can deposit silicon carbide films with poor step coverage, as reactive precursor fragments may disproportionately stick to the upper regions of sidewalls and other structures in recessed features.
  • the dangling bonds can produce silanol groups (Si—OH) in a deposited silicon oxycarbide or silicon oxynitricarbide film.
  • Si—OH silanol groups
  • the film may have detrimentally high dielectric constants. Film quality may also suffer because the direct plasma conditions tend to extract carbon out of the deposited film.
  • the dangling bonds can produce increased silicon-hydrogen bonding (Si—H) in deposited silicon carbide films.
  • Broken bonds of Si—C can be replaced with Si—H in direct plasma deposition conditions.
  • the presence of Si—H bonds in silicon carbide films can produce films with poor electrical properties. For example, the presence of Si—H bonds can reduce breakdown voltages and can increase leakage currents because the Si—H bonds provide a leakage path for electrons.
  • the dangling bonds can lead to uncontrolled chemical or morphological structures in the silicon carbide films.
  • such structures are dense filaments having low or no porosity, such that the film has an unacceptably high dielectric constant.
  • the lack of porosity can be the result of the direct plasma conditions breaking Si—C and/or Si—O bonds in cyclic siloxanes that would otherwise provide porosity in an ultralow-k dielectric material.
  • Direct plasma conditions sometimes employed in PECVD can lead to directionality in the deposition because the energy to break up the precursor molecules can be a low frequency which creates a lot of ion bombardment at the surface.
  • the directional deposition can also lead to deposition of silicon carbide films with poor step coverage.
  • a direct plasma is a plasma in which the plasma (electrons and positive ions at an appropriate concentration) reside in close proximity to the substrate surface during deposition, sometimes separated from the substrate surface by only a plasma sheath.
  • PECVD processes are sometimes inappropriate for depositing silicon carbide films over exposed copper or other metal surfaces because such processes can oxidize metal.
  • the PECVD process may use oxidants such as oxygen (O 2 ), ozone (O 3 ), carbon dioxide (CO 2 ), or other oxidizing species to form a silicon oxycarbide film.
  • FIG. 1A illustrates a cross-section of an example silicon carbide film deposited over a substrate.
  • the silicon carbide film 101 can be formed under process conditions producing a relatively mild environment adjacent to the substrate 100 .
  • the substrate 100 can be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other appropriate work piece.
  • the process for depositing the silicon carbide film 101 can involve one or more silicon-containing precursors having one or more Si—H bonds and/or one or more Si—Si bonds, along with other bonds such as Si—C bonds, Si—O bonds, and/or Si—N bonds, depending on the type of doped structure to be produced.
  • the silicon-containing precursors can include silicon-oxygen containing precursors, silicon-nitrogen containing precursors, and/or silicon-carbon containing precursors.
  • the silicon-oxygen containing precursors can include one or more Si—O bonds
  • the silicon-nitrogen containing precursors can include one or more Si—N bonds
  • the silicon-carbon containing precursors can include one or more Si—C bonds.
  • the silicon-containing precursors can include a single reactant A with Si—O and Si—C bonds, or Si—N and Si—C bonds.
  • the silicon-containing precursors can include a reactant B with Si—O bonds or Si—N bonds, and a reactant C with Si—C bonds. It will be understood that any number of suitable reactants may be employed in the scope of this present disclosure. The chemical structures of example silicon-containing precursors are discussed in further detail below.
  • the silicon-containing precursor includes one or more Si—H bonds and/or one or more Si—Si bonds. However, it will be understood that additional silicon-containing precursors may not necessarily include Si—H or Si—Si bonds. These additional silicon-containing precursors may be provided concurrently with the silicon-containing precursor having one or more Si—H and/or Si—Si bonds.
  • the Si—H bonds and/or Si—Si bonds are broken and serve as reactive sites for forming bonds between the silicon-containing precursors in the deposited silicon carbide film 101 .
  • the broken bonds can also serve as sites for cross-linking during thermal processing conducted during or after deposition. Bonding at the reactive sites and cross-linking can form a primary backbone or matrix collectively in the resulting silicon carbide film 101 .
  • the process conditions can preserve or substantially preserve Si—C bonds and, if present, Si—O and Si—N bonds in the as-deposited layer of the silicon carbide film 101 .
  • the reaction conditions adjacent to the substrate 100 provide for the selective breaking of Si—H and/or Si—Si bonds, e.g., extracting hydrogen from the broken Si—H bonds, but the reaction conditions do not provide for extracting oxygen from Si—O bonds, nitrogen from Si—N bonds, or carbon from Si—C bonds.
  • introduction of a co-reactant such as oxygen may extract carbon from Si—C bonds.
  • other reaction mechanisms may be taking place at the environment adjacent to the substrate surface, including reaction mechanisms that are less kinetically favorable such as substitution reactions.
  • the described reaction conditions exist at the exposed face of the substrate 100 (the face where the silicon carbide film 101 is deposited). They may further exist at some distance above the substrate 100 , e.g., about 0.5 micrometers to about 150 millimeters above the substrate 100 . In effect, activation of the precursor can happen in the gas phase at a substantial distance above the substrate 100 .
  • the pertinent reaction conditions will be uniform or substantially uniform over the entire exposed face of the substrate 100 , although certain applications may permit some variation.
  • the environment adjacent the work piece can include one or more radical species, preferably in a substantially low energy state.
  • An example of such species includes hydrogen radicals (i.e., hydrogen atom radicals).
  • all, or substantially all, or a substantial fraction of the hydrogen atom radicals can be in the ground state, e.g., at least about 90% or 95% of the hydrogen atom radicals adjacent the work piece are in the ground state.
  • source gas is provided in a carrier gas such as helium.
  • hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen. Pressure, fraction of carrier gas such as helium, and other process conditions are chosen so that the hydrogen atoms encounter the substrate 100 as radicals in a low energy state without recombining.
  • hydrogen gas may be supplied into a remote plasma source to generate hydrogen atom radicals.
  • the remote plasma source may be positioned upstream from the substrate surface and the environment adjacent to the substrate surface.
  • the hydrogen atom radicals may be in an excited energy state.
  • hydrogen in an excited energy state can have an energy of at least 10.2 eV (first excited state).
  • Excited hydrogen atom radicals may cause unselective decomposition of a silicon-containing precursor.
  • hydrogen atom radicals in an excited state can easily break Si—H, Si—Si, Si—N, Si—O, and Si—C bonds, which can alter the composition or physical or electrical characteristics of the silicon carbide film 101 .
  • the excited hydrogen atom radical when the excited hydrogen atom radicals lose their energy, or relax, the excited hydrogen atom radical may become a substantially low energy state hydrogen atom radical or a ground state hydrogen atom radical.
  • Hydrogen atom radicals in a substantially low energy state or ground state can be capable of selectively breaking Si—H and Si—Si bonds while generally preserving Si—O, Si—N, and Si—C bonds.
  • process conditions may be provided so that excited hydrogen atom radicals lose energy or relax to form substantially low energy state or ground state hydrogen atom radicals.
  • the remote plasma source or associated components may be designed so that a residence time of hydrogen atom radicals diffusing from the remote plasma source to the substrate 100 is greater than the energetic relaxation time of an excited hydrogen atom radical.
  • the energetic relaxation time for an excited hydrogen atom radical can be about equal to or less than about 1 ⁇ 10 ⁇ 3 seconds.
  • a state in which a substantial fraction of hydrogen atom radicals are in the ground state can be achieved by various techniques. Some apparatuses, such as described below, are designed to achieve this state. Apparatus features and process control features can be tested and tuned to produce a mild state in which a substantial fraction of the hydrogen atom radicals are in the ground state. For example, an apparatus may be operated and tested for charged particles downstream of the plasma source; i.e., near the substrate 100 . The process and apparatus may be tuned until substantially no charged species exist near the substrate 100 . Additionally, apparatus and process features may be tuned to a configuration where they begin to produce a silicon carbide film 101 from a standard silicon-containing precursor. The relatively mild conditions that support such film deposition are chosen.
  • radical species include oxygen-containing species such as elemental oxygen radicals (atomic or diatomic), nitrogen-containing species such as elemental nitrogen radicals (atomic or diatomic), and N—H containing radicals such as ammonia radicals, where nitrogen is optionally incorporated into the film.
  • N—H containing radicals include but are not limited to radicals of methylamine, dimethylamine, and aniline.
  • the aforementioned radical species may be produced from a source gas that includes hydrogen, nitrogen, N—H containing species, or mixtures thereof. In some embodiments, substantially all or a substantial fraction of atoms of the deposited film are provided by the precursor molecules.
  • the low energy radicals used to drive the deposition reaction may be exclusively hydrogen or other species that does not substantially contribute to the mass of the deposited layer.
  • the radical species can be produced by a remote plasma source.
  • some radicals of higher energy state or even ions can potentially be present near the wafer plane.
  • the process conditions employ radical species in a substantially low energy state sufficient to break Si—H bonds and/or Si—Si bonds while substantially preserving Si—O, Si—N, and Si—C bonds.
  • Such process conditions may not have substantial amounts of ions, electrons, or radical species in high energy states such as states above the ground state.
  • the concentration of ions in the region adjacent the film is no greater than about 10 7 /cm 3 .
  • the presence of substantial amounts of ions or high energy radicals may tend to break Si—O, Si—N, and Si—C bonds, which can produce films with undesirable electrical properties (e.g., high dielectric constants and/or low breakdown voltages) and poor conformality. It is believed that an excessively reactive environment produces reactive precursor fragments that have high sticking coefficients (representing a propensity to chemically or physically stick to work piece sidewalls), resulting in poor conformality.
  • the silicon-containing precursors are typically delivered with other species, notably carrier gas, in the environment adjacent to the substrate 100 .
  • the silicon-containing precursors are present with the radical species and other species, including other reactive species and/or carrier gases.
  • the silicon-containing precursors may be introduced as a mixture. Upstream from the deposition reaction surface, the silicon-containing precursors can be mixed with an inert carrier gas.
  • Example inert carrier gases include, but are not limited to, argon (Ar) and helium (He).
  • the silicon-containing precursors can be introduced in a mixture having major and minor species, with the minor species containing some element or structural feature (e.g., a ring structure, a cage structure, an unsaturated bond, etc.) that is present in the silicon carbide film 101 at a relatively low concentration. It will be understood, however, that the minor species may not significantly contribute to the composition or structural feature of the silicon carbide film 101 .
  • the multiple precursors may be present in equimolar or relatively similar proportions as appropriate to form the primary backbone or matrix in the resulting silicon carbide film 101 . In other embodiments, the relative amounts of the different precursors are substantially skewed from equimolarity.
  • one or more silicon-containing precursors provide essentially all of the mass of the deposited silicon carbide film 101 , with small amounts of hydrogen or other element from a remote plasma providing less than about 5% atomic or less than about 2% atomic of the film mass.
  • only the radical species and the one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film 101 .
  • the deposition reaction includes a co-reactant other than one or more silicon-containing precursors and the radical species, which may or may not contribute to the composition of the deposited silicon carbide film 101 .
  • co-reactants examples include carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), nitrogen (N 2 ), nitrous oxide (N 2 O), ammonia (NH 3 ), diazene (N 2 H 2 ), methane (CH 4 ), ethane (C 2 H 6 ), acetylene (C 2 H 2 ), ethylene (C 2 H 4 ), diborane (B 2 H 6 ), and combinations thereof.
  • Such materials may be used as nitriding agents, oxidizers, reductants, etc.
  • the co-reactant is introduced to the reaction chamber via the same flow path as the silicon-containing precursor; e.g., a path including a gas outlet or showerhead, typically without direct exposure to plasma.
  • oxygen and/or carbon dioxide is introduced with the precursor to alter the composition of the silicon carbide film 101 by removing carbon from the film or precursor during deposition.
  • the co-reactant is introduced to the reaction chamber via the same flow path as the hydrogen, such that the co-reactant is at least partially converted to radicals and/or ions.
  • the hydrogen radicals and the co-reactant radicals both react with the silicon-containing precursor(s) to produce the deposited silicon carbide film 101 .
  • co-reactants are used and they are introduced to the chamber with the species being converted to radicals (e.g., hydrogen), they may be provided to the reaction chamber in relatively small amounts in comparison to the other gases in the reaction chamber, including the source of radicals (e.g., hydrogen) and any carrier gas(es) such as helium.
  • the co-reactant may be present in the process gases at about 0.05% or less by mass, or at about 0.01% or less by mass, or at about 0.001% or less by mass.
  • a reactant mixture (that goes into the plasma source) may be about 10-20 liters per minute (L/m) He, about 200-500 standard cubic centimeters per minute (sccm) H2, and about 1-10 sccm oxygen.
  • the co-reactant may be present in the process gases at about 0.05% or more by mass, or at about 1% or more by mass, or at about 20% or more by mass.
  • the co-reactants When the co-reactants are introduced to the reaction chamber along with the silicon-containing precursor (e.g., through a gas outlet or showerhead), they may be present at a higher concentration; for example about 2% or less or about 0.1% or less by mass.
  • the co-reactant when it is a relatively weak reactant (e.g., a weak oxidant such as carbon dioxide), it may be present at even higher concentrations, such as about 10% or less or about 4% or less by mass. When the co-reactant is an additive, it may be present at even higher concentrations, such as about 10% or more or 20% or more by mass.
  • a relatively weak reactant e.g., a weak oxidant such as carbon dioxide
  • the co-reactant when it may be present at even higher concentrations, such as about 10% or more or 20% or more by mass.
  • the temperature in the environment adjacent to the substrate 100 can be any suitable temperature facilitating the deposition reaction, but sometimes limited by the application of the device containing the silicon carbide film 101 .
  • the temperature in the environment adjacent to the substrate 100 can be largely controlled by the temperature of a pedestal on which a substrate 100 is supported during deposition of the silicon carbide film 101 .
  • the operating temperature can be between about 50° C. and about 500° C.
  • the operating temperature can be between about 250° C. and about 400° C. in many integrated circuit applications.
  • increasing the temperature can lead to increased cross-linking on the substrate surface.
  • the pressure in the environment adjacent to the substrate 100 can be any suitable pressure to produce reactive radicals in a reaction chamber.
  • the pressure can be about 35 Torr or lower.
  • the pressure can be between about 10 Torr and about 20 Torr, such as in embodiments implementing a microwave generated plasma.
  • the pressure can be less than about 5 Torr, or between about 0.2 Torr and about 5 Torr, such as in embodiments implementing a radio-frequency (RF) generated plasma.
  • RF radio-frequency
  • the environment adjacent to the substrate 100 provides for deposition of the silicon carbide film 101 on the substrate 100 by remote plasma CVD.
  • a source gas is supplied to a remote plasma source, and power is provided to the remote plasma source that may cause the source gas to dissociate and generate ions and radicals in an excited energy state. After excitation, the radicals in the excited energy state relax to substantially low energy state radicals or ground state radicals, such as ground state hydrogen radicals.
  • Bonds in the silicon-containing precursor may be selectively broken by the hydrogen radicals in a relaxed energy state.
  • Bonds in the co-reactant or additional precursor may be selectively broken by the hydrogen radicals in a relaxed energy state to activate the co-reactant or additional precursor.
  • FIGS. 1B-1E illustrate cross-sections of structures containing silicon carbide films in a variety of applications.
  • FIG. 1B illustrates silicon carbide thin film conformally deposited on features of a substrate.
  • FIG. 1C illustrates silicon carbide vertical structures on the sidewalls of a gate electrode structure of a transistor.
  • FIG. 1D illustrates silicon carbide vertical structures on exposed sidewalls of copper lines in an air gap type metallization layer.
  • FIG. 1E illustrates silicon carbide pore sealants for porous dielectric materials.
  • the precursors employed in forming silicon carbide films can include silicon-containing precursors, with at least some of the silicon-containing precursors having at least one Si—H and/or at least one Si—Si bond.
  • the silicon-containing precursor has at most one hydrogen atom on every silicon atom.
  • a precursor having one silicon atom has at most one hydrogen atom bonded to the silicon atom;
  • a precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and optionally another hydrogen atom bonded to the second silicon atom;
  • a precursor having three silicon atoms has at least one hydrogen atom bonded to one silicon atom and optionally one or two more hydrogen atoms bonded to one or two of the remaining silicon atoms, and so on.
  • the silicon-containing precursors may include at least one Si—O bond, at least one Si—N bond, and/or at least one Si—C bond. While any number of appropriate precursors can be used in forming silicon carbide films, at least some of the precursors will include silicon-containing precursors with at least one Si—H bond or Si—Si bond, and optionally at least one Si—O bond, Si—N bond, and/or Si—C bond.
  • the silicon-containing precursor(s) contain no O—C or N—C bonds; e.g., the precursor(s) contain no alkoxy (—O—R), where R is an organic group such as a hydrocarbon group, or amine (—NR 1 R 2 ) groups, wherein R 1 and R 2 are independently hydrogen or organic groups. It is believed that such groups may impart high sticking coefficients to the precursors or fragments on which they reside.
  • some of the carbon provided for in the silicon carbide film may be provided by one or more hydrocarbon moieties on the silicon-containing precursor.
  • Such moieties may be from alkyl groups, alkene groups, alkyne groups, aryl groups, and the like.
  • the hydrocarbon group has a single carbon atom to minimize steric hindrance of the Si—H and/or Si—Si bond breaking reaction during deposition.
  • the precursors are not limited to single-carbon groups; higher numbers of carbon atoms may be used such as 2, 3, 4, 5, or 6 carbon atoms.
  • the hydrocarbon group is linear.
  • the hydrocarbon group is cyclic.
  • some of the carbon provided for in the silicon carbide film may be provided by one or more hydrocarbon molecules in a carbon-containing precursor.
  • Such hydrocarbon molecules may include carbon-to-carbon chains, where a number of carbon atoms may be used such as 2, 3, 4, 5, 6, or 7 carbon atoms.
  • the hydrocarbon molecules include one or more carbon double bonds and/or carbon triple bonds.
  • the silicon-containing precursor falls into a chemical class. It will be understood that other chemical classes of silicon-containing precursors may be employed and that the silicon-containing precursors are not limited to the chemical classes discussed below.
  • the silicon-containing precursor can be a siloxane.
  • the siloxane may be cyclic.
  • Cyclic siloxanes may include cyclotetrasiloxanes, such as 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), and heptamethylcyclotetrasiloxane (HMCTS).
  • Other cyclic siloxanes can also include but are not limited to cyclotrisiloxanes and cyclopentasiloxanes.
  • Embodiments using cyclic siloxanes are ring structures that can introduce porosity into a silicon carbide film, with the size of the pores corresponding to the radius of the ring.
  • a cyclotetrasiloxane ring can have a radius of about 6.7 ⁇ .
  • the siloxane may have a three-dimensional or caged structure.
  • FIG. 2 illustrates examples of representative caged siloxane precursors.
  • Caged siloxanes have silicon atoms bridged to one another via oxygen atoms to form a polyhedron or any 3-D structure.
  • An example of a caged siloxane precursor molecule is silsesquioxane.
  • Caged siloxane structures are described in further detail in commonly owned U.S. Pat. No. 6,576,345 to Cleemput et al., which is incorporated by reference herein in its entirety and for all purposes.
  • the caged siloxane can introduce porosity into a silicon carbide film.
  • the porosity scale is mesoporous.
  • the siloxane may be linear.
  • suitable linear siloxanes include but are not limited to disiloxanes, such as pentamethyldisiloxane (PMDSO) and tetramethyldisiloxane (TMDSO), and trisiloxanes such as hexamethyltrisiloxane, heptamethyltrisiloxane.
  • the silicon-containing precursor can be an alkyl silane or other hydrocarbon-substituted silane.
  • the alkyl silanes include a central silicon atom with one or more alkyl groups bonded to it as well as one or more hydrogen atoms bonded to it. In certain embodiments, any one or more of the alkyl groups contain 1-5 carbon atoms.
  • the hydrocarbon groups may be saturated or unsaturated (e.g., alkene (e.g., vinyl), alkyne, and aromatic groups).
  • Examples include but are not limited to trimethylsilane (3MS), triethylsilane, pentamethyl disilamethane ((CH 3 ) 2 Si—CH 2 —Si(CH 3 ) 3 ), and dimethylsilane (2MS).
  • the silicon-containing precursor can be an alkoxy silane. However, in some embodiments, it may be understood that the silicon-containing precursor is not an alkoxy silane to avoid the presence of alkoxy groups.
  • Alkoxy silanes include a central silicon atom with one or more alkoxy groups bonded it and one or more hydrogen atoms bonded to it. Examples include but are not limited to trimethoxysilane (TMOS), dimethoxysilane (DMOS), methoxysilane (MOS), methyldimethoxysilane (MDMOS), diethyoxymethylsilane (DEMS), dimethylethoxysilane (DMES), and dimethylmethoxysilane (DMMOS).
  • TMOS trimethoxysilane
  • DMOS dimethoxysilane
  • MOS methoxysilane
  • MDMOS methyldimethoxysilane
  • DEMS diethyoxymethylsilane
  • DMES dimethylethoxysilane
  • Disilanes, trisilanes, or other higher silanes may be used in place of monosilanes.
  • An example of one such disilane from the alkyl silane class is hexamethyldisilane (HMDS).
  • Another example of a disilane from the alkyl silane class can include pentamethyldisilane (PMDS).
  • Other types of alkyl silanes can include alkylcarbosilanes, which can have a branched polymeric structure with a carbon bonded to a silicon atom as well as alkyl groups bonded to a silicon atom. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE).
  • DTMSM dimethyl trimethylsilyl methane
  • BDMSE bis-dimethylsilyl ethane
  • one of the silicon atoms can have a carbon-containing or hydrocarbon-containing group attached to it, and one of the silicon
  • the silicon-containing precursor can be a nitrogen-containing compound such as a silicon-nitrogen hydride (e.g., a silazane).
  • a silicon-nitrogen hydride e.g., a silazane
  • such compounds contain carbon, but only bonded to silicon atoms, and not to nitrogen atoms.
  • the nitrogen-containing compound does not have any carbon-nitrogen bonds.
  • the nitrogen-containing compound does not have any amine moieties (—C—NR 1 R 2 ), where R 1 and R 2 are the same or different groups such hydrogen atoms and hydrocarbon groups such as alkyl groups, alkene groups, or alkyne groups.
  • Suitable silicon-nitrogen precursors include various silazanes such as cyclic and linear silazanes containing one or more hydrocarbon moieties bonded to one or more silicon atoms and one or more hydrogen atoms bonded to one or more silicon atoms.
  • silazanes include methyl-substituted disilazanes and trisilazanes, such as tetramethyldisilazane and hexamethyl trisilazane.
  • multiple silicon-containing precursors can be present in the process gas.
  • a siloxane and an alkyl silane may be used together, or a siloxane and an alkoxy silane may be used together.
  • the relative proportions of the individual precursors can be chosen based on the chemical structures of precursors chosen and the application of the resulting silicon carbide film.
  • the amount of siloxane can be greater than the amount of silane in molar percentages to produce a porous film as discussed in more detail below.
  • suitable precursors can include cyclic siloxanes such as cyclotetrasiloxanes such as heptamethylcyclotetrasiloxane (HMCTS) and tetramethylcyclotetrasiloxane.
  • cyclic siloxanes can also include but are not limited to cyclotrisiloxanes and cyclopentasiloxanes.
  • suitable precursors include linear siloxanes such as, but not limited to, disiloxanes, such as pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), hexamethyl trisiloxane, and heptamethyl trisiloxane.
  • PMDSO pentamethyldisiloxane
  • TMDSO tetramethyldisiloxane
  • hexamethyl trisiloxane hexamethyl trisiloxane
  • heptamethyl trisiloxane heptamethyl trisiloxane
  • suitable precursors can include monosilanes substituted with one or more alkyl, alkene, and/or alkyne groups containing, e.g., 1-5 carbon atoms. Examples include but are not limited to trimethylsilane (3MS), dimethylsilane (2MS), triethylsilane (TES), and pentamethyldisilamethane. Additionally, disilanes, trisilanes, or other higher silanes may be used in place of monosilanes. Examples of disilanes can include hexamethyldisilane (HMDS) and pentamethyldisilane (PMDS). Other types of alkyl silanes can include alkylcarbosilanes. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE).
  • DTMSM dimethyl trimethylsilyl methane
  • BDMSE bis-dimethylsilyl ethane
  • suitable precursors can include silazanes, e.g., alkyldisilazanes and possibly compounds including amino (—NH2) and alkyl groups separately bonded to one or more silicon atoms.
  • Alkyldisilazanes include silizanes and alkyl groups bonded to two silicon atoms.
  • An example includes 1,1,3,3-tetramethyldisilazane (TMDSN).
  • silicon-containing precursors are chosen to provide highly conformal silicon carbide films. It is believed that silicon-containing precursors having low sticking coefficients are capable of producing highly conformal films.
  • “Sticking coefficient” is a term used to describe the ratio of the number of adsorbate species (e.g., fragments or molecules) that adsorb/stick to a surface compared to the total number of species that impinge upon that surface during the same period of time.
  • S c is sometimes used to refer to the sticking coefficient. The value of S c is between 0 (meaning that none of the species stick) and 1 (meaning that all of the impinging species stick).
  • the sticking coefficient including the type of impinging species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the impinging species. Certain species are inherently more “sticky” than others, making them more likely to adsorb onto a surface each time the specie impinges on the surface. These more sticky species have greater sticking coefficients (all other factors being equal), and are more likely to adsorb near the entrance of a recessed feature compared to less sticky species having lower sticking coefficients. In some cases, the sticking coefficient of the precursors (at the relevant deposition conditions) may be about 0.05 or less, for example about 0.001 or less.
  • a suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present disclosure.
  • the apparatus for performing the aforementioned process operations can include a remote plasma source.
  • a remote plasma source provides mild reaction conditions in comparison to a direct plasma.
  • An example of a suitable remote plasma apparatus is described in U.S. patent application Ser. No. 14/062,648, filed Oct. 24, 2013, which is incorporated herein by reference in its entirety and for all purposes.
  • FIG. 3 presents a schematic diagram of a remote plasma apparatus according to certain embodiments.
  • the device 300 includes a reaction chamber 310 with a showerhead 320 . Inside the reaction chamber 310 , a substrate 330 rests on a stage or pedestal 335 . In some embodiments, the pedestal 335 can be fitted with a heating/cooling element.
  • a controller 340 may be connected to the components of the device 300 to control the operation of the device 300 .
  • the controller 340 may contain instructions for controlling process conditions for the operations of the device 300 , such as the temperature process conditions and/or the pressure process conditions.
  • the controller 340 may contain instructions for controlling the flow rates of precursor gas, co-reactant gas, source gas, and carrier gas.
  • the controller 340 may contain instructions for changing the flow rate of the co-reactant gas over time.
  • the controller 340 may contain instructions for changing the flow rate of the precursor gas over time. A more detailed description of the controller 340 is provided below.
  • gases or gas mixtures are introduced into the reaction chamber 310 via one or more gas inlets coupled to the reaction chamber 310 .
  • two or more gas inlets are coupled to the reaction chamber 310 .
  • a first gas inlet 355 can be coupled to the reaction chamber 310 and connected to a vessel 350
  • a second gas inlet 365 can be coupled to the reaction chamber 310 and connected to a remote plasma source 360 .
  • the delivery lines for the precursors and the radical species generated in the remote plasma source are separated. Hence, the precursors and the radical species do not substantially interact before reaching the substrate 330 .
  • the gas lines may be reversed so that the vessel 350 may provide precursor gas flow through the second gas inlet 365 and the remote plasma source 360 may provide ions and radicals through the first gas inlet 355 .
  • One or more radical species may be generated in the remote plasma source 360 and configured to enter the reaction chamber 310 via the second gas inlet 365 .
  • Any type of plasma source may be used in remote plasma source 360 to create the radical species. This includes, but is not limited to, capacitively coupled plasmas, inductively coupled plasmas, microwave plasmas, DC plasmas, and laser-created plasmas.
  • An example of a capacitively coupled plasma can be a radio frequency (RF) plasma.
  • RF radio frequency
  • a high-frequency plasma can be configured to operate at 13.56 MHz or higher.
  • An example of such a remote plasma source 360 can be the GAMMA®, manufactured by Lam Research Corporation of Fremont, Calif.
  • a RF remote plasma source 360 can be the Astron®, manufactured by MKS Instruments of Wilmington, Mass., which can be operated at 440 kHz and can be provided as a subunit bolted onto a larger apparatus for processing one or more substrates in parallel.
  • a microwave plasma can be used as the remote plasma source 360 , such as the Astex®, also manufactured by MKS Instruments.
  • a microwave plasma can be configured to operate at a frequency of 2.45 GHz.
  • Gas provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases as mentioned elsewhere herein.
  • hydrogen is provided in a carrier such helium.
  • hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen.
  • the precursors can be provided in vessel 350 and can be supplied to the showerhead 320 via the first gas inlet 355 .
  • the showerhead 320 distributes the precursors into the reaction chamber 310 toward the substrate 330 .
  • the substrate 330 can be located beneath the showerhead 320 . It will be appreciated that the showerhead 320 can have any suitable shape, and may have any number and arrangement of ports for distributing gases to the substrate 330 .
  • the precursors can be supplied to the showerhead 320 and ultimately to the substrate 330 at a controlled flow rate.
  • the one or more radical species formed in the remote plasma source 360 can be carried in the gas phase toward the substrate 330 .
  • the one or more radical species can flow through a second gas inlet 365 into the reaction chamber 310 .
  • the second gas inlet 365 need not be transverse to the surface of the substrate 330 as illustrated in FIG. 3 .
  • the second gas inlet 365 can be directly above the substrate 330 or in other locations.
  • the distance between the remote plasma source 360 and the reaction chamber 310 can be configured to provide mild reactive conditions such that the ionized species generated in the remote plasma source 360 are substantially neutralized, but at least some radical species in substantially low energy states remain in the environment adjacent to the substrate 330 .
  • the distance between the remote plasma source 360 and the reaction chamber 310 can be a function of the aggressiveness of the plasma (e.g., determined in part by the source RF power level), the density of gas in the plasma (e.g., if there's a high concentration of hydrogen atoms, a significant fraction of them may recombine to form H 2 before reaching the reaction chamber 310 ), and other factors.
  • the distance between the remote plasma source 360 and the reaction chamber 310 can be between about 1 cm and 30 cm, such as about 5 cm or about 15 cm.
  • a co-reactant which is not the primary silicon-containing precursor or a hydrogen radical, is introduced during the deposition reaction.
  • the apparatus is configured to introduce the co-reactant through the second gas inlet 365 , in which case the co-reactant is at least partially converted to plasma.
  • the apparatus is configured to introduce the co-reactant through the showerhead 320 via the first gas inlet 355 .
  • the co-reactant include oxygen, nitrogen, ammonia, carbon dioxide, carbon monoxide, and the like.
  • the flow rate of the co-reactant can vary over time to produce a composition gradient in a graded film.
  • FIG. 4 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some other implementations.
  • the plasma processing apparatus 400 includes the remote plasma source 402 separated from a reaction chamber 404 .
  • the remote plasma source 402 is fluidly coupled with the reaction chamber 404 via a multiport gas distributor 406 , which may also be referred to as a showerhead. Radical species are generated in the remote plasma source 402 and supplied to the reaction chamber 404 .
  • One or more silicon-containing precursors are supplied to the reaction chamber 404 downstream from the remote plasma source 402 and from the multiport gas distributor 406 .
  • the one or more silicon-containing precursors react with the radical species in a chemical vapor deposition zone 408 of the reaction chamber 404 to deposit a silicon carbide film on a surface of a substrate 412 .
  • the chemical vapor deposition zone 408 includes an environment adjacent to the surface of the substrate 412 .
  • the substrate 412 is supported on a substrate support or pedestal 414 .
  • the pedestal 414 may move within the reaction chamber 404 to position the substrate 412 within the chemical vapor deposition zone 408 .
  • pedestal 414 is shown having elevated the substrate 410 within the chemical vapor deposition zone 408 .
  • the pedestal 414 may also adjust the temperature of the substrate 412 in some embodiments, which can provide some selective control over thermally activated surface reactions on the substrate 412 .
  • FIG. 4 shows a coil 418 arranged around the remote plasma source 402 , where the remote plasma source 402 includes an outer wall (e.g., quartz dome).
  • the coil 418 is electrically coupled to a plasma generator controller 422 , which may be used to form and sustain plasma within a plasma region 424 via inductively coupled plasma generation.
  • the plasma generator controller 422 may include a power supply for supplying power to the coil 418 , where the power can be in a range between about 1 and 6 kilowatts (kW) during plasma generation.
  • electrodes or antenna for parallel plate or capacitively coupled plasma generation may be used to generate a continuous supply of radicals via plasma excitation rather than inductively coupled plasma generation.
  • radical species may continuously be generated using plasma excitation during film deposition.
  • hydrogen radicals are generated under approximately steady-state conditions during steady-state film deposition, though transients may occur at the beginning and end of film deposition.
  • a supply of hydrogen radicals may be continuously generated within the plasma region 424 while hydrogen gas or other source gas is being supplied to the remote plasma source 402 .
  • Excited hydrogen radicals may be generated in the remote plasma source 402 . If not re-excited or re-supplied with energy, or re-combined with other radicals, the excited hydrogen radicals lose their energy, or relax. Thus, excited hydrogen radicals may relax to form hydrogen radicals in a substantially low energy state or ground state.
  • the hydrogen gas or other source gas may be diluted with one or more additional gases. These one or more additional gases may be supplied to the remote plasma source 402 .
  • the hydrogen gas or other source gas is mixed with one or more additional gases to form a gas mixture, where the one or more additional gases can include a carrier gas.
  • additional gases can include helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), and nitrogen (N 2 ).
  • the one or more additional gases may support or stabilize steady-state plasma conditions within the remote plasma source 402 or aid in transient plasma ignition or extinction processes.
  • diluting hydrogen gas or other source gas with helium may permit higher total pressures without concomitant plasma breakdown.
  • a dilute gas mixture of hydrogen gas and helium may permit higher total gas pressure without increasing plasma power to the remote plasma source 402 .
  • a source gas supply 426 is fluidly coupled with the remote plasma source 402 for supplying the hydrogen gas or source gas.
  • an additional gas supply 428 is fluidly coupled with the remote plasma source 402 for supplying the one or more additional gases.
  • the one or more additional gases may also include a co-reactant gas as described above. While the embodiment in FIG.
  • gas mixture of the source gas and the one or more additional gases being introduced through separate gas outlets it will be understood that the gas mixture may be introduced directly into the remote plasma source 402 . That is, a pre-mixed dilute gas mixture may be supplied to the remote plasma source 402 through a single gas outlet.
  • Gases such as excited hydrogen and helium radicals and relaxed gases/radicals, flow out of the remote plasma source 402 and into the reaction chamber 404 via multiport gas distributor 406 .
  • Gases within the multiport gas distributor 406 and within the reaction chamber 404 are generally not subject to continued plasma excitation therein.
  • the multiport gas distributor 406 includes an ion filter and/or a photon filter. Filtering ions and/or photons may reduce substrate damage, undesirable re-excitation of molecules, and/or selective breakdown or decomposition of silicon-containing precursors within the reaction chamber 404 .
  • Multiport gas distributor 406 may have a plurality of gas ports 434 to diffuse the flow of gases into the reaction chamber 404 .
  • the plurality of gas ports 434 may be mutually spaced apart. In some implementations, the plurality of gas ports 434 may be arranged as an array of regularly spaced apart channels or through-holes extending through a plate separating the remote plasma source 402 and the reaction chamber 404 . The plurality of gas ports 434 may smoothly disperse and diffuse exiting radicals from the remote plasma source 402 into the reaction chamber 404 .
  • Typical remote plasma sources are far removed from reaction vessels. Consequently, radical extinction and recombination, e.g., via wall collision events, may reduce active species substantially.
  • dimensions for the plurality of gas ports 434 may be configured in view of the mean free path or gas flow residence time under typical processing conditions to aid the free passage of radicals into the reaction chamber 404 .
  • openings for the plurality of gas ports 434 may occupy between about 5% and about 20% of an exposed surface area of the multiport gas distributor 406 .
  • the plurality of gas ports 434 may each have an axial length to diameter ratio of between about 3:1 and 10:1 or between about 6:1 and about 8:1.
  • Such aspect ratios may reduce wall-collision frequency for radical species passing through the plurality of gas ports 434 while providing sufficient time for a majority of excited state radical species to relax to ground state radical species.
  • dimensions of the plurality of gas ports 434 may be configured so that the residence time of gases passing through the multiport gas distributor 406 is greater than the typical energetic relaxation time of an excited state radical species.
  • Excited state radical species for hydrogen source gas may be denoted by .H* in FIG. 4 and ground state radical species for hydrogen source gas may be denoted by .H in FIG. 4 .
  • excited state radical species exiting the plurality of gas ports 434 may flow into a relaxation zone 438 contained within an interior of the reaction chamber 404 .
  • the relaxation zone 438 is positioned upstream of the chemical vapor deposition zone 408 but downstream of the multiport gas distributor 406 .
  • Substantially all or at least 90% of the excited state radical species exiting the multiport gas distributor 406 will transition into relaxed state radical species in the relaxation zone 438 .
  • almost all of the excited state radical species (e.g., excited hydrogen radicals) entering the relaxation zone 438 become de-excited or transition into a relaxed state radical species (e.g., ground state hydrogen radicals) before exiting the relaxation zone 438 .
  • a relaxed state radical species e.g., ground state hydrogen radicals
  • process conditions or a geometry of the relaxation zone 438 may be configured so that the residence time of radical species flowing through the relaxation zone 438 , e.g., a time determined by mean free path and mean molecular velocity, results in relaxed state radical species flowing out of the relaxation zone 438 .
  • one or more silicon-containing precursors and/or one or more co-reactants may be introduced into the chemical vapor deposition zone 408 .
  • the one or more silicon-containing precursors may be introduced via a gas distributor or gas outlet 442 , where the gas outlet 442 may be fluidly coupled with a precursor supply source 440 .
  • the relaxation zone 438 may be contained within a space between the multiport gas distributor 406 and the gas outlet 442 .
  • the gas outlet 442 may include mutually spaced apart openings so that the flow of the one or more silicon-containing precursors may be introduced in a direction parallel with gas mixture flowing from the relaxation zone 438 .
  • the gas outlet 442 may be located downstream from the multiport gas distributor 406 and the relaxation zone 438 .
  • the gas outlet 442 may be located upstream from the chemical vapor deposition zone 408 and the substrate 412 .
  • the chemical vapor deposition zone 408 is located within the interior of the reaction chamber 404 and between the gas outlet 442 and the substrate 412 .
  • Substantially all of the flow of the one or more silicon-containing precursors may be prevented from mixing with excited state radical species adjacent to the multiport gas distributor 406 .
  • Relaxed or ground state radical species mix in a region adjacent to the substrate 412 with the one or more silicon-containing precursors.
  • the chemical vapor deposition zone 408 includes the region adjacent to the substrate 412 where the relaxed or ground state radical species mix with the one or more silicon-containing precursors.
  • the relaxed or ground state radical species mix with the one or more silicon-containing precursors in the gas phase during CVD formation of a silicon carbide film.
  • a co-reactant may be introduced from the gas outlet 442 and flowed along with the one or more silicon-containing precursors.
  • the co-reactant may include a depositing additive or a non-depositing additive as described below.
  • the co-reactant may be introduced downstream from the remote plasma source 402 .
  • the co-reactant may be supplied from the precursor supply source 440 or other source (not shown) fluidly coupled to the gas outlet 442 .
  • the co-reactant may be a carbon-containing precursor or a second silicon-containing precursor without Si—H or Si—Si bonds as described below.
  • a co-reactant may be introduced from the multiport gas distributor 406 and flowed along with the radical species generated in the remote plasma source 402 and into the reaction chamber 404 .
  • This may include radicals and/or ions of a co-reactant gas provided in the remote plasma source 402 .
  • the co-reactant may be supplied from the additional gas supply 428 .
  • the gas outlet 442 may be separated from the multiport gas distributor 406 by a sufficient distance to prevent back diffusion or back streaming of the one or more silicon-containing precursors. In some implementations, the gas outlet 442 may be separated from the plurality of gas ports 434 by a distance between about 0.5 inches and about 5 inches, or between about 1.5 inches and about 4.5 inches, or between about 1.5 inches and about 3 inches.
  • a system controller 450 is in operative communication with the plasma processing apparatus 400 .
  • the system controller 450 includes a processor system 452 (e.g., microprocessor) configured to execute instructions held in a data system 454 (e.g., memory).
  • the system controller 450 may be in communication with the plasma generator controller 422 to control plasma parameters and/or conditions.
  • the system controller 450 may be in communication with the pedestal 414 to control pedestal elevation and temperature. In some implementations, the system controller 450 may control other processing conditions, such as RF power settings, frequency settings, duty cycles, pulse times, pressure within the reaction chamber 404 , pressure within the remote plasma source 402 , gas flow rates from the source gas supply 426 and the additional gas supply 428 , gas flow rates from the precursor supply source 440 and other sources, temperature of the pedestal 414 , and temperature of the reaction chamber 404 , among others.
  • other processing conditions such as RF power settings, frequency settings, duty cycles, pulse times, pressure within the reaction chamber 404 , pressure within the remote plasma source 402 , gas flow rates from the source gas supply 426 and the additional gas supply 428 , gas flow rates from the precursor supply source 440 and other sources, temperature of the pedestal 414 , and temperature of the reaction chamber 404 , among others.
  • the controller 450 may contain instructions for controlling process conditions for the operation of the plasma processing apparatus 400 .
  • the controller 450 will typically include one or more memory devices and one or more processors.
  • the processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller 450 or they may be provided over a network.
  • the controller 450 controls all or most activities of the plasma processing apparatus 400 described herein.
  • the controller 450 may control all or most activities of the plasma processing apparatus 400 associated with depositing a silicon carbide film and, optionally, other operations in a fabrication flow that includes the silicon carbide film.
  • the controller 450 may execute system control software including sets of instructions for controlling the timing, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power levels, substrate position, and/or other parameters.
  • Other computer programs, scripts, or routines stored on memory devices associated with the controller 450 may be employed in some embodiments.
  • controller 450 may adjust the substrate position to further reduce the presence of high-energy radical species at the environment adjacent to the substrate 412 .
  • the controller 450 may comprise different or identical instructions for different apparatus stations, thus allowing the apparatus stations to operate either independently or synchronously.
  • the controller 450 may include instructions for performing operations such as flowing one or more silicon-containing precursors through the gas outlet 442 into the reaction chamber 404 , providing a source gas into the remote plasma source 402 , generating one or more radical species of the source gas in the remote plasma source 402 , introducing the one or more radical species in a substantially low energy state from the remote plasma source 402 into the reaction chamber 404 to react with the one or more silicon-containing precursors to deposit a silicon carbide film on the substrate 412 .
  • the one or more radical species in the reaction chamber 404 in an environment adjacent to the substrate 412 may be hydrogen radicals in a ground state.
  • the controller 450 may include instructions for flowing a co-reactant with the one or more silicon-containing precursors into the reaction chamber 404 .
  • the co-reactant may include a non-depositing additive or a depositing additive.
  • the apparatus 400 may include a user interface associated with controller 450 .
  • the user interface may include a display screen, graphical software displays of the apparatus 400 and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • the computer program code for controlling the above operations can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller.
  • the signals for controlling the process are output on the analog and digital output connections of the processing system.
  • the methods described herein can be performed on systems including semiconductor processing equipment such as a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. In general, the electronics are referred to as the controller, which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • pressure settings e.g., vacuum settings
  • power settings e.g., RF generator settings
  • RF matching circuit settings e.g., frequency settings, flow rate settings, fluid delivery settings, positional and operation settings
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials (e.g., silicon carbide), surfaces, circuits, and/or dies of a wafer.
  • the controller may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g. a server
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • the apparatus/process described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • a tool such as an RF or microwave plasma resist stripper.
  • ALD techniques are generally employed to achieve high step coverage, where step coverage can be equal to or greater than 90%, equal to or greater than 95%, equal to or greater than 99%, or even 100%.
  • deposition of silicon carbide films using ALD presents many challenges including thermodynamic challenges that can make ALD of silicon carbide films difficult to achieve.
  • the deposition rate of ALD is slower compared to typical CVD techniques and may not be desirable in manufacturing processes.
  • the present disclosure relates to deposition of silicon carbide film using remote plasma CVD. Doped or undoped silicon carbide films may be deposited using a remote plasma CVD technique that achieves step coverage comparable to ALD techniques.
  • the deposition reaction for depositing silicon carbide films may include a co-reactant in addition to the silicon-containing precursor and the radical species. Introduction of the co-reactant may serve to increase step coverage of the silicon carbide film.
  • the co-reactant may be flowed into a reaction chamber along with the silicon-containing precursor, where the co-reactant may be flowed downstream from a remote plasma source.
  • a gas outlet for introducing the silicon-containing precursor and the co-reactant may be positioned downstream from the remote plasma source.
  • the remote plasma source is considered upstream from the substrate and the environment adjacent to the substrate.
  • the gas outlet for introducing the silicon-containing precursor and the co-reactant may be positioned downstream from the remote plasma source and upstream from the substrate and the environment adjacent to the substrate.
  • the co-reactant may be a depositing additive or a non-depositing additive.
  • a non-depositing additive is an additive to the deposition reaction that would not otherwise deposit on its own without the presence of a silicon-containing precursor participating in the remote plasma CVD reaction.
  • a depositing additive is an additive to the deposition reaction that would deposit on its own even without the presence of a silicon-containing precursor participating in the remote plasma CVD reaction.
  • the non-depositing additive or the depositing additive may be introduced as a second precursor in addition to the silicon-containing precursor.
  • the second precursor has a chemistry that serves to improve the step coverage of the silicon carbide film.
  • Step coverage of the deposited silicon carbide film may be measured with respect to one or more features of the substrate.
  • “Features” as used herein may refer to a non-planar structure on the substrate, typically a surface being modified in a semiconductor device fabrication operation. Examples of features include trenches, vias, pads, pillars, domes, and the like.
  • a feature typically has an aspect ratio (depth or height to width).
  • the step coverage of the silicon carbide film is at least 90%, at least 95%, or at least 99%.
  • the non-depositing additive is a hydrocarbon molecule.
  • the hydrocarbon molecule may be a small-chain hydrocarbon molecule with at least one double bond or at least one triple bond.
  • the hydrocarbon molecule includes a carbon chain between 3 carbon atoms and 7 carbon atoms.
  • the hydrocarbon molecule may include one or more unsaturated carbon bonds, such as one or more carbon-to-carbon double bonds or triple bonds.
  • the hydrocarbon molecule may include an alkene or alkyne group.
  • suitable hydrocarbon molecules include propylene, ethylene, butene, pentene, butadiene, pentadiene (e.g., 1,4 pentadiene), hexadiene, hexadiene, heptadiene, toluene, and benzene. Additional examples of suitable hydrocarbon molecules include acetylene, propyne, butyne, pentyne (e.g., 1-pentyne), and hexyne (e.g., 2-hexyne).
  • the non-depositing additive may also be referred to as a carbon-containing precursor or non-depositing carbon-containing precursor.
  • the non-depositing additive is flowed along with one or more silicon-containing precursors as described above.
  • Each of the silicon-containing precursors may include one or more Si—H bonds and/or Si—Si bonds.
  • each of the silicon-containing precursors may include or more Si—C bonds, Si—N, and/or Si—O bonds, no C—O bonds, and no C—N bonds.
  • Examples of silicon-containing precursors may include but are not limited to alkylcarbosilanes, siloxanes, or a silazanes.
  • the non-depositing additive may be introduced into the reaction chamber downstream from one or more radical species.
  • the radical species may be generated in a remote plasma source upstream from the gas outlet for introducing the non-depositing additive.
  • the radical species may include hydrogen radicals, where the hydrogen radicals are in a substantially low energy state or ground state upon mixing or interacting with the non-depositing additive.
  • hydrogen radicals in a substantially low energy state or ground state may interact with the non-depositing additive. It is believed that the hydrogen radicals in the substantially low energy state or ground state may interact with the non-depositing additive to form species that are unable to deposit at reasonable temperatures, such as greater than about 50° C. or greater than about 25° C.
  • the hydrogen radicals may interact with alkyne or alkene groups in a hydrocarbon molecule of the non-depositing additive to form activated alkanes (e.g., methane).
  • the hydrocarbon molecule breaks down into smaller-chain hydrocarbon molecules or radicals. Such species may be too lightweight and may have too low of a sticking coefficient to deposit on its own.
  • the non-depositing additive may serve as a passive spectator in the deposition reaction without significantly contributing to the composition of the silicon carbide film.
  • the activated alkanes may interact with the silicon-containing precursor to form a new species that has a lower sticking coefficient than the original silicon-containing precursor.
  • the hydrocarbon molecule and byproducts of any reaction with hydrogen radicals in the substantially low energy state or ground state do not get incorporated in the silicon carbide film in a substantial amount.
  • a “substantial amount” with respect to incorporation of an additive in the silicon carbide film may refer to a change in atomic concentration of carbon or silicon by an amount equal to or greater than about 5% compared to deposition of the silicon carbide film without the additive.
  • a percentage of C—C bonds in the silicon carbide film is equal to or less than about 2%, equal to or less than about 1%, equal to or less than about 0.5%, or even 0%.
  • a flow rate of the non-depositing species can be controlled.
  • the flow rate of the non-depositing species can affect deposition conditions such as deposition rate and step coverage of the silicon carbide film.
  • the flow rate of the non-depositing species is between about 1 sccm and about 50 sccm, or between about 5 sccm and about 25 sccm.
  • FIG. 5A shows a TEM image of a silicon carbide thin film deposited on substrate features without using a carbon-containing non-depositing additive.
  • the silicon carbide film is deposited with a first precursor including a silicon-containing species but without a second precursor including a carbon-containing species.
  • FIG. 5B shows a TEM image of a silicon carbide thin film deposited on substrate features using a carbon-containing non-depositing additive.
  • the silicon carbide film is deposited with a first precursor including a silicon-containing species and a second precursor including a carbon-containing species.
  • Table 1 summarizes differences in deposition of the silicon carbide film between FIG. 5A and FIG. 5B with respect to step coverage.
  • Introduction of a second precursor, particularly a carbon-containing precursor such as propylene significantly improves the step coverage of the silicon carbide film.
  • the step coverage of the silicon carbide film is at least 95% or at least 99%.
  • a film composition of the silicon carbide film is not significantly altered with introduction of the carbon-containing precursor. Film quality and film density are also substantially preserved with the introduction of the carbon-containing precursor.
  • the film density can be equal to or greater than about 2.0 g/cm 3 .
  • Precursors silicon-containing precursor and Precursors: carbon-containing silicon-containing precursor precursor (e.g., propylene) Step Coverage 88.0% 100.0%
  • the depositing additive is a second silicon-containing precursor that is flowed along with a first silicon-containing precursor.
  • the first silicon-containing precursor has one or more Si—H bonds and/or Si—Si bonds.
  • the second silicon-containing precursor has no Si—H bonds and no Si—Si bonds.
  • the second silicon-containing precursor has one or more Si—C bonds.
  • the second silicon-containing precursor is an organosilicon precursor that includes an alkyl silane.
  • organosilicon precursors that include an alkyl silane without any Si—H bonds or Si—Si bonds include but are not limited to tetramethylsilane (4MS), tetraethylsilane, tetrapropylsilane, and hexamethyldisilylpentane.
  • the second silicon-containing precursor is an organosilicon precursor that includes a silazane.
  • An example of an organosilicon precursor that includes a silazane without any Si—H bonds or Si—Si bonds includes but is not limited to hexamethyldisilazane.
  • the second silicon-containing precursor is an organosilicon precursor that includes an alkyl silyl group.
  • An example of an organosilicon precursor that includes an alkyl silyl group without any Si—H bonds or Si—Si bonds includes but is not limited to bistrimethylsilyl methane.
  • the depositing additive is flowed along with one or more first silicon-containing precursors as described above.
  • Each of the first silicon-containing precursors may include one or more Si—H bonds and/or Si—Si bonds.
  • each of the first silicon-containing precursors may include or more Si—C bonds, Si—N, and/or Si—O bonds, no C—O bonds, and no C—N bonds.
  • Examples of first silicon-containing precursors may include but are not limited to alkylcarbosilanes, siloxanes, or a silazanes.
  • the depositing additive may be introduced into the reaction chamber downstream from one or more radical species.
  • the radical species may be generated in a remote plasma source upstream from the gas outlet for introducing the depositing additive.
  • the radical species may include hydrogen radicals, where the hydrogen radicals are in a substantially low energy state or ground state upon mixing or interacting with the depositing additive (the second silicon-containing precursor).
  • one of the more kinetically favorable reaction mechanisms in the deposition reaction includes hydrogen abstraction, which involves selective breaking of Si—H bonds in the first silicon-containing precursor.
  • One of the less kinetically favorable reaction mechanisms in the deposition reaction includes hydrogen substitution, which involves substitution of alkyl groups in the second silicon-containing precursor with hydrogen. The substitution results in hydrocarbon radicals that may react with activated species of the first silicon-containing precursor. By increasing a partial pressure of the second silicon-containing precursor, a reaction equilibrium is increased towards products of the hydrogen substitution reaction mechanism. It is believed that the hydrogen radicals in the substantially low energy state or ground state may interact with the depositing additive to form species that are able to deposit regardless of temperature, even for temperatures greater than about 50° C. or greater than about 25° C.
  • Interactions with the hydrogen radicals may result in activated first silicon-containing precursors and/or activated second silicon-containing precursors (i.e., activated additive silicon-containing precursors) that can react with each other.
  • activated additive silicon-containing precursors i.e., activated additive silicon-containing precursors
  • one potential mechanism involves the first silicon-containing precursor being activated by hydrogen radicals, and the activated first silicon-containing precursor forming a silicon-based radical that can react with the second silicon-containing precursor to form a new depositing species and hydrocarbon radical.
  • This new species will have a lower sticking coefficient than the original silicon-containing precursor.
  • the depositing additive does not serve as a passive spectator, but can significantly contribute to the composition of the silicon carbide film.
  • the depositing additive and byproducts of any reaction with the hydrogen radicals in the substantially low energy state or ground state may get incorporated in the silicon carbide film in a substantial amount.
  • a flow rate of the depositing species can be controlled.
  • the flow rate of the depositing species can affect deposition conditions such as deposition rate and step coverage of the silicon carbide film.
  • the flow rate of the depositing species is between about 25 sccm and about 200 sccm, or between about 50 sccm and about 100 sccm.
  • the flow rate of the depositing species can be at least two times greater than a flow rate of the original silicon-containing precursor.
  • FIG. 6A shows a TEM image a silicon carbide thin film deposited on substrate features without using a silicon-containing depositing additive.
  • the silicon carbide film is deposited with a first precursor including a silicon-containing species having one or more Si—H and/or Si—Si bonds and without a second precursor including a silicon-containing species having no Si—H or Si—Si bonds.
  • FIG. 6B shows a TEM image of a silicon carbide thin film deposited on substrate features using a silicon-containing depositing additive.
  • the silicon carbide film is deposited with a first precursor including a silicon-containing species having one or more Si—H and/or Si—Si bonds and with a second precursor including a silicon-containing species having no Si—H or Si—Si bonds.
  • Table 2 summarizes differences in deposition of the silicon carbide film between FIG. 6A and FIG. 6B with respect to step coverage.
  • Introduction of a second precursor particularly an organosilicon precursor with no Si—H or Si—Si bonds such as tetramethylsilane, significantly improves the step coverage of the silicon carbide film.
  • the step coverage of the silicon carbide film is at least 95% or at least 99%.
  • a film composition of the silicon carbide film is slightly altered but not significantly altered with introduction of the second silicon-containing precursor. Film quality and film density are also substantially preserved with the introduction of the carbon-containing precursor.
  • the film density can be equal to or greater than about 2.0 g/cm 3 .
  • first silicon-containing Precursors precursor and second first silicon- silicon-containing containing precursor precursor (e.g., tetramethylsilane) Step Coverage 85.8% 99.2%
  • the deposited film will include silicon, carbon, and in some cases oxygen, nitrogen, and/or one or more other elements.
  • the atomic concentration of silicon is between about 15% and 45% (or about 25% to 40%)
  • the atomic concentration of carbon is between about 10% and 50%
  • the atomic concentration of oxygen is between about 0% and 45%
  • the atomic concentration of nitrogen is between about 0% and 45%.
  • the atomic concentration of silicon is about 30%
  • the atomic concentration of oxygen is about 25%
  • the atomic concentration of carbon is about 45%.
  • the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 45%, and the atomic concentration of carbon is about 25%.
  • the film contains about 10-15% carbon and about 30-40% oxygen, both on an atomic basis. In all cases, the film contains some hydrogen. However, it will be understood that the relative atomic concentration of hydrogen will be small, e.g., equal to or less than about 5%. It will be understood that the relative atomic concentrations can vary depending on the choice of the precursor.
  • the silicon atoms will form bonds with carbon and optionally nitrogen and/or oxygen atoms.
  • the deposited film contains more Si—O bonds than Si—C bonds. This can provide a relatively porous film with a lower dielectric constant. In some examples, the deposited film contains a ratio of Si—O bonds to Si—C bonds that is between about 0.5:1 and 3:1.
  • the deposited film contains more Si—N bonds than Si—C bonds. In some examples, the deposited film contains a ratio of Si—N bonds to Si—C bonds that is between about 0.5:1 and 3:1. In certain embodiments, the film density is between about 2 and 2.7 g/cm 3 .
  • the internal structure of the precursor is maintained in the deposited film. This structure may preserve all or most of the Si—C, and Si—O and/or Si—N bonds, if present, in the precursor, while linking or cross-linking individual precursor moieties through bonds at locations where Si—H bonds and/or Si—Si bonds existed in the precursor molecules and/or through additional condensation reactions on the growing surface if sufficient thermal energy is provided.
  • the process conditions described earlier herein can provide a film structure that is highly conformal.
  • the relatively mild process conditions can minimize the degree of ion bombardment at the surface of the substrate so that the deposition lacks directionality.
  • the relatively mild process conditions can reduce the number of radicals with high sticking coefficients that would have a tendency to stick to the sidewalls of previously deposited layers or films.
  • the silicon carbide film may be deposited with a conformality of between about 25% and 100%, more typically between about 50% and 100%, and even more typically between about 80% and 100%.
  • Conformality may be calculated by comparing the average thickness of a deposited film on a bottom, sidewall, or top of a feature to the average thickness of a deposited film on a bottom, sidewall, or top of a feature. For example, conformality may be calculated by dividing the average thickness of the deposited film on the sidewall by the average thickness of the deposited film at the top of the feature and multiplying it by 100 to obtain a percentage. For certain applications, a conformality of between about 85% and 95% is sufficient. In some examples depositing silicon carbide on features having an aspect ratio of between about 2:1 and about 4:1, the conformality is at least about 90%. Certain BEOL (back end of line) processes fall into this category.
  • the conformality is at least about 80%.
  • Certain spacer deposition processes fall into this category.
  • depositing silicon carbide on features having an aspect ratio of between about 7:1 and about 10:1 (and even higher) the conformality is at least about 90%.
  • Certain DRAM (dynamic random access memory) fabrication processes fall into this category.
  • the process conditions can also provide a film structure with a high breakdown voltage and a low leakage current.
  • a limited amount of oxygen or nitrogen into a SiC class of material, leakage paths provided by Si—H bonds and/or Si—CH 2 —Si bonds may be blocked by oxygen or nitrogen.
  • the mode of conduction may be different in Si—O and Si—N at low fields. This can provide improved electrical properties while maintaining a relatively low dielectric constant.
  • the film has an effective dielectric constant of about 5 or lower, or about 4.0 or lower, and in some cases about 3.5 or lower, and some cases about 3.0 or lower, and in still other implementations about 2.5 or lower.
  • the effective dielectric constant can depend on the bonding and density.
  • SiOC films are made with a dielectric constant of 6 or greater, particularly when the carbon content is relatively high. If leakage current is an important consideration, SiOC needs to be ⁇ 5. The lower you go, the worse will be its hermetic and barrier and thermal resistance properties.
  • the silicon carbide film may be made dense and highly cross-linked. This can be accomplished by, for example, a) depositing the film at a relatively high temperature, and/or b) providing a relatively high radicals:precursor ratio.
  • the silicon carbide film can be relatively thin and yet serve as an effective hermetic or diffusion barrier.
  • the deposited film can be porous.
  • the silicon-containing precursors can include cyclic siloxanes and caged siloxanes. These precursors, and others that have significant interior open space, can introduce significant porosity into the structure of the deposited film. Porosity in the deposited film can further lower the dielectric constant. In some embodiments, the porosity of the deposited silicon carbide film is between about 20% and 50%.
  • the pore size of porous film may track that of the cyclic or caged precursor. In certain embodiments, the film's average pore size is between about 5 ⁇ and 20 ⁇ , such as about 16 ⁇ .
  • a silicon carbide film may be deposited over exposed copper.
  • reaction conditions adjacent to the substrate can be free of oxidants, such as O 2 , O 3 , and CO 2 , including radicals thereof.
  • the silicon carbide film may be deposited directly over the exposed copper without oxidizing copper (e.g., creating cupric oxide).
  • Such films can serve as etch stop layers, which can also serve as copper diffusion barriers.
  • the presence of the silicon carbide film can provide a sufficiently low dielectric constant with excellent leakage properties to serve as a diffusion barrier.
  • the silicon carbide film can be an etch stop and/or diffusion barrier either by itself or as a bilayer stack (e.g., SiCO/SiNC bilayer deposited over exposed copper).
  • the silicon carbide film can be placed in between adjacent metallization layers that are typically produced by a damascene process.
  • the silicon carbide film can resist etching and can be sufficiently dense to minimize the diffusion of copper ions into adjacent regions of dielectric material.
  • nitrogen may be incorporated into the film by employing nitrogen-containing precursors or plasma activating nitrogen-containing radicals, such as elemental nitrogen radicals or amine radicals.
  • a silicon carbide film 111 can be conformally deposited on features 112 of a substrate 110 .
  • the features 112 can be isolated or dense features, where the features 112 can have relatively small critical dimensions (CD).
  • the features can have a CD that is equal to or less than about 20 nm, equal to or less than about 10 nm, or equal to or less than about 5 nm.
  • the height to width aspect ratio of the features 112 can be greater than 2:1, greater than 5:1, greater than 10:1, or greater than 20:1.
  • the step coverage of the silicon carbide film 111 deposited on the features 112 is at least 90%, at least 95%, or at least 99%.
  • silicon carbide film may be deposited as vertical structures adjacent to metal or semiconductor structures. Deposition of silicon carbide provides excellent step coverage along sidewalls of metal or semiconductor structures to create the vertical structures. In certain embodiments, the vertical structures may be referred to as spacers or liners.
  • FIG. 1C illustrates a cross-section of silicon carbide liners deposited on the sidewalls of a gate electrode structure of a transistor.
  • the transistor can be a CMOS transistor with a silicon substrate 120 having a source 122 and a drain 123 .
  • a gate dielectric 124 can be deposited over the silicon substrate 120
  • a gate electrode 125 can be deposited over the gate dielectric 124 to form the transistor.
  • Silicon carbide spacers or liners 121 can be deposited on the sidewalls of the gate electrode 125 and gate dielectric 124 .
  • FIG. 1D illustrates a cross-section of silicon carbide deposited on sidewalls of exposed copper lines in an air gap type metallization layer.
  • Air gaps 130 can be introduced into an integrated circuit layer between copper lines 132 that can reduce the effective k-value of the layer.
  • Silicon carbide liners 131 can be deposited on the sidewalls of the copper lines 132 , and a nonconformal dielectric layer 133 can be deposited on the air gaps 130 , liners 131 , and copper lines 132 . Examples of such air gap type metallization layers can be described in U.S. Patent Application Publication No. 2004/0232552 to Fei Wang et al., which is herein incorporated by reference in its entirety and for all purposes.
  • a silicon carbide film may be deposited on the sidewalls of patterned porous dielectric materials.
  • Ultra low-k dielectric materials can be made from a porous structure. The pores in such materials can provide areas for ingress of metal during deposition of subsequent layers, including the deposition of diffusion barriers containing a metal such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may provide a short circuit between adjacent copper metallization lines.
  • FIG. 1E illustrates a cross-section of silicon carbide film as a pore sealant for porous dielectric materials.
  • a porous dielectric layer 142 can have a plurality of trenches or vias cut into the porous dielectric layer 142 to form pores 140 .
  • Silicon carbide film 141 can be deposited along the pores 140 to effectively seal the pores 140 . Sealing the pores 140 with the silicon carbide film 141 can avoid damaging the porous dielectric layer 142 that may otherwise be incurred by other sealing techniques using a plasma.
  • the silicon carbide film 141 can be sufficiently dense as a pore sealant and may include non-cyclic silicon-containing precursors, such as PMDSO and TMDSO.
  • an etched dielectric material such as the porous dielectric layer 142 may first be treated by a “k-recovery” process, which exposes the porous dielectric layer 142 to UV radiation and a reducing agent.
  • a “k-recovery” process which exposes the porous dielectric layer 142 to UV radiation and a reducing agent.
  • This recovery process is further described in commonly owned U.S. Patent Application Publication No. 2011/0111533 to Varadaraj an et al., which is incorporated by reference herein in its entirety and for all purposes.
  • the porous dielectric layer 142 can be exposed to UV radiation and a chemical silylating agent. This recovery process is further described in commonly owned U.S. Patent Application Publication No.
  • a silicon carbide film may be deposited as an ultra low-k dielectric material itself.
  • Ultra low-k dielectrics are conventionally defined as those materials that have a dielectric constant lower than that of 2.5.
  • the ultra low-k dielectric material of silicon carbide can be a porous dielectric layer.
  • the pores of the dielectric layer can be introduced by using cyclic or caged precursor molecules, including the cyclic siloxanes and silsesquioxanes.
  • the porosity of the ultra low-k dielectric layer of silicon carbide can be between about 20% and 50%.
  • the ultra low-k dielectric layer can have an average pore size of less than about 100 ⁇ , such as between about 5 ⁇ and 20 ⁇ .
  • a cyclosiloxane ring can have a radius of about 6.7 ⁇ . While increasing the number and size of the pores can lower the dielectric constant, the mechanical integrity of the dielectric layer can be compromised if it is too porous.

Abstract

A doped or undoped silicon carbide film can be deposited using a remote plasma chemical vapor deposition (CVD) technique. One or more silicon-containing precursors are provided to a reaction chamber. Radical species, such as hydrogen radical species, are provided in a substantially low energy state or ground state and interact with the one or more silicon-containing precursors to deposit the silicon carbide film. A co-reactant may be flowed with the one or more silicon-containing precursors, where the co-reactant can be a depositing additive or a non-depositing additive to increase step coverage of the silicon carbide film.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. patent application Ser. No. 14/616,435, filed Feb. 6, 2015, titled “CONFORMAL DEPOSITION OF SILICON CARBIDE FILMS,” (Attorney Docket No. LAMRP175/3576-1US), which is a continuation-in-part of both U.S. patent application Ser. No. 13/494,836, filed Jun. 12, 2012, titled “REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS,” (Attorney Docket No. NOVLP466/NVLS003722) and U.S. patent application Ser. No. 13/907,699, filed May 31, 2013, titled “METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES,” (Attorney Docket No. LAMRP046/3149), each of which is incorporated herein by reference in its entirety and for all purposes.
  • BACKGROUND
  • The silicon carbide (SiC) class of thin films has unique physical, chemical, and mechanical properties and is used in a variety of applications, particularly integrated circuit applications. Classes of SiC thin films include oxygen doped silicon carbide, also known as silicon oxycarbide, nitrogen doped silicon carbide, also known as silicon nitricarbide, and oxygen and nitrogen doped silicon carbide, also known as silicon oxynitricarbide, and undoped silicon carbide.
  • The background provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent that it is described in this background, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
  • SUMMARY
  • Provided herein is a method of depositing a silicon carbide film on a substrate. The method includes providing a substrate in a reaction chamber, flowing a silicon-containing precursor into the reaction chamber towards the substrate, and flowing a co-reactant into the reaction chamber along with the silicon-containing precursor. The silicon-containing precursor has (i) one or more Si—H bonds and/or Si—Si bonds, (ii) one or more Si—C bonds, Si—N, and/or Si—O bonds, (iii) no C—O bonds, and (iv) no C—N bonds. The co-reactant is a hydrocarbon molecule. The method further includes generating, from a hydrogen source gas, radicals of hydrogen in a remote plasma source that are generated upstream of the silicon-containing precursor and the co-reactant, and introducing the radicals of hydrogen into the reaction chamber and towards the substrate, where the radicals of hydrogen are in a ground state to react with the silicon-containing precursor and the co-reactant to form a doped or undoped silicon carbide film on the substrate, where the doped or undoped silicon carbide film has a conformality of at least 90%.
  • In some implementations, all or substantially all of the radicals of hydrogen in an environment adjacent to the substrate are radicals of hydrogen in the ground state. In some implementations, the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN). In some implementations, the hydrocarbon molecule has one or more carbon-to-carbon double bonds or triple bonds. The hydrocarbon molecule can include propylene, ethylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentyne, or hexyne. In some implementations, the silicon-containing precursor and the co-reactant are simultaneously flowed along the same flow path into the reaction chamber. In some implementations, the silicon-containing precursor includes an alkylcarbosilane, a siloxane, or a silazane.
  • Another aspect involves a method of depositing a silicon carbide film on a substrate. The method includes providing a substrate in a reaction chamber, flowing a first organosilicon precursor into the reaction chamber, and flowing a second organosilicon precursor into the reaction chamber. The first organosilicon precursor has (i) one or more Si—H bonds and/or Si—Si bonds, and (ii) one or more Si—C bonds, Si—N bonds, and/or Si—O bonds, and the second organosilicon precursor includes (i) no Si—H bonds and (ii) no Si—Si bonds. The method further includes generating, from a hydrogen source gas, radicals of hydrogen in a remote plasma source that are generated upstream of the first organosilicon precursor and the second organosilicon precursor, and introducing the radicals of hydrogen into the reaction chamber and towards the substrate, where the radicals of hydrogen are in a ground state to react with the first organosilicon precursor and the second organosilicon precursor to form a doped or undoped silicon carbide film on the substrate.
  • In some implementations, all or substantially all of the radicals of hydrogen are radicals of hydrogen in the ground state. In some implementations, the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN). In some implementations, a flow rate of the second organosilicon precursor is at least two times greater than a flow rate of the first organosilicon precursor. In some implementations, the doped or undoped silicon carbide film has a conformality of at least 95%. In some implementations, the second organosilicon precursor includes tetramethylsilane (4MS). In some implementations, the first organosilicon precursor and the second organosilicon precursor are simultaneously flowed along the same flow path into the reaction chamber.
  • These and other aspects are described further below with reference to the drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A illustrates a cross-sectional schematic of an example doped or undoped silicon carbide film deposited over a substrate.
  • FIG. 1B illustrates a cross-sectional schematic of an example doped or undoped silicon carbide film conformally deposited on features of a substrate.
  • FIG. 1C illustrates a cross-sectional schematic of example doped or undoped silicon carbide vertical structures on sidewalls of a gate electrode of a transistor.
  • FIG. 1D illustrates a cross-sectional schematic of example doped or undoped silicon carbide vertical structures on exposed sidewalls of copper lines in an air gap type metallization layer.
  • FIG. 1E illustrates a cross-sectional schematic of example doped or undoped silicon carbide pore sealants for porous dielectric materials.
  • FIG. 2 illustrates chemical structures of examples of representative caged siloxane precursors.
  • FIG. 3 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some implementations.
  • FIG. 4 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some other implementations.
  • FIG. 5A shows a TEM image of a silicon carbide thin film deposited on substrate features without using a carbon-containing non-depositing additive.
  • FIG. 5B shows a TEM image of a silicon carbide thin film deposited on substrate features using a carbon-containing non-depositing additive.
  • FIG. 6A shows a TEM image a silicon carbide thin film deposited on substrate features without using a silicon-containing depositing additive.
  • FIG. 6B shows a TEM image of a silicon carbide thin film deposited on substrate features using a silicon-containing depositing additive.
  • DETAILED DESCRIPTION
  • In the present disclosure, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. The following detailed description assumes the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the present disclosure include various articles such as printed circuit boards and the like.
  • Introduction
  • Manufacture of semiconductor devices typically involves depositing one or more thin films on a substrate in an integrated fabrication process. In some aspects of the fabrication process, classes of thin films such as silicon carbide, silicon oxycarbide, silicon nitricarbide, and silicon oxynitricarbide are deposited using atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), or any other suitable deposition method. As used herein, the term silicon carbide includes undoped or doped silicon carbides, such oxygen doped silicon carbide (SiCO), nitrogen doped silicon carbide (SiCN), and nitrogen and oxygen doped silicon carbide (SiOCN). For many, doped silicon carbides have at most about 50% atomic of dopant atoms, whether those atoms are oxygen, nitrogen, or atoms of another element. The doping level provides desired film properties.
  • Precursor molecules for depositing silicon carbides can include silicon-containing molecules having silicon-hydrogen (Si—H) and/or silicon-silicon (Si—Si) bonds, and silicon-carbon (Si—C) bonds. Precursor molecules for depositing silicon oxycarbides include silicon-containing molecules having silicon-hydrogen (Si—H) bonds and/or silicon-silicon (Si—Si) bonds, and silicon-oxygen (Si—O) bonds and/or silicon-carbon (Si—C) bonds. Precursor molecules for depositing silicon nitricarbides include silicon-containing molecules having silicon-hydrogen (Si—H) bonds and/or silicon-silicon (Si—Si) bonds, and silicon-nitrogen (Si—N) bonds and/or silicon-carbon (Si—C) bonds. Precursor molecules for depositing silicon oxynitricarbides include silicon-containing molecules having silicon-hydrogen (Si—H) bonds and/or silicon-silicon (Si—Si) bonds, and silicon-nitrogen (Si—N) bonds, silicon-oxygen (Si—O) bonds, and/or silicon-carbon (Si—C) bonds. Current PECVD processes may use in situ plasma processing in which a plasma is provided directly adjacent to a substrate.
  • It has been found that depositing high-quality silicon carbide thin films can have certain challenges, such as providing films with excellent step coverage, low dielectric constants, high breakdown voltages, low leakage currents, high porosity, and/or coverage over exposed metal surfaces without oxidizing the metal surfaces.
  • While this disclosure is not limited by any particular theory, it is believed that the plasma conditions in typical PECVD processes fragment the silicon-containing precursor molecules in a manner that produces undesirable effects. For example, PECVD may break Si—O and/or Si—C bonds in the precursor molecules to produce highly reactive radicals or other fragment types having high sticking coefficients. The fragments of the resulting doped silicon carbide film can include silicon, carbon, and/or oxygen atoms with bonds that are “dangling,” meaning that silicon, carbon, and/or oxygen atoms have reactive unpaired valence electrons. High sticking coefficients of the precursor molecules and their fragments can deposit silicon carbide films with poor step coverage, as reactive precursor fragments may disproportionately stick to the upper regions of sidewalls and other structures in recessed features.
  • The dangling bonds can produce silanol groups (Si—OH) in a deposited silicon oxycarbide or silicon oxynitricarbide film. As a result, the film may have detrimentally high dielectric constants. Film quality may also suffer because the direct plasma conditions tend to extract carbon out of the deposited film.
  • Furthermore, the dangling bonds can produce increased silicon-hydrogen bonding (Si—H) in deposited silicon carbide films. Broken bonds of Si—C can be replaced with Si—H in direct plasma deposition conditions. The presence of Si—H bonds in silicon carbide films can produce films with poor electrical properties. For example, the presence of Si—H bonds can reduce breakdown voltages and can increase leakage currents because the Si—H bonds provide a leakage path for electrons.
  • Further, the dangling bonds can lead to uncontrolled chemical or morphological structures in the silicon carbide films. In some cases, such structures are dense filaments having low or no porosity, such that the film has an unacceptably high dielectric constant. The lack of porosity can be the result of the direct plasma conditions breaking Si—C and/or Si—O bonds in cyclic siloxanes that would otherwise provide porosity in an ultralow-k dielectric material.
  • Direct plasma conditions sometimes employed in PECVD can lead to directionality in the deposition because the energy to break up the precursor molecules can be a low frequency which creates a lot of ion bombardment at the surface. The directional deposition can also lead to deposition of silicon carbide films with poor step coverage. A direct plasma is a plasma in which the plasma (electrons and positive ions at an appropriate concentration) reside in close proximity to the substrate surface during deposition, sometimes separated from the substrate surface by only a plasma sheath.
  • Typical PECVD processes are sometimes inappropriate for depositing silicon carbide films over exposed copper or other metal surfaces because such processes can oxidize metal. The PECVD process may use oxidants such as oxygen (O2), ozone (O3), carbon dioxide (CO2), or other oxidizing species to form a silicon oxycarbide film.
  • Environment at the Substrate Surface During Deposition
  • FIG. 1A illustrates a cross-section of an example silicon carbide film deposited over a substrate. The silicon carbide film 101 can be formed under process conditions producing a relatively mild environment adjacent to the substrate 100. The substrate 100 can be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other appropriate work piece. The process for depositing the silicon carbide film 101 can involve one or more silicon-containing precursors having one or more Si—H bonds and/or one or more Si—Si bonds, along with other bonds such as Si—C bonds, Si—O bonds, and/or Si—N bonds, depending on the type of doped structure to be produced.
  • Certain applications employing silicon carbide films are depicted in FIGS. 1B-1E. In some embodiments, the silicon-containing precursors can include silicon-oxygen containing precursors, silicon-nitrogen containing precursors, and/or silicon-carbon containing precursors. The silicon-oxygen containing precursors can include one or more Si—O bonds, the silicon-nitrogen containing precursors can include one or more Si—N bonds, and the silicon-carbon containing precursors can include one or more Si—C bonds. In some embodiments, for example, the silicon-containing precursors can include a single reactant A with Si—O and Si—C bonds, or Si—N and Si—C bonds. In some embodiments, the silicon-containing precursors can include a reactant B with Si—O bonds or Si—N bonds, and a reactant C with Si—C bonds. It will be understood that any number of suitable reactants may be employed in the scope of this present disclosure. The chemical structures of example silicon-containing precursors are discussed in further detail below.
  • The silicon-containing precursor includes one or more Si—H bonds and/or one or more Si—Si bonds. However, it will be understood that additional silicon-containing precursors may not necessarily include Si—H or Si—Si bonds. These additional silicon-containing precursors may be provided concurrently with the silicon-containing precursor having one or more Si—H and/or Si—Si bonds. During the deposition process, the Si—H bonds and/or Si—Si bonds are broken and serve as reactive sites for forming bonds between the silicon-containing precursors in the deposited silicon carbide film 101. The broken bonds can also serve as sites for cross-linking during thermal processing conducted during or after deposition. Bonding at the reactive sites and cross-linking can form a primary backbone or matrix collectively in the resulting silicon carbide film 101.
  • In some embodiments, the process conditions can preserve or substantially preserve Si—C bonds and, if present, Si—O and Si—N bonds in the as-deposited layer of the silicon carbide film 101. Accordingly, the reaction conditions adjacent to the substrate 100 provide for the selective breaking of Si—H and/or Si—Si bonds, e.g., extracting hydrogen from the broken Si—H bonds, but the reaction conditions do not provide for extracting oxygen from Si—O bonds, nitrogen from Si—N bonds, or carbon from Si—C bonds. However, introduction of a co-reactant such as oxygen may extract carbon from Si—C bonds. It will be understood that other reaction mechanisms may be taking place at the environment adjacent to the substrate surface, including reaction mechanisms that are less kinetically favorable such as substitution reactions. Generally, the described reaction conditions exist at the exposed face of the substrate 100 (the face where the silicon carbide film 101 is deposited). They may further exist at some distance above the substrate 100, e.g., about 0.5 micrometers to about 150 millimeters above the substrate 100. In effect, activation of the precursor can happen in the gas phase at a substantial distance above the substrate 100. Typically, the pertinent reaction conditions will be uniform or substantially uniform over the entire exposed face of the substrate 100, although certain applications may permit some variation.
  • In addition to silicon-containing precursors, the environment adjacent the work piece (e.g., substrate 100) can include one or more radical species, preferably in a substantially low energy state. An example of such species includes hydrogen radicals (i.e., hydrogen atom radicals). In some embodiments, all, or substantially all, or a substantial fraction of the hydrogen atom radicals can be in the ground state, e.g., at least about 90% or 95% of the hydrogen atom radicals adjacent the work piece are in the ground state. In certain embodiments, source gas is provided in a carrier gas such as helium. As an example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen. Pressure, fraction of carrier gas such as helium, and other process conditions are chosen so that the hydrogen atoms encounter the substrate 100 as radicals in a low energy state without recombining.
  • As explained elsewhere, hydrogen gas may be supplied into a remote plasma source to generate hydrogen atom radicals. The remote plasma source may be positioned upstream from the substrate surface and the environment adjacent to the substrate surface. Once generated, the hydrogen atom radicals may be in an excited energy state. For example, hydrogen in an excited energy state can have an energy of at least 10.2 eV (first excited state). Excited hydrogen atom radicals may cause unselective decomposition of a silicon-containing precursor. For example, hydrogen atom radicals in an excited state can easily break Si—H, Si—Si, Si—N, Si—O, and Si—C bonds, which can alter the composition or physical or electrical characteristics of the silicon carbide film 101. In some implementations, when the excited hydrogen atom radicals lose their energy, or relax, the excited hydrogen atom radical may become a substantially low energy state hydrogen atom radical or a ground state hydrogen atom radical. Hydrogen atom radicals in a substantially low energy state or ground state can be capable of selectively breaking Si—H and Si—Si bonds while generally preserving Si—O, Si—N, and Si—C bonds. In some implementations, process conditions may be provided so that excited hydrogen atom radicals lose energy or relax to form substantially low energy state or ground state hydrogen atom radicals. For example, the remote plasma source or associated components may be designed so that a residence time of hydrogen atom radicals diffusing from the remote plasma source to the substrate 100 is greater than the energetic relaxation time of an excited hydrogen atom radical. The energetic relaxation time for an excited hydrogen atom radical can be about equal to or less than about 1×10−3 seconds.
  • A state in which a substantial fraction of hydrogen atom radicals are in the ground state can be achieved by various techniques. Some apparatuses, such as described below, are designed to achieve this state. Apparatus features and process control features can be tested and tuned to produce a mild state in which a substantial fraction of the hydrogen atom radicals are in the ground state. For example, an apparatus may be operated and tested for charged particles downstream of the plasma source; i.e., near the substrate 100. The process and apparatus may be tuned until substantially no charged species exist near the substrate 100. Additionally, apparatus and process features may be tuned to a configuration where they begin to produce a silicon carbide film 101 from a standard silicon-containing precursor. The relatively mild conditions that support such film deposition are chosen.
  • Other examples of radical species include oxygen-containing species such as elemental oxygen radicals (atomic or diatomic), nitrogen-containing species such as elemental nitrogen radicals (atomic or diatomic), and N—H containing radicals such as ammonia radicals, where nitrogen is optionally incorporated into the film. Examples of N—H containing radicals include but are not limited to radicals of methylamine, dimethylamine, and aniline. The aforementioned radical species may be produced from a source gas that includes hydrogen, nitrogen, N—H containing species, or mixtures thereof. In some embodiments, substantially all or a substantial fraction of atoms of the deposited film are provided by the precursor molecules. In such cases, the low energy radicals used to drive the deposition reaction may be exclusively hydrogen or other species that does not substantially contribute to the mass of the deposited layer. In some embodiments, as discussed in further detail below, the radical species can be produced by a remote plasma source. In some embodiments, some radicals of higher energy state or even ions can potentially be present near the wafer plane.
  • In some embodiments, the process conditions employ radical species in a substantially low energy state sufficient to break Si—H bonds and/or Si—Si bonds while substantially preserving Si—O, Si—N, and Si—C bonds. Such process conditions may not have substantial amounts of ions, electrons, or radical species in high energy states such as states above the ground state. In some embodiments, the concentration of ions in the region adjacent the film is no greater than about 107/cm3. The presence of substantial amounts of ions or high energy radicals may tend to break Si—O, Si—N, and Si—C bonds, which can produce films with undesirable electrical properties (e.g., high dielectric constants and/or low breakdown voltages) and poor conformality. It is believed that an excessively reactive environment produces reactive precursor fragments that have high sticking coefficients (representing a propensity to chemically or physically stick to work piece sidewalls), resulting in poor conformality.
  • The silicon-containing precursors are typically delivered with other species, notably carrier gas, in the environment adjacent to the substrate 100. In some implementations, the silicon-containing precursors are present with the radical species and other species, including other reactive species and/or carrier gases. In some embodiments, the silicon-containing precursors may be introduced as a mixture. Upstream from the deposition reaction surface, the silicon-containing precursors can be mixed with an inert carrier gas. Example inert carrier gases include, but are not limited to, argon (Ar) and helium (He). In addition, the silicon-containing precursors can be introduced in a mixture having major and minor species, with the minor species containing some element or structural feature (e.g., a ring structure, a cage structure, an unsaturated bond, etc.) that is present in the silicon carbide film 101 at a relatively low concentration. It will be understood, however, that the minor species may not significantly contribute to the composition or structural feature of the silicon carbide film 101. The multiple precursors may be present in equimolar or relatively similar proportions as appropriate to form the primary backbone or matrix in the resulting silicon carbide film 101. In other embodiments, the relative amounts of the different precursors are substantially skewed from equimolarity.
  • In some embodiments, one or more silicon-containing precursors provide essentially all of the mass of the deposited silicon carbide film 101, with small amounts of hydrogen or other element from a remote plasma providing less than about 5% atomic or less than about 2% atomic of the film mass. In some embodiments, only the radical species and the one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film 101. In other embodiments, the deposition reaction includes a co-reactant other than one or more silicon-containing precursors and the radical species, which may or may not contribute to the composition of the deposited silicon carbide film 101. Examples of such co-reactants include carbon dioxide (CO2), carbon monoxide (CO), water (H2O), methanol (CH3OH), oxygen (O2), ozone (O3), nitrogen (N2), nitrous oxide (N2O), ammonia (NH3), diazene (N2H2), methane (CH4), ethane (C2H6), acetylene (C2H2), ethylene (C2H4), diborane (B2H6), and combinations thereof. Such materials may be used as nitriding agents, oxidizers, reductants, etc. In some cases, they can be used to tune the amount of carbon in the deposited film by removing or adding a fraction of the carbon provided with the silicon-containing precursor. In some implementations employing a non-hydrogen co-reactant, the co-reactant is introduced to the reaction chamber via the same flow path as the silicon-containing precursor; e.g., a path including a gas outlet or showerhead, typically without direct exposure to plasma. In some embodiments, oxygen and/or carbon dioxide is introduced with the precursor to alter the composition of the silicon carbide film 101 by removing carbon from the film or precursor during deposition. In some implementations employing a non-hydrogen co-reactant, the co-reactant is introduced to the reaction chamber via the same flow path as the hydrogen, such that the co-reactant is at least partially converted to radicals and/or ions. In such implementations, the hydrogen radicals and the co-reactant radicals both react with the silicon-containing precursor(s) to produce the deposited silicon carbide film 101.
  • In certain embodiments where co-reactants are used and they are introduced to the chamber with the species being converted to radicals (e.g., hydrogen), they may be provided to the reaction chamber in relatively small amounts in comparison to the other gases in the reaction chamber, including the source of radicals (e.g., hydrogen) and any carrier gas(es) such as helium. For example, the co-reactant may be present in the process gases at about 0.05% or less by mass, or at about 0.01% or less by mass, or at about 0.001% or less by mass. For example, a reactant mixture (that goes into the plasma source) may be about 10-20 liters per minute (L/m) He, about 200-500 standard cubic centimeters per minute (sccm) H2, and about 1-10 sccm oxygen. However, it will be understood that in some implementations, the co-reactant may be present in the process gases at about 0.05% or more by mass, or at about 1% or more by mass, or at about 20% or more by mass. When the co-reactants are introduced to the reaction chamber along with the silicon-containing precursor (e.g., through a gas outlet or showerhead), they may be present at a higher concentration; for example about 2% or less or about 0.1% or less by mass. When the co-reactant is a relatively weak reactant (e.g., a weak oxidant such as carbon dioxide), it may be present at even higher concentrations, such as about 10% or less or about 4% or less by mass. When the co-reactant is an additive, it may be present at even higher concentrations, such as about 10% or more or 20% or more by mass.
  • The temperature in the environment adjacent to the substrate 100 can be any suitable temperature facilitating the deposition reaction, but sometimes limited by the application of the device containing the silicon carbide film 101. In some embodiments, the temperature in the environment adjacent to the substrate 100 can be largely controlled by the temperature of a pedestal on which a substrate 100 is supported during deposition of the silicon carbide film 101. In some embodiments, the operating temperature can be between about 50° C. and about 500° C. For example, the operating temperature can be between about 250° C. and about 400° C. in many integrated circuit applications. In some embodiments, increasing the temperature can lead to increased cross-linking on the substrate surface.
  • The pressure in the environment adjacent to the substrate 100 can be any suitable pressure to produce reactive radicals in a reaction chamber. In some embodiments, the pressure can be about 35 Torr or lower. For example, the pressure can be between about 10 Torr and about 20 Torr, such as in embodiments implementing a microwave generated plasma. In other examples, the pressure can be less than about 5 Torr, or between about 0.2 Torr and about 5 Torr, such as in embodiments implementing a radio-frequency (RF) generated plasma.
  • The environment adjacent to the substrate 100 provides for deposition of the silicon carbide film 101 on the substrate 100 by remote plasma CVD. A source gas is supplied to a remote plasma source, and power is provided to the remote plasma source that may cause the source gas to dissociate and generate ions and radicals in an excited energy state. After excitation, the radicals in the excited energy state relax to substantially low energy state radicals or ground state radicals, such as ground state hydrogen radicals. Bonds in the silicon-containing precursor may be selectively broken by the hydrogen radicals in a relaxed energy state. Bonds in the co-reactant or additional precursor may be selectively broken by the hydrogen radicals in a relaxed energy state to activate the co-reactant or additional precursor.
  • Silicon carbide films are frequently used in semiconductor devices. For example, doped or undoped silicon carbide films may be employed as metal diffusion barriers, etch stop layers, hard mask layers, gate spacers for source and drain implants, encapsulation barriers for magnetoresistive random-access memory (MRAM) or resistive random-access memory (RRAM), and hermetic diffusion barriers at air gaps, among other applications. FIGS. 1B-1E illustrate cross-sections of structures containing silicon carbide films in a variety of applications. FIG. 1B illustrates silicon carbide thin film conformally deposited on features of a substrate. FIG. 1C illustrates silicon carbide vertical structures on the sidewalls of a gate electrode structure of a transistor. FIG. 1D illustrates silicon carbide vertical structures on exposed sidewalls of copper lines in an air gap type metallization layer. FIG. 1E illustrates silicon carbide pore sealants for porous dielectric materials. Each of these applications is discussed in further detail below.
  • Chemical Structure of Precursors
  • As discussed, the precursors employed in forming silicon carbide films can include silicon-containing precursors, with at least some of the silicon-containing precursors having at least one Si—H and/or at least one Si—Si bond. In certain embodiments, the silicon-containing precursor has at most one hydrogen atom on every silicon atom. Thus, for example, a precursor having one silicon atom has at most one hydrogen atom bonded to the silicon atom; a precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and optionally another hydrogen atom bonded to the second silicon atom; a precursor having three silicon atoms has at least one hydrogen atom bonded to one silicon atom and optionally one or two more hydrogen atoms bonded to one or two of the remaining silicon atoms, and so on. In addition, the silicon-containing precursors may include at least one Si—O bond, at least one Si—N bond, and/or at least one Si—C bond. While any number of appropriate precursors can be used in forming silicon carbide films, at least some of the precursors will include silicon-containing precursors with at least one Si—H bond or Si—Si bond, and optionally at least one Si—O bond, Si—N bond, and/or Si—C bond. In various implementations, the silicon-containing precursor(s) contain no O—C or N—C bonds; e.g., the precursor(s) contain no alkoxy (—O—R), where R is an organic group such as a hydrocarbon group, or amine (—NR1R2) groups, wherein R1 and R2 are independently hydrogen or organic groups. It is believed that such groups may impart high sticking coefficients to the precursors or fragments on which they reside.
  • In certain embodiments, some of the carbon provided for in the silicon carbide film may be provided by one or more hydrocarbon moieties on the silicon-containing precursor. Such moieties may be from alkyl groups, alkene groups, alkyne groups, aryl groups, and the like. In certain embodiments, the hydrocarbon group has a single carbon atom to minimize steric hindrance of the Si—H and/or Si—Si bond breaking reaction during deposition. However, the precursors are not limited to single-carbon groups; higher numbers of carbon atoms may be used such as 2, 3, 4, 5, or 6 carbon atoms. In certain embodiments, the hydrocarbon group is linear. In certain embodiments, the hydrocarbon group is cyclic.
  • In certain embodiments, some of the carbon provided for in the silicon carbide film may be provided by one or more hydrocarbon molecules in a carbon-containing precursor. Such hydrocarbon molecules may include carbon-to-carbon chains, where a number of carbon atoms may be used such as 2, 3, 4, 5, 6, or 7 carbon atoms. In some implementations, the hydrocarbon molecules include one or more carbon double bonds and/or carbon triple bonds.
  • In some embodiments, the silicon-containing precursor falls into a chemical class. It will be understood that other chemical classes of silicon-containing precursors may be employed and that the silicon-containing precursors are not limited to the chemical classes discussed below.
  • In some embodiments, the silicon-containing precursor can be a siloxane. In some embodiments, the siloxane may be cyclic. Cyclic siloxanes may include cyclotetrasiloxanes, such as 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), and heptamethylcyclotetrasiloxane (HMCTS). Other cyclic siloxanes can also include but are not limited to cyclotrisiloxanes and cyclopentasiloxanes. Embodiments using cyclic siloxanes are ring structures that can introduce porosity into a silicon carbide film, with the size of the pores corresponding to the radius of the ring. For example, a cyclotetrasiloxane ring can have a radius of about 6.7 Å.
  • In some embodiments, the siloxane may have a three-dimensional or caged structure. FIG. 2 illustrates examples of representative caged siloxane precursors. Caged siloxanes have silicon atoms bridged to one another via oxygen atoms to form a polyhedron or any 3-D structure. An example of a caged siloxane precursor molecule is silsesquioxane. Caged siloxane structures are described in further detail in commonly owned U.S. Pat. No. 6,576,345 to Cleemput et al., which is incorporated by reference herein in its entirety and for all purposes. Like the cyclic siloxanes, the caged siloxane can introduce porosity into a silicon carbide film. In some embodiments, the porosity scale is mesoporous.
  • In some embodiments, the siloxane may be linear. Examples of suitable linear siloxanes include but are not limited to disiloxanes, such as pentamethyldisiloxane (PMDSO) and tetramethyldisiloxane (TMDSO), and trisiloxanes such as hexamethyltrisiloxane, heptamethyltrisiloxane.
  • In some embodiments, the silicon-containing precursor can be an alkyl silane or other hydrocarbon-substituted silane. The alkyl silanes include a central silicon atom with one or more alkyl groups bonded to it as well as one or more hydrogen atoms bonded to it. In certain embodiments, any one or more of the alkyl groups contain 1-5 carbon atoms. The hydrocarbon groups may be saturated or unsaturated (e.g., alkene (e.g., vinyl), alkyne, and aromatic groups). Examples include but are not limited to trimethylsilane (3MS), triethylsilane, pentamethyl disilamethane ((CH3)2Si—CH2—Si(CH3)3), and dimethylsilane (2MS).
  • In some embodiments, the silicon-containing precursor can be an alkoxy silane. However, in some embodiments, it may be understood that the silicon-containing precursor is not an alkoxy silane to avoid the presence of alkoxy groups. Alkoxy silanes include a central silicon atom with one or more alkoxy groups bonded it and one or more hydrogen atoms bonded to it. Examples include but are not limited to trimethoxysilane (TMOS), dimethoxysilane (DMOS), methoxysilane (MOS), methyldimethoxysilane (MDMOS), diethyoxymethylsilane (DEMS), dimethylethoxysilane (DMES), and dimethylmethoxysilane (DMMOS).
  • Disilanes, trisilanes, or other higher silanes may be used in place of monosilanes. An example of one such disilane from the alkyl silane class is hexamethyldisilane (HMDS). Another example of a disilane from the alkyl silane class can include pentamethyldisilane (PMDS). Other types of alkyl silanes can include alkylcarbosilanes, which can have a branched polymeric structure with a carbon bonded to a silicon atom as well as alkyl groups bonded to a silicon atom. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE). In some embodiments, one of the silicon atoms can have a carbon-containing or hydrocarbon-containing group attached to it, and one of the silicon atoms can have a hydrogen atom attached to it.
  • In some embodiments, the silicon-containing precursor can be a nitrogen-containing compound such as a silicon-nitrogen hydride (e.g., a silazane). Generally, such compounds contain carbon, but only bonded to silicon atoms, and not to nitrogen atoms. In certain embodiments, the nitrogen-containing compound does not have any carbon-nitrogen bonds. In certain embodiments, the nitrogen-containing compound does not have any amine moieties (—C—NR1R2), where R1 and R2 are the same or different groups such hydrogen atoms and hydrocarbon groups such as alkyl groups, alkene groups, or alkyne groups. Examples of suitable silicon-nitrogen precursors include various silazanes such as cyclic and linear silazanes containing one or more hydrocarbon moieties bonded to one or more silicon atoms and one or more hydrogen atoms bonded to one or more silicon atoms. Examples of silazanes include methyl-substituted disilazanes and trisilazanes, such as tetramethyldisilazane and hexamethyl trisilazane.
  • In depositing silicon carbide, multiple silicon-containing precursors can be present in the process gas. For example, a siloxane and an alkyl silane may be used together, or a siloxane and an alkoxy silane may be used together. The relative proportions of the individual precursors can be chosen based on the chemical structures of precursors chosen and the application of the resulting silicon carbide film. For example, the amount of siloxane can be greater than the amount of silane in molar percentages to produce a porous film as discussed in more detail below.
  • For depositing oxygen doped silicon carbide films, examples of suitable precursors can include cyclic siloxanes such as cyclotetrasiloxanes such as heptamethylcyclotetrasiloxane (HMCTS) and tetramethylcyclotetrasiloxane. Other cyclic siloxanes can also include but are not limited to cyclotrisiloxanes and cyclopentasiloxanes. For depositing oxygen doped silicon carbide films, other examples of suitable precursors include linear siloxanes such as, but not limited to, disiloxanes, such as pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), hexamethyl trisiloxane, and heptamethyl trisiloxane.
  • For depositing undoped silicon carbide films, examples of suitable precursors can include monosilanes substituted with one or more alkyl, alkene, and/or alkyne groups containing, e.g., 1-5 carbon atoms. Examples include but are not limited to trimethylsilane (3MS), dimethylsilane (2MS), triethylsilane (TES), and pentamethyldisilamethane. Additionally, disilanes, trisilanes, or other higher silanes may be used in place of monosilanes. Examples of disilanes can include hexamethyldisilane (HMDS) and pentamethyldisilane (PMDS). Other types of alkyl silanes can include alkylcarbosilanes. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE).
  • For depositing nitrogen doped silicon carbide films, examples of suitable precursors can include silazanes, e.g., alkyldisilazanes and possibly compounds including amino (—NH2) and alkyl groups separately bonded to one or more silicon atoms. Alkyldisilazanes include silizanes and alkyl groups bonded to two silicon atoms. An example includes 1,1,3,3-tetramethyldisilazane (TMDSN).
  • As explained, silicon-containing precursors are chosen to provide highly conformal silicon carbide films. It is believed that silicon-containing precursors having low sticking coefficients are capable of producing highly conformal films. “Sticking coefficient” is a term used to describe the ratio of the number of adsorbate species (e.g., fragments or molecules) that adsorb/stick to a surface compared to the total number of species that impinge upon that surface during the same period of time. The symbol Sc is sometimes used to refer to the sticking coefficient. The value of Sc is between 0 (meaning that none of the species stick) and 1 (meaning that all of the impinging species stick). Various factors affect the sticking coefficient including the type of impinging species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the impinging species. Certain species are inherently more “sticky” than others, making them more likely to adsorb onto a surface each time the specie impinges on the surface. These more sticky species have greater sticking coefficients (all other factors being equal), and are more likely to adsorb near the entrance of a recessed feature compared to less sticky species having lower sticking coefficients. In some cases, the sticking coefficient of the precursors (at the relevant deposition conditions) may be about 0.05 or less, for example about 0.001 or less.
  • Apparatus
  • One aspect of the disclosure is an apparatus configured to accomplish the methods described herein. A suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present disclosure. In some embodiments, the apparatus for performing the aforementioned process operations can include a remote plasma source. A remote plasma source provides mild reaction conditions in comparison to a direct plasma. An example of a suitable remote plasma apparatus is described in U.S. patent application Ser. No. 14/062,648, filed Oct. 24, 2013, which is incorporated herein by reference in its entirety and for all purposes.
  • FIG. 3 presents a schematic diagram of a remote plasma apparatus according to certain embodiments. The device 300 includes a reaction chamber 310 with a showerhead 320. Inside the reaction chamber 310, a substrate 330 rests on a stage or pedestal 335. In some embodiments, the pedestal 335 can be fitted with a heating/cooling element. A controller 340 may be connected to the components of the device 300 to control the operation of the device 300. For example, the controller 340 may contain instructions for controlling process conditions for the operations of the device 300, such as the temperature process conditions and/or the pressure process conditions. In some embodiments, the controller 340 may contain instructions for controlling the flow rates of precursor gas, co-reactant gas, source gas, and carrier gas. The controller 340 may contain instructions for changing the flow rate of the co-reactant gas over time. In addition or in the alternative, the controller 340 may contain instructions for changing the flow rate of the precursor gas over time. A more detailed description of the controller 340 is provided below.
  • During operation, gases or gas mixtures are introduced into the reaction chamber 310 via one or more gas inlets coupled to the reaction chamber 310. In some embodiments, two or more gas inlets are coupled to the reaction chamber 310. A first gas inlet 355 can be coupled to the reaction chamber 310 and connected to a vessel 350, and a second gas inlet 365 can be coupled to the reaction chamber 310 and connected to a remote plasma source 360. In embodiments including remote plasma configurations, the delivery lines for the precursors and the radical species generated in the remote plasma source are separated. Hence, the precursors and the radical species do not substantially interact before reaching the substrate 330. It will be understood that in some implementations the gas lines may be reversed so that the vessel 350 may provide precursor gas flow through the second gas inlet 365 and the remote plasma source 360 may provide ions and radicals through the first gas inlet 355.
  • One or more radical species may be generated in the remote plasma source 360 and configured to enter the reaction chamber 310 via the second gas inlet 365. Any type of plasma source may be used in remote plasma source 360 to create the radical species. This includes, but is not limited to, capacitively coupled plasmas, inductively coupled plasmas, microwave plasmas, DC plasmas, and laser-created plasmas. An example of a capacitively coupled plasma can be a radio frequency (RF) plasma. A high-frequency plasma can be configured to operate at 13.56 MHz or higher. An example of such a remote plasma source 360 can be the GAMMA®, manufactured by Lam Research Corporation of Fremont, Calif. Another example of such a RF remote plasma source 360 can be the Astron®, manufactured by MKS Instruments of Wilmington, Mass., which can be operated at 440 kHz and can be provided as a subunit bolted onto a larger apparatus for processing one or more substrates in parallel. In some embodiments, a microwave plasma can be used as the remote plasma source 360, such as the Astex®, also manufactured by MKS Instruments. A microwave plasma can be configured to operate at a frequency of 2.45 GHz. Gas provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases as mentioned elsewhere herein. In certain embodiments, hydrogen is provided in a carrier such helium. As an example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen.
  • The precursors can be provided in vessel 350 and can be supplied to the showerhead 320 via the first gas inlet 355. The showerhead 320 distributes the precursors into the reaction chamber 310 toward the substrate 330. The substrate 330 can be located beneath the showerhead 320. It will be appreciated that the showerhead 320 can have any suitable shape, and may have any number and arrangement of ports for distributing gases to the substrate 330. The precursors can be supplied to the showerhead 320 and ultimately to the substrate 330 at a controlled flow rate.
  • The one or more radical species formed in the remote plasma source 360 can be carried in the gas phase toward the substrate 330. The one or more radical species can flow through a second gas inlet 365 into the reaction chamber 310. It will be understood that the second gas inlet 365 need not be transverse to the surface of the substrate 330 as illustrated in FIG. 3. In certain embodiments, the second gas inlet 365 can be directly above the substrate 330 or in other locations. The distance between the remote plasma source 360 and the reaction chamber 310 can be configured to provide mild reactive conditions such that the ionized species generated in the remote plasma source 360 are substantially neutralized, but at least some radical species in substantially low energy states remain in the environment adjacent to the substrate 330. Such low energy state radical species are not recombined to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 can be a function of the aggressiveness of the plasma (e.g., determined in part by the source RF power level), the density of gas in the plasma (e.g., if there's a high concentration of hydrogen atoms, a significant fraction of them may recombine to form H2 before reaching the reaction chamber 310), and other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 can be between about 1 cm and 30 cm, such as about 5 cm or about 15 cm.
  • In some embodiments, a co-reactant, which is not the primary silicon-containing precursor or a hydrogen radical, is introduced during the deposition reaction. In some implementations, the apparatus is configured to introduce the co-reactant through the second gas inlet 365, in which case the co-reactant is at least partially converted to plasma. In some implementations, the apparatus is configured to introduce the co-reactant through the showerhead 320 via the first gas inlet 355. Examples of the co-reactant include oxygen, nitrogen, ammonia, carbon dioxide, carbon monoxide, and the like. The flow rate of the co-reactant can vary over time to produce a composition gradient in a graded film.
  • FIG. 4 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some other implementations. The plasma processing apparatus 400 includes the remote plasma source 402 separated from a reaction chamber 404. The remote plasma source 402 is fluidly coupled with the reaction chamber 404 via a multiport gas distributor 406, which may also be referred to as a showerhead. Radical species are generated in the remote plasma source 402 and supplied to the reaction chamber 404. One or more silicon-containing precursors are supplied to the reaction chamber 404 downstream from the remote plasma source 402 and from the multiport gas distributor 406. The one or more silicon-containing precursors react with the radical species in a chemical vapor deposition zone 408 of the reaction chamber 404 to deposit a silicon carbide film on a surface of a substrate 412. The chemical vapor deposition zone 408 includes an environment adjacent to the surface of the substrate 412.
  • The substrate 412 is supported on a substrate support or pedestal 414. The pedestal 414 may move within the reaction chamber 404 to position the substrate 412 within the chemical vapor deposition zone 408. In the embodiment shown in FIG. 4, pedestal 414 is shown having elevated the substrate 410 within the chemical vapor deposition zone 408. The pedestal 414 may also adjust the temperature of the substrate 412 in some embodiments, which can provide some selective control over thermally activated surface reactions on the substrate 412.
  • FIG. 4 shows a coil 418 arranged around the remote plasma source 402, where the remote plasma source 402 includes an outer wall (e.g., quartz dome). The coil 418 is electrically coupled to a plasma generator controller 422, which may be used to form and sustain plasma within a plasma region 424 via inductively coupled plasma generation. In some implementations, the plasma generator controller 422 may include a power supply for supplying power to the coil 418, where the power can be in a range between about 1 and 6 kilowatts (kW) during plasma generation. In some implementations, electrodes or antenna for parallel plate or capacitively coupled plasma generation may be used to generate a continuous supply of radicals via plasma excitation rather than inductively coupled plasma generation. Regardless of the mechanism used to ignite and sustain the plasma in the plasma region 424, radical species may continuously be generated using plasma excitation during film deposition. In some implementations, hydrogen radicals are generated under approximately steady-state conditions during steady-state film deposition, though transients may occur at the beginning and end of film deposition.
  • A supply of hydrogen radicals may be continuously generated within the plasma region 424 while hydrogen gas or other source gas is being supplied to the remote plasma source 402. Excited hydrogen radicals may be generated in the remote plasma source 402. If not re-excited or re-supplied with energy, or re-combined with other radicals, the excited hydrogen radicals lose their energy, or relax. Thus, excited hydrogen radicals may relax to form hydrogen radicals in a substantially low energy state or ground state.
  • The hydrogen gas or other source gas may be diluted with one or more additional gases. These one or more additional gases may be supplied to the remote plasma source 402. In some implementations, the hydrogen gas or other source gas is mixed with one or more additional gases to form a gas mixture, where the one or more additional gases can include a carrier gas. Non-limiting examples of additional gases can include helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), and nitrogen (N2). The one or more additional gases may support or stabilize steady-state plasma conditions within the remote plasma source 402 or aid in transient plasma ignition or extinction processes. In some implementations, diluting hydrogen gas or other source gas with helium, for example, may permit higher total pressures without concomitant plasma breakdown. Put another way, a dilute gas mixture of hydrogen gas and helium may permit higher total gas pressure without increasing plasma power to the remote plasma source 402. As shown in FIG. 4, a source gas supply 426 is fluidly coupled with the remote plasma source 402 for supplying the hydrogen gas or source gas. In addition, an additional gas supply 428 is fluidly coupled with the remote plasma source 402 for supplying the one or more additional gases. The one or more additional gases may also include a co-reactant gas as described above. While the embodiment in FIG. 4 depicts the gas mixture of the source gas and the one or more additional gases being introduced through separate gas outlets, it will be understood that the gas mixture may be introduced directly into the remote plasma source 402. That is, a pre-mixed dilute gas mixture may be supplied to the remote plasma source 402 through a single gas outlet.
  • Gases, such as excited hydrogen and helium radicals and relaxed gases/radicals, flow out of the remote plasma source 402 and into the reaction chamber 404 via multiport gas distributor 406. Gases within the multiport gas distributor 406 and within the reaction chamber 404 are generally not subject to continued plasma excitation therein. In some implementations, the multiport gas distributor 406 includes an ion filter and/or a photon filter. Filtering ions and/or photons may reduce substrate damage, undesirable re-excitation of molecules, and/or selective breakdown or decomposition of silicon-containing precursors within the reaction chamber 404. Multiport gas distributor 406 may have a plurality of gas ports 434 to diffuse the flow of gases into the reaction chamber 404. In some implementations, the plurality of gas ports 434 may be mutually spaced apart. In some implementations, the plurality of gas ports 434 may be arranged as an array of regularly spaced apart channels or through-holes extending through a plate separating the remote plasma source 402 and the reaction chamber 404. The plurality of gas ports 434 may smoothly disperse and diffuse exiting radicals from the remote plasma source 402 into the reaction chamber 404.
  • Typical remote plasma sources are far removed from reaction vessels. Consequently, radical extinction and recombination, e.g., via wall collision events, may reduce active species substantially. In contrast, in some implementations, dimensions for the plurality of gas ports 434 may be configured in view of the mean free path or gas flow residence time under typical processing conditions to aid the free passage of radicals into the reaction chamber 404. In some implementations, openings for the plurality of gas ports 434 may occupy between about 5% and about 20% of an exposed surface area of the multiport gas distributor 406. In some implementations, the plurality of gas ports 434 may each have an axial length to diameter ratio of between about 3:1 and 10:1 or between about 6:1 and about 8:1. Such aspect ratios may reduce wall-collision frequency for radical species passing through the plurality of gas ports 434 while providing sufficient time for a majority of excited state radical species to relax to ground state radical species. In some implementations, dimensions of the plurality of gas ports 434 may be configured so that the residence time of gases passing through the multiport gas distributor 406 is greater than the typical energetic relaxation time of an excited state radical species. Excited state radical species for hydrogen source gas may be denoted by .H* in FIG. 4 and ground state radical species for hydrogen source gas may be denoted by .H in FIG. 4.
  • In some implementations, excited state radical species exiting the plurality of gas ports 434 may flow into a relaxation zone 438 contained within an interior of the reaction chamber 404. The relaxation zone 438 is positioned upstream of the chemical vapor deposition zone 408 but downstream of the multiport gas distributor 406. Substantially all or at least 90% of the excited state radical species exiting the multiport gas distributor 406 will transition into relaxed state radical species in the relaxation zone 438. Put another way, almost all of the excited state radical species (e.g., excited hydrogen radicals) entering the relaxation zone 438 become de-excited or transition into a relaxed state radical species (e.g., ground state hydrogen radicals) before exiting the relaxation zone 438. In some implementations, process conditions or a geometry of the relaxation zone 438 may be configured so that the residence time of radical species flowing through the relaxation zone 438, e.g., a time determined by mean free path and mean molecular velocity, results in relaxed state radical species flowing out of the relaxation zone 438.
  • With the delivery of radical species to the relaxation zone 438 from the multiport gas distributor 406, one or more silicon-containing precursors and/or one or more co-reactants may be introduced into the chemical vapor deposition zone 408. The one or more silicon-containing precursors may be introduced via a gas distributor or gas outlet 442, where the gas outlet 442 may be fluidly coupled with a precursor supply source 440. The relaxation zone 438 may be contained within a space between the multiport gas distributor 406 and the gas outlet 442. The gas outlet 442 may include mutually spaced apart openings so that the flow of the one or more silicon-containing precursors may be introduced in a direction parallel with gas mixture flowing from the relaxation zone 438. The gas outlet 442 may be located downstream from the multiport gas distributor 406 and the relaxation zone 438. The gas outlet 442 may be located upstream from the chemical vapor deposition zone 408 and the substrate 412. The chemical vapor deposition zone 408 is located within the interior of the reaction chamber 404 and between the gas outlet 442 and the substrate 412.
  • Substantially all of the flow of the one or more silicon-containing precursors may be prevented from mixing with excited state radical species adjacent to the multiport gas distributor 406. Relaxed or ground state radical species mix in a region adjacent to the substrate 412 with the one or more silicon-containing precursors. The chemical vapor deposition zone 408 includes the region adjacent to the substrate 412 where the relaxed or ground state radical species mix with the one or more silicon-containing precursors. The relaxed or ground state radical species mix with the one or more silicon-containing precursors in the gas phase during CVD formation of a silicon carbide film.
  • In some implementations, a co-reactant may be introduced from the gas outlet 442 and flowed along with the one or more silicon-containing precursors. The co-reactant may include a depositing additive or a non-depositing additive as described below. The co-reactant may be introduced downstream from the remote plasma source 402. The co-reactant may be supplied from the precursor supply source 440 or other source (not shown) fluidly coupled to the gas outlet 442. The co-reactant may be a carbon-containing precursor or a second silicon-containing precursor without Si—H or Si—Si bonds as described below. In some implementations, a co-reactant may be introduced from the multiport gas distributor 406 and flowed along with the radical species generated in the remote plasma source 402 and into the reaction chamber 404. This may include radicals and/or ions of a co-reactant gas provided in the remote plasma source 402. The co-reactant may be supplied from the additional gas supply 428.
  • The gas outlet 442 may be separated from the multiport gas distributor 406 by a sufficient distance to prevent back diffusion or back streaming of the one or more silicon-containing precursors. In some implementations, the gas outlet 442 may be separated from the plurality of gas ports 434 by a distance between about 0.5 inches and about 5 inches, or between about 1.5 inches and about 4.5 inches, or between about 1.5 inches and about 3 inches.
  • Process gases may be removed from the reaction chamber 404 via an outlet 448 configured that is fluidly coupled to a pump (not shown). Thus, excess silicon-containing precursors, co-reactants, radical species, and diluent and displacement or purge gases may be removed from the reaction chamber 404. In some implementations, a system controller 450 is in operative communication with the plasma processing apparatus 400. In some implementations, the system controller 450 includes a processor system 452 (e.g., microprocessor) configured to execute instructions held in a data system 454 (e.g., memory). In some implementations, the system controller 450 may be in communication with the plasma generator controller 422 to control plasma parameters and/or conditions. In some implementations, the system controller 450 may be in communication with the pedestal 414 to control pedestal elevation and temperature. In some implementations, the system controller 450 may control other processing conditions, such as RF power settings, frequency settings, duty cycles, pulse times, pressure within the reaction chamber 404, pressure within the remote plasma source 402, gas flow rates from the source gas supply 426 and the additional gas supply 428, gas flow rates from the precursor supply source 440 and other sources, temperature of the pedestal 414, and temperature of the reaction chamber 404, among others.
  • Aspects of the controller 450 of FIG. 4 described below also apply to the controller 340 of FIG. 3. The controller 450 may contain instructions for controlling process conditions for the operation of the plasma processing apparatus 400. The controller 450 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller 450 or they may be provided over a network.
  • In certain embodiments, the controller 450 controls all or most activities of the plasma processing apparatus 400 described herein. For example, the controller 450 may control all or most activities of the plasma processing apparatus 400 associated with depositing a silicon carbide film and, optionally, other operations in a fabrication flow that includes the silicon carbide film. The controller 450 may execute system control software including sets of instructions for controlling the timing, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power levels, substrate position, and/or other parameters. Other computer programs, scripts, or routines stored on memory devices associated with the controller 450 may be employed in some embodiments. To provide relatively mild reactive conditions at the environment adjacent to the substrate 412, parameters such as the RF power levels, gas flow rates to the plasma region 424, gas flow rates to the chemical vapor deposition zone 408, and timing of the plasma ignition can be adjusted and maintained by controller 450. Additionally, adjusting the substrate position may further reduce the presence of high-energy radical species at the environment adjacent to the substrate 412. In a multi-station reactor, the controller 450 may comprise different or identical instructions for different apparatus stations, thus allowing the apparatus stations to operate either independently or synchronously.
  • In some embodiments, the controller 450 may include instructions for performing operations such as flowing one or more silicon-containing precursors through the gas outlet 442 into the reaction chamber 404, providing a source gas into the remote plasma source 402, generating one or more radical species of the source gas in the remote plasma source 402, introducing the one or more radical species in a substantially low energy state from the remote plasma source 402 into the reaction chamber 404 to react with the one or more silicon-containing precursors to deposit a silicon carbide film on the substrate 412. The one or more radical species in the reaction chamber 404 in an environment adjacent to the substrate 412 may be hydrogen radicals in a ground state. In some implementations, the controller 450 may include instructions for flowing a co-reactant with the one or more silicon-containing precursors into the reaction chamber 404. The co-reactant may include a non-depositing additive or a depositing additive.
  • In some embodiments, the apparatus 400 may include a user interface associated with controller 450. The user interface may include a display screen, graphical software displays of the apparatus 400 and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • The computer program code for controlling the above operations can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals for controlling the process are output on the analog and digital output connections of the processing system.
  • In general, the methods described herein can be performed on systems including semiconductor processing equipment such as a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. In general, the electronics are referred to as the controller, which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials (e.g., silicon carbide), surfaces, circuits, and/or dies of a wafer.
  • The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • In addition to the silicon carbide deposition described herein, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • The apparatus/process described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • Co-Reactants for Improving Step Coverage
  • ALD techniques are generally employed to achieve high step coverage, where step coverage can be equal to or greater than 90%, equal to or greater than 95%, equal to or greater than 99%, or even 100%. However, deposition of silicon carbide films using ALD presents many challenges including thermodynamic challenges that can make ALD of silicon carbide films difficult to achieve. Moreover, the deposition rate of ALD is slower compared to typical CVD techniques and may not be desirable in manufacturing processes. The present disclosure relates to deposition of silicon carbide film using remote plasma CVD. Doped or undoped silicon carbide films may be deposited using a remote plasma CVD technique that achieves step coverage comparable to ALD techniques.
  • As discussed above, the deposition reaction for depositing silicon carbide films may include a co-reactant in addition to the silicon-containing precursor and the radical species. Introduction of the co-reactant may serve to increase step coverage of the silicon carbide film. The co-reactant may be flowed into a reaction chamber along with the silicon-containing precursor, where the co-reactant may be flowed downstream from a remote plasma source. For example, a gas outlet for introducing the silicon-containing precursor and the co-reactant may be positioned downstream from the remote plasma source. The remote plasma source is considered upstream from the substrate and the environment adjacent to the substrate. In some implementations, the gas outlet for introducing the silicon-containing precursor and the co-reactant may be positioned downstream from the remote plasma source and upstream from the substrate and the environment adjacent to the substrate.
  • In some implementations, the co-reactant may be a depositing additive or a non-depositing additive. As used herein, a non-depositing additive is an additive to the deposition reaction that would not otherwise deposit on its own without the presence of a silicon-containing precursor participating in the remote plasma CVD reaction. A depositing additive is an additive to the deposition reaction that would deposit on its own even without the presence of a silicon-containing precursor participating in the remote plasma CVD reaction.
  • The non-depositing additive or the depositing additive may be introduced as a second precursor in addition to the silicon-containing precursor. The second precursor has a chemistry that serves to improve the step coverage of the silicon carbide film. Step coverage of the deposited silicon carbide film may be measured with respect to one or more features of the substrate. “Features” as used herein may refer to a non-planar structure on the substrate, typically a surface being modified in a semiconductor device fabrication operation. Examples of features include trenches, vias, pads, pillars, domes, and the like. A feature typically has an aspect ratio (depth or height to width). In some implementations, the step coverage of the silicon carbide film is at least 90%, at least 95%, or at least 99%.
  • Non-Depositing Additives
  • In some implementations, the non-depositing additive is a hydrocarbon molecule. For example, the hydrocarbon molecule may be a small-chain hydrocarbon molecule with at least one double bond or at least one triple bond. In some implementations, the hydrocarbon molecule includes a carbon chain between 3 carbon atoms and 7 carbon atoms. The hydrocarbon molecule may include one or more unsaturated carbon bonds, such as one or more carbon-to-carbon double bonds or triple bonds. Thus, the hydrocarbon molecule may include an alkene or alkyne group. Examples of suitable hydrocarbon molecules include propylene, ethylene, butene, pentene, butadiene, pentadiene (e.g., 1,4 pentadiene), hexadiene, hexadiene, heptadiene, toluene, and benzene. Additional examples of suitable hydrocarbon molecules include acetylene, propyne, butyne, pentyne (e.g., 1-pentyne), and hexyne (e.g., 2-hexyne). The non-depositing additive may also be referred to as a carbon-containing precursor or non-depositing carbon-containing precursor.
  • The non-depositing additive is flowed along with one or more silicon-containing precursors as described above. Each of the silicon-containing precursors may include one or more Si—H bonds and/or Si—Si bonds. Furthermore, each of the silicon-containing precursors may include or more Si—C bonds, Si—N, and/or Si—O bonds, no C—O bonds, and no C—N bonds. Examples of silicon-containing precursors may include but are not limited to alkylcarbosilanes, siloxanes, or a silazanes. The non-depositing additive may be introduced into the reaction chamber downstream from one or more radical species. The radical species may be generated in a remote plasma source upstream from the gas outlet for introducing the non-depositing additive. The radical species may include hydrogen radicals, where the hydrogen radicals are in a substantially low energy state or ground state upon mixing or interacting with the non-depositing additive.
  • Without being limited by any theory, hydrogen radicals in a substantially low energy state or ground state may interact with the non-depositing additive. It is believed that the hydrogen radicals in the substantially low energy state or ground state may interact with the non-depositing additive to form species that are unable to deposit at reasonable temperatures, such as greater than about 50° C. or greater than about 25° C. The hydrogen radicals may interact with alkyne or alkene groups in a hydrocarbon molecule of the non-depositing additive to form activated alkanes (e.g., methane). In some instances, the hydrocarbon molecule breaks down into smaller-chain hydrocarbon molecules or radicals. Such species may be too lightweight and may have too low of a sticking coefficient to deposit on its own. In other words, the non-depositing additive may serve as a passive spectator in the deposition reaction without significantly contributing to the composition of the silicon carbide film. Without being limited by any theory, the activated alkanes may interact with the silicon-containing precursor to form a new species that has a lower sticking coefficient than the original silicon-containing precursor. The hydrocarbon molecule and byproducts of any reaction with hydrogen radicals in the substantially low energy state or ground state do not get incorporated in the silicon carbide film in a substantial amount. As used herein, a “substantial amount” with respect to incorporation of an additive in the silicon carbide film may refer to a change in atomic concentration of carbon or silicon by an amount equal to or greater than about 5% compared to deposition of the silicon carbide film without the additive. In some implementations, a percentage of C—C bonds in the silicon carbide film is equal to or less than about 2%, equal to or less than about 1%, equal to or less than about 0.5%, or even 0%.
  • In some implementations, a flow rate of the non-depositing species can be controlled. The flow rate of the non-depositing species can affect deposition conditions such as deposition rate and step coverage of the silicon carbide film. In some implementations, the flow rate of the non-depositing species is between about 1 sccm and about 50 sccm, or between about 5 sccm and about 25 sccm.
  • FIG. 5A shows a TEM image of a silicon carbide thin film deposited on substrate features without using a carbon-containing non-depositing additive. The silicon carbide film is deposited with a first precursor including a silicon-containing species but without a second precursor including a carbon-containing species. FIG. 5B shows a TEM image of a silicon carbide thin film deposited on substrate features using a carbon-containing non-depositing additive. The silicon carbide film is deposited with a first precursor including a silicon-containing species and a second precursor including a carbon-containing species.
  • Table 1 summarizes differences in deposition of the silicon carbide film between FIG. 5A and FIG. 5B with respect to step coverage. Introduction of a second precursor, particularly a carbon-containing precursor such as propylene, significantly improves the step coverage of the silicon carbide film. For example, the step coverage of the silicon carbide film is at least 95% or at least 99%. Furthermore, a film composition of the silicon carbide film is not significantly altered with introduction of the carbon-containing precursor. Film quality and film density are also substantially preserved with the introduction of the carbon-containing precursor. For example, the film density can be equal to or greater than about 2.0 g/cm3.
  • TABLE 1
    Precursors:
    silicon-containing
    precursor and
    Precursors: carbon-containing
    silicon-containing precursor
    precursor (e.g., propylene)
    Step Coverage 88.0% 100.0%
  • Depositing Additives
  • In some implementations, the depositing additive is a second silicon-containing precursor that is flowed along with a first silicon-containing precursor. The first silicon-containing precursor has one or more Si—H bonds and/or Si—Si bonds. The second silicon-containing precursor has no Si—H bonds and no Si—Si bonds. In some implementations, the second silicon-containing precursor has one or more Si—C bonds. In some implementations, the second silicon-containing precursor is an organosilicon precursor that includes an alkyl silane. Examples of organosilicon precursors that include an alkyl silane without any Si—H bonds or Si—Si bonds include but are not limited to tetramethylsilane (4MS), tetraethylsilane, tetrapropylsilane, and hexamethyldisilylpentane. In some implementations, the second silicon-containing precursor is an organosilicon precursor that includes a silazane. An example of an organosilicon precursor that includes a silazane without any Si—H bonds or Si—Si bonds includes but is not limited to hexamethyldisilazane. In some implementations, the second silicon-containing precursor is an organosilicon precursor that includes an alkyl silyl group. An example of an organosilicon precursor that includes an alkyl silyl group without any Si—H bonds or Si—Si bonds includes but is not limited to bistrimethylsilyl methane.
  • The depositing additive is flowed along with one or more first silicon-containing precursors as described above. Each of the first silicon-containing precursors may include one or more Si—H bonds and/or Si—Si bonds. Furthermore, each of the first silicon-containing precursors may include or more Si—C bonds, Si—N, and/or Si—O bonds, no C—O bonds, and no C—N bonds. Examples of first silicon-containing precursors may include but are not limited to alkylcarbosilanes, siloxanes, or a silazanes. The depositing additive may be introduced into the reaction chamber downstream from one or more radical species. The radical species may be generated in a remote plasma source upstream from the gas outlet for introducing the depositing additive. The radical species may include hydrogen radicals, where the hydrogen radicals are in a substantially low energy state or ground state upon mixing or interacting with the depositing additive (the second silicon-containing precursor).
  • Without being limited by any theory, one of the more kinetically favorable reaction mechanisms in the deposition reaction includes hydrogen abstraction, which involves selective breaking of Si—H bonds in the first silicon-containing precursor. One of the less kinetically favorable reaction mechanisms in the deposition reaction includes hydrogen substitution, which involves substitution of alkyl groups in the second silicon-containing precursor with hydrogen. The substitution results in hydrocarbon radicals that may react with activated species of the first silicon-containing precursor. By increasing a partial pressure of the second silicon-containing precursor, a reaction equilibrium is increased towards products of the hydrogen substitution reaction mechanism. It is believed that the hydrogen radicals in the substantially low energy state or ground state may interact with the depositing additive to form species that are able to deposit regardless of temperature, even for temperatures greater than about 50° C. or greater than about 25° C. Interactions with the hydrogen radicals may result in activated first silicon-containing precursors and/or activated second silicon-containing precursors (i.e., activated additive silicon-containing precursors) that can react with each other. Without being limited by any theory, one potential mechanism involves the first silicon-containing precursor being activated by hydrogen radicals, and the activated first silicon-containing precursor forming a silicon-based radical that can react with the second silicon-containing precursor to form a new depositing species and hydrocarbon radical. This new species will have a lower sticking coefficient than the original silicon-containing precursor. Accordingly, the depositing additive does not serve as a passive spectator, but can significantly contribute to the composition of the silicon carbide film. The depositing additive and byproducts of any reaction with the hydrogen radicals in the substantially low energy state or ground state may get incorporated in the silicon carbide film in a substantial amount.
  • In some implementations, a flow rate of the depositing species can be controlled. The flow rate of the depositing species can affect deposition conditions such as deposition rate and step coverage of the silicon carbide film. In some implementations, the flow rate of the depositing species is between about 25 sccm and about 200 sccm, or between about 50 sccm and about 100 sccm. In some implementations, the flow rate of the depositing species can be at least two times greater than a flow rate of the original silicon-containing precursor.
  • FIG. 6A shows a TEM image a silicon carbide thin film deposited on substrate features without using a silicon-containing depositing additive. The silicon carbide film is deposited with a first precursor including a silicon-containing species having one or more Si—H and/or Si—Si bonds and without a second precursor including a silicon-containing species having no Si—H or Si—Si bonds. FIG. 6B shows a TEM image of a silicon carbide thin film deposited on substrate features using a silicon-containing depositing additive. The silicon carbide film is deposited with a first precursor including a silicon-containing species having one or more Si—H and/or Si—Si bonds and with a second precursor including a silicon-containing species having no Si—H or Si—Si bonds.
  • Table 2 summarizes differences in deposition of the silicon carbide film between FIG. 6A and FIG. 6B with respect to step coverage. Introduction of a second precursor, particularly an organosilicon precursor with no Si—H or Si—Si bonds such as tetramethylsilane, significantly improves the step coverage of the silicon carbide film. For example, the step coverage of the silicon carbide film is at least 95% or at least 99%. A film composition of the silicon carbide film is slightly altered but not significantly altered with introduction of the second silicon-containing precursor. Film quality and film density are also substantially preserved with the introduction of the carbon-containing precursor. For example, the film density can be equal to or greater than about 2.0 g/cm3.
  • TABLE 2
    Precursors:
    first silicon-containing
    Precursors: precursor and second
    first silicon- silicon-containing
    containing precursor
    precursor (e.g., tetramethylsilane)
    Step Coverage 85.8% 99.2%
  • Structure and Properties of the Deposited Film
  • The deposited film will include silicon, carbon, and in some cases oxygen, nitrogen, and/or one or more other elements. In some embodiments, the atomic concentration of silicon is between about 15% and 45% (or about 25% to 40%), the atomic concentration of carbon is between about 10% and 50%, the atomic concentration of oxygen is between about 0% and 45%, and the atomic concentration of nitrogen is between about 0% and 45%. In one example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 25%, and the atomic concentration of carbon is about 45%. In another example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 45%, and the atomic concentration of carbon is about 25%. In another example, the film contains about 10-15% carbon and about 30-40% oxygen, both on an atomic basis. In all cases, the film contains some hydrogen. However, it will be understood that the relative atomic concentration of hydrogen will be small, e.g., equal to or less than about 5%. It will be understood that the relative atomic concentrations can vary depending on the choice of the precursor. The silicon atoms will form bonds with carbon and optionally nitrogen and/or oxygen atoms. In some embodiments, the deposited film contains more Si—O bonds than Si—C bonds. This can provide a relatively porous film with a lower dielectric constant. In some examples, the deposited film contains a ratio of Si—O bonds to Si—C bonds that is between about 0.5:1 and 3:1. In some embodiments, the deposited film contains more Si—N bonds than Si—C bonds. In some examples, the deposited film contains a ratio of Si—N bonds to Si—C bonds that is between about 0.5:1 and 3:1. In certain embodiments, the film density is between about 2 and 2.7 g/cm3.
  • In some embodiments, the internal structure of the precursor is maintained in the deposited film. This structure may preserve all or most of the Si—C, and Si—O and/or Si—N bonds, if present, in the precursor, while linking or cross-linking individual precursor moieties through bonds at locations where Si—H bonds and/or Si—Si bonds existed in the precursor molecules and/or through additional condensation reactions on the growing surface if sufficient thermal energy is provided.
  • The process conditions described earlier herein can provide a film structure that is highly conformal. The relatively mild process conditions can minimize the degree of ion bombardment at the surface of the substrate so that the deposition lacks directionality. Moreover, the relatively mild process conditions can reduce the number of radicals with high sticking coefficients that would have a tendency to stick to the sidewalls of previously deposited layers or films. In certain embodiments, for an aspect ratio of about 2:1 to 10:1, the silicon carbide film may be deposited with a conformality of between about 25% and 100%, more typically between about 50% and 100%, and even more typically between about 80% and 100%. Conformality may be calculated by comparing the average thickness of a deposited film on a bottom, sidewall, or top of a feature to the average thickness of a deposited film on a bottom, sidewall, or top of a feature. For example, conformality may be calculated by dividing the average thickness of the deposited film on the sidewall by the average thickness of the deposited film at the top of the feature and multiplying it by 100 to obtain a percentage. For certain applications, a conformality of between about 85% and 95% is sufficient. In some examples depositing silicon carbide on features having an aspect ratio of between about 2:1 and about 4:1, the conformality is at least about 90%. Certain BEOL (back end of line) processes fall into this category. In some examples depositing silicon carbide on features having an aspect ratio of between about 4:1 and about 6:1, the conformality is at least about 80%. Certain spacer deposition processes fall into this category. In some examples depositing silicon carbide on features having an aspect ratio of between about 7:1 and about 10:1 (and even higher), the conformality is at least about 90%. Certain DRAM (dynamic random access memory) fabrication processes fall into this category.
  • The process conditions can also provide a film structure with a high breakdown voltage and a low leakage current. By introducing a limited amount of oxygen or nitrogen into a SiC class of material, leakage paths provided by Si—H bonds and/or Si—CH2—Si bonds may be blocked by oxygen or nitrogen. The mode of conduction may be different in Si—O and Si—N at low fields. This can provide improved electrical properties while maintaining a relatively low dielectric constant. In various embodiments, the film has an effective dielectric constant of about 5 or lower, or about 4.0 or lower, and in some cases about 3.5 or lower, and some cases about 3.0 or lower, and in still other implementations about 2.5 or lower. The effective dielectric constant can depend on the bonding and density. In certain embodiments, SiOC films are made with a dielectric constant of 6 or greater, particularly when the carbon content is relatively high. If leakage current is an important consideration, SiOC needs to be <5. The lower you go, the worse will be its hermetic and barrier and thermal resistance properties. In some embodiments, where applications demand low hermeticity and diffusion limits, excellent etch resistance, thermal stability etc., the silicon carbide film may be made dense and highly cross-linked. This can be accomplished by, for example, a) depositing the film at a relatively high temperature, and/or b) providing a relatively high radicals:precursor ratio. In some embodiments, the silicon carbide film can be relatively thin and yet serve as an effective hermetic or diffusion barrier.
  • In some embodiments, the deposited film can be porous. As discussed earlier herein, the silicon-containing precursors can include cyclic siloxanes and caged siloxanes. These precursors, and others that have significant interior open space, can introduce significant porosity into the structure of the deposited film. Porosity in the deposited film can further lower the dielectric constant. In some embodiments, the porosity of the deposited silicon carbide film is between about 20% and 50%. The pore size of porous film may track that of the cyclic or caged precursor. In certain embodiments, the film's average pore size is between about 5 Å and 20 Å, such as about 16 Å.
  • Applications
  • The present disclosure may be further understood by reference to the following applications for high-quality silicon carbide films, which applications are intended to be purely illustrative. The present disclosure is not limited in scope by the specified applications, which are simply illustrations of aspects of the present disclosure.
  • In some embodiments, a silicon carbide film may be deposited over exposed copper. In some embodiments in depositing the silicon carbide film, reaction conditions adjacent to the substrate can be free of oxidants, such as O2, O3, and CO2, including radicals thereof. Thus, the silicon carbide film may be deposited directly over the exposed copper without oxidizing copper (e.g., creating cupric oxide). Such films can serve as etch stop layers, which can also serve as copper diffusion barriers. The presence of the silicon carbide film can provide a sufficiently low dielectric constant with excellent leakage properties to serve as a diffusion barrier. The silicon carbide film can be an etch stop and/or diffusion barrier either by itself or as a bilayer stack (e.g., SiCO/SiNC bilayer deposited over exposed copper). In some embodiments, the silicon carbide film can be placed in between adjacent metallization layers that are typically produced by a damascene process. The silicon carbide film can resist etching and can be sufficiently dense to minimize the diffusion of copper ions into adjacent regions of dielectric material. In some embodiments, nitrogen may be incorporated into the film by employing nitrogen-containing precursors or plasma activating nitrogen-containing radicals, such as elemental nitrogen radicals or amine radicals.
  • In some embodiments as shown in FIG. 1B, a silicon carbide film 111 can be conformally deposited on features 112 of a substrate 110. The features 112 can be isolated or dense features, where the features 112 can have relatively small critical dimensions (CD). In some embodiments, the features can have a CD that is equal to or less than about 20 nm, equal to or less than about 10 nm, or equal to or less than about 5 nm. The height to width aspect ratio of the features 112 can be greater than 2:1, greater than 5:1, greater than 10:1, or greater than 20:1. The step coverage of the silicon carbide film 111 deposited on the features 112 is at least 90%, at least 95%, or at least 99%.
  • In some embodiments, silicon carbide film may be deposited as vertical structures adjacent to metal or semiconductor structures. Deposition of silicon carbide provides excellent step coverage along sidewalls of metal or semiconductor structures to create the vertical structures. In certain embodiments, the vertical structures may be referred to as spacers or liners.
  • FIG. 1C illustrates a cross-section of silicon carbide liners deposited on the sidewalls of a gate electrode structure of a transistor. As illustrated in FIG. 1C, the transistor can be a CMOS transistor with a silicon substrate 120 having a source 122 and a drain 123. A gate dielectric 124 can be deposited over the silicon substrate 120, and a gate electrode 125 can be deposited over the gate dielectric 124 to form the transistor. Silicon carbide spacers or liners 121 can be deposited on the sidewalls of the gate electrode 125 and gate dielectric 124.
  • In another example, FIG. 1D illustrates a cross-section of silicon carbide deposited on sidewalls of exposed copper lines in an air gap type metallization layer. Air gaps 130 can be introduced into an integrated circuit layer between copper lines 132 that can reduce the effective k-value of the layer. Silicon carbide liners 131 can be deposited on the sidewalls of the copper lines 132, and a nonconformal dielectric layer 133 can be deposited on the air gaps 130, liners 131, and copper lines 132. Examples of such air gap type metallization layers can be described in U.S. Patent Application Publication No. 2004/0232552 to Fei Wang et al., which is herein incorporated by reference in its entirety and for all purposes.
  • In some embodiments, a silicon carbide film may be deposited on the sidewalls of patterned porous dielectric materials. Ultra low-k dielectric materials can be made from a porous structure. The pores in such materials can provide areas for ingress of metal during deposition of subsequent layers, including the deposition of diffusion barriers containing a metal such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may provide a short circuit between adjacent copper metallization lines.
  • FIG. 1E illustrates a cross-section of silicon carbide film as a pore sealant for porous dielectric materials. A porous dielectric layer 142 can have a plurality of trenches or vias cut into the porous dielectric layer 142 to form pores 140. Silicon carbide film 141 can be deposited along the pores 140 to effectively seal the pores 140. Sealing the pores 140 with the silicon carbide film 141 can avoid damaging the porous dielectric layer 142 that may otherwise be incurred by other sealing techniques using a plasma. The silicon carbide film 141 can be sufficiently dense as a pore sealant and may include non-cyclic silicon-containing precursors, such as PMDSO and TMDSO. In some embodiments, an etched dielectric material such as the porous dielectric layer 142 may first be treated by a “k-recovery” process, which exposes the porous dielectric layer 142 to UV radiation and a reducing agent. This recovery process is further described in commonly owned U.S. Patent Application Publication No. 2011/0111533 to Varadaraj an et al., which is incorporated by reference herein in its entirety and for all purposes. In another “k-recovery” process, the porous dielectric layer 142 can be exposed to UV radiation and a chemical silylating agent. This recovery process is further described in commonly owned U.S. Patent Application Publication No. 2011/0117678 to Varadarajan et al., which is incorporated by reference herein in its entirety and for all purposes. After exposing the pores 140 to the recovery treatment, which makes the surface more hydrophilic and provides a monolayer of material, a layer of conformally deposited silicon carbide film 141 can be deposited to effectively seal the pores 140 of the porous dielectric layer 142.
  • In some embodiments, a silicon carbide film may be deposited as an ultra low-k dielectric material itself. Ultra low-k dielectrics are conventionally defined as those materials that have a dielectric constant lower than that of 2.5. In such configurations, the ultra low-k dielectric material of silicon carbide can be a porous dielectric layer. The pores of the dielectric layer can be introduced by using cyclic or caged precursor molecules, including the cyclic siloxanes and silsesquioxanes. In one example, the porosity of the ultra low-k dielectric layer of silicon carbide can be between about 20% and 50%. Further, the ultra low-k dielectric layer can have an average pore size of less than about 100 Å, such as between about 5 Å and 20 Å. For example, a cyclosiloxane ring can have a radius of about 6.7 Å. While increasing the number and size of the pores can lower the dielectric constant, the mechanical integrity of the dielectric layer can be compromised if it is too porous.
  • CONCLUSION
  • In the foregoing description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments are described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
  • Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims (20)

What is claimed is:
1. A method of depositing a silicon carbide film on a substrate, the method comprising:
providing a substrate in a reaction chamber;
flowing a silicon-containing precursor into the reaction chamber towards the substrate, wherein the silicon-containing precursor has (i) one or more Si—H bonds and/or Si—Si bonds, (ii) one or more Si—C bonds, Si—N, and/or Si—O bonds, (iii) no C—O bonds, and (iv) no C—N bonds;
flowing a co-reactant into the reaction chamber along with the silicon-containing precursor, wherein the co-reactant is a hydrocarbon molecule;
generating, from a hydrogen source gas, radicals of hydrogen in a remote plasma source that are generated upstream of the silicon-containing precursor and the co-reactant; and
introducing the radicals of hydrogen into the reaction chamber and towards the substrate, wherein the radicals of hydrogen are in a ground state to react with the silicon-containing precursor and the co-reactant to form a doped or undoped silicon carbide film on the substrate, wherein the doped or undoped silicon carbide film has a conformality of at least 90%.
2. The method of claim 1, wherein all or substantially all of the radicals of hydrogen in an environment adjacent to the substrate are radicals of hydrogen in the ground state.
3. The method of claim 1, wherein the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN).
4. The method of claim 1, wherein the hydrocarbon molecule has one or more carbon-to-carbon double bonds or triple bonds.
5. The method of claim 4, wherein the hydrocarbon molecule includes propylene, ethylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentyne, or hexyne.
6. The method of claim 1, wherein the co-reactant interacts with the silicon-containing precursor as a non-depositing species in the doped or undoped silicon carbide film.
7. The method of claim 1, wherein the silicon-containing precursor and the co-reactant are simultaneously flowed along the same flow path into the reaction chamber.
8. The method of claim 1, wherein the doped or undoped silicon carbide film has a conformality of at least 95%.
9. The method of claim 1, wherein the silicon-containing precursor includes an alkylcarbosilane, a siloxane, or a silazane.
10. A method of depositing a silicon carbide film on a substrate, the method comprising:
providing a substrate in a reaction chamber;
flowing a first organosilicon precursor into the reaction chamber, wherein the first organosilicon precursor has (i) one or more Si—H bonds and/or Si—Si bonds, and (ii) one or more Si—C bonds, Si—N bonds, and/or Si—O bonds;
flowing a second organo silicon precursor into the reaction chamber, wherein the second organosilicon precursor includes (i) no Si—H bonds and (ii) no Si—Si bonds;
generating, from a hydrogen source gas, radicals of hydrogen in a remote plasma source that are generated upstream of the first organosilicon precursor and the second organosilicon precursor; and
introducing the radicals of hydrogen into the reaction chamber and towards the substrate, wherein the radicals of hydrogen are in a ground state to react with the first organosilicon precursor and the second organosilicon precursor to form a doped or undoped silicon carbide film on the substrate.
11. The method of claim 10, wherein all or substantially all of the radicals of hydrogen are radicals of hydrogen in the ground state.
12. The method of claim 10, wherein the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN).
13. The method of claim 10, wherein a flow rate of the second organosilicon precursor is at least two times greater than a flow rate of the first organosilicon precursor.
14. The method of claim 10, wherein a flow rate of the second organosilicon precursor is between about 25 sccm and about 200 sccm.
15. The method of claim 10, wherein the doped or undoped silicon carbide film has a conformality of at least 95%.
16. The method of claim 10, wherein the second organosilicon precursor includes tetramethylsilane (4MS).
17. The method of claim 10, wherein the second organosilicon precursor interacts with the first organosilicon precursor as a depositing species in the doped or undoped silicon carbide film.
18. The method of claim 10, wherein the first organosilicon precursor and the second organosilicon precursor are simultaneously flowed along the same flow path into the reaction chamber.
19. The method of claim 10, wherein each of the first organosilicon precursor and the second organosilicon precursor is flowed downstream from the remote plasma source.
20. The method of claim 10, further comprising:
flowing a co-reactant from the remote plasma source and upstream of the first organosilicon precursor and the second organosilicon precursor to provide radicals and/or ions of the co-reactant, wherein the co-reactant includes carbon dioxide (CO2), carbon monoxide (CO), water (H2O), methanol (CH3OH), oxygen (O2), ozone (O3), nitrogen (N2), nitrous oxide (N2O), ammonia (NH3), or mixtures thereof.
US16/044,357 2012-06-12 2018-07-24 Conformal deposition of silicon carbide films using heterogeneous precursor interaction Abandoned US20180347035A1 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US16/044,357 US20180347035A1 (en) 2012-06-12 2018-07-24 Conformal deposition of silicon carbide films using heterogeneous precursor interaction
PCT/US2019/042821 WO2020023385A1 (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interaction
KR1020237019109A KR20230088843A (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interaction
CN201980049405.5A CN112469846B (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interactions
KR1020217005460A KR102542281B1 (en) 2018-07-24 2019-07-22 Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions
CN202311302407.5A CN117660941A (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interactions
TW108125985A TW202018115A (en) 2018-07-24 2019-07-23 Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US18/175,513 US20230203646A1 (en) 2012-06-12 2023-02-27 Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13/494,836 US10211310B2 (en) 2012-06-12 2012-06-12 Remote plasma based deposition of SiOC class of films
US13/907,699 US9234276B2 (en) 2013-05-31 2013-05-31 Method to obtain SiC class of films of desired composition and film properties
US14/616,435 US10325773B2 (en) 2012-06-12 2015-02-06 Conformal deposition of silicon carbide films
US16/044,357 US20180347035A1 (en) 2012-06-12 2018-07-24 Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/616,435 Continuation-In-Part US10325773B2 (en) 2012-06-12 2015-02-06 Conformal deposition of silicon carbide films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/175,513 Continuation US20230203646A1 (en) 2012-06-12 2023-02-27 Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Publications (1)

Publication Number Publication Date
US20180347035A1 true US20180347035A1 (en) 2018-12-06

Family

ID=64458615

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/044,357 Abandoned US20180347035A1 (en) 2012-06-12 2018-07-24 Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US18/175,513 Pending US20230203646A1 (en) 2012-06-12 2023-02-27 Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/175,513 Pending US20230203646A1 (en) 2012-06-12 2023-02-27 Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Country Status (1)

Country Link
US (2) US20180347035A1 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190296146A1 (en) * 2018-03-21 2019-09-26 Kabushiki Kaisha Toshiba Semiconductor device, method for manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
WO2020023385A1 (en) * 2018-07-24 2020-01-30 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10580690B2 (en) 2016-11-23 2020-03-03 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2021041369A1 (en) * 2019-08-26 2021-03-04 Applied Materials, Inc. Low-k films
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US11063218B2 (en) 2019-08-12 2021-07-13 Samsung Electronics Co., Ltd. Method of fabricating semiconductor devices using a two-step gap-fill process
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20220098728A1 (en) * 2020-09-29 2022-03-31 Applied Materials, Inc. Method of in situ ceramic coating deposition
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
US20220336212A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Catalytic thermal deposition of carbon-containing materials
WO2023069965A1 (en) * 2021-10-21 2023-04-27 Versum Materials Us, Llc Silanols and silanediols
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11877437B2 (en) 2020-08-21 2024-01-16 SK Hynix Inc. Semiconductor device with low-k spacer
US11970777B2 (en) 2022-06-24 2024-04-30 Applied Materials, Inc. Deposition of low-k films

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US10580690B2 (en) 2016-11-23 2020-03-03 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
US20190296146A1 (en) * 2018-03-21 2019-09-26 Kabushiki Kaisha Toshiba Semiconductor device, method for manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator
US10714610B2 (en) * 2018-03-21 2020-07-14 Kabushiki Kaisha Toshiba Semiconductor device, method for manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020023385A1 (en) * 2018-07-24 2020-01-30 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11063218B2 (en) 2019-08-12 2021-07-13 Samsung Electronics Co., Ltd. Method of fabricating semiconductor devices using a two-step gap-fill process
WO2021041369A1 (en) * 2019-08-26 2021-03-04 Applied Materials, Inc. Low-k films
US11186909B2 (en) 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US11877437B2 (en) 2020-08-21 2024-01-16 SK Hynix Inc. Semiconductor device with low-k spacer
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
US20220098728A1 (en) * 2020-09-29 2022-03-31 Applied Materials, Inc. Method of in situ ceramic coating deposition
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
US11682554B2 (en) * 2021-04-20 2023-06-20 Applied Materials, Inc. Catalytic thermal deposition of carbon-containing materials
WO2022225829A1 (en) * 2021-04-20 2022-10-27 Applied Materials, Inc. Catalytic thermal deposition of carbon-containing materials
US20220336212A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Catalytic thermal deposition of carbon-containing materials
WO2023069965A1 (en) * 2021-10-21 2023-04-27 Versum Materials Us, Llc Silanols and silanediols
US11970777B2 (en) 2022-06-24 2024-04-30 Applied Materials, Inc. Deposition of low-k films

Also Published As

Publication number Publication date
US20230203646A1 (en) 2023-06-29

Similar Documents

Publication Publication Date Title
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US11894227B2 (en) Conformal deposition of silicon carbide films
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
US9837270B1 (en) Densification of silicon carbide film using remote plasma treatment
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10297442B2 (en) Remote plasma based deposition of graded or multi-layered silicon carbide film
KR102515238B1 (en) Conformal deposition of silicon carbide films
KR102492447B1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
US11848199B2 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR102542281B1 (en) Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions
KR102615163B1 (en) Remote plasma-based deposition of silicon carbide films using silicon-containing precursors and carbon-containing precursors
TWI837151B (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WEIMER, MATTHEW SCOTT;VARADARAJAN, BHADRI N.;GONG, BO;AND OTHERS;SIGNING DATES FROM 20180719 TO 20180720;REEL/FRAME:046914/0391

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED

STCV Information on status: appeal procedure

Free format text: APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER

STCV Information on status: appeal procedure

Free format text: EXAMINER'S ANSWER TO APPEAL BRIEF MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION