TWI837151B - Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors - Google Patents

Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors Download PDF

Info

Publication number
TWI837151B
TWI837151B TW108125937A TW108125937A TWI837151B TW I837151 B TWI837151 B TW I837151B TW 108125937 A TW108125937 A TW 108125937A TW 108125937 A TW108125937 A TW 108125937A TW I837151 B TWI837151 B TW I837151B
Authority
TW
Taiwan
Prior art keywords
silicon
silicon carbide
substrate
carbon
containing precursor
Prior art date
Application number
TW108125937A
Other languages
Chinese (zh)
Other versions
TW202016340A (en
Inventor
巴德里 N 凡拉德拉彥
馬修 史考特 韋默
卡爾波卡 休厄德 拉揚 薩維斯拉
龔伯
桂喆
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/044,371 external-priority patent/US20180330945A1/en
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202016340A publication Critical patent/TW202016340A/en
Application granted granted Critical
Publication of TWI837151B publication Critical patent/TWI837151B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A doped or undoped silicon carbide film can be deposited using a remote plasma chemical vapor deposition (CVD) technique. One or more silicon-containing precursors are provided to a reaction chamber. Radical species, such as hydrogen radical species, are provided in a substantially low energy state or ground state and interact with the one or more silicon-containing precursors to deposit the silicon carbide film. A carbon-containing precursor may be flowed with the one or more silicon-containing precursors, where the carbon-containing precursor has one or more carbon-to-carbon double bonds or triple bonds and each silicon-containing precursor is a silane-based precursor with at least a silicon atom having two or more hydrogen atoms bonded to the silicon atom.

Description

使用含矽及含碳前驅物的基於遠端電漿之矽碳化物膜沉積Remote plasma-based silicon carbide film deposition using silicon- and carbon-containing precursors

本文之實施例係關於使用含矽及含碳前驅物的基於遠端電漿之矽碳化物膜沉積。Embodiments described herein relate to remote plasma based silicon carbide film deposition using silicon-containing and carbon-containing precursors.

薄膜中的矽碳化物(SiC)類別具有獨特的物理、化學、及機械特性且係用於各種應用中,尤其係用於積體電路應用中。SiC薄膜的種類包含摻雜氧之矽碳化物(亦公知為矽之碳氧化物)、摻雜氮的矽碳化物(亦公知為矽之碳氮化物)、及摻雜氧與氮的矽碳化物(亦公知為矽之碳氮氧化物)、以及未經摻雜之矽碳化物。Silicon carbide (SiC) types in thin films have unique physical, chemical, and mechanical properties and are used in a variety of applications, especially in integrated circuit applications. Types of SiC thin films include oxygen-doped silicon carbide (also known as silicon oxycarbide), nitrogen-doped silicon carbide (also known as silicon carbonitride), and oxygen- and nitrogen-doped silicon carbide (also known as silicon oxycarbonitride), as well as undoped silicon carbide.

此處所提供之先前技術說明係為了大體上介紹本發明之背景。在此先前技術章節中所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。The prior art description provided here is for the purpose of generally introducing the background of the present invention. The achievements of the inventors named in this case within the scope described in this prior art section, as well as the embodiments of the specification that are not qualified as prior art at the time of application, are not intended or implied to be admitted as prior art against the present invention.

本文提供一種在基板上沉積矽碳化物膜的方法。該方法包含:提供一基板於反應腔室中;使一含矽前驅物流入該反應腔室中並朝向該基板流動;以及使一共反應物與該含矽前驅物一起流入該反應腔室中。該含矽前驅物具有至少兩個與矽原子鍵結的氫原子,且該共反應物為一烴分子。該方法更包含:在一遠端電漿源中由一氫源氣體產生氫之自由基,氫之該等自由基係在該含矽前驅物及該共反應物的上游產生;以及將氫之該等自由基導入該反應腔室中並導向該基板,其中氫之該等自由基係處於基態以與該含矽前驅物及該共反應物進行反應,從而在該基板上形成經摻雜或未經摻雜之矽碳化物膜。A method for depositing a silicon carbide film on a substrate is provided herein. The method comprises: providing a substrate in a reaction chamber; flowing a silicon-containing precursor into the reaction chamber and toward the substrate; and flowing a co-reactant into the reaction chamber together with the silicon-containing precursor. The silicon-containing precursor has at least two hydrogen atoms bonded to silicon atoms, and the co-reactant is a hydrocarbon molecule. The method further comprises: generating hydrogen radicals from a hydrogen source gas in a remote plasma source, the hydrogen radicals being generated upstream of the silicon-containing precursor and the co-reactant; and introducing the hydrogen radicals into the reaction chamber and toward the substrate, wherein the hydrogen radicals are in a ground state to react with the silicon-containing precursor and the co-reactant to form a doped or undoped silicon carbide film on the substrate.

在某些實施例中,在鄰近該基板之環境中的所有或實質上所有的氫之該等自由基為處於基態的氫之自由基。在某些實施例中,該烴分子具有一或更多碳與碳之雙鍵或三鍵。該烴分子可包含丙烯、丁烯、戊烯、丁二烯、戊二烯、己二烯、庚二烯、甲苯、苯、乙炔、丙炔、丁炔、戊炔、或己炔。在某些實施例中,該含矽前驅物包含矽烷、二矽烷、三矽烷、甲基矽烷、或二甲基矽烷。在某些實施例中,經摻雜或未經摻雜之該矽碳化物膜不具有C-C鍵結或實質上不具有C-C鍵結。在某些實施例中,該方法更包含:在該遠端電漿源中與該氫源氣體一起提供一氮化劑,其中該氮化劑之自由基係在該遠端電漿源中產生;以及將該氮化劑之該等自由基與氫之該等自由基一起導入該反應腔室中並導向該基板,其中該氮化劑及氫之該等自由基與該含矽前驅物及該含共反應物進行反應而形成碳氮化矽(SiCN)膜。該SiCN膜不具有C-C鍵結或實質上不具有C-C鍵結,且不具有C-N鍵結或實質上不具有C-N鍵結。在某些實施例中,該方法更包含:在該遠端電漿源中與該氫源氣體一起提供一氧化劑,其中該氧化劑之自由基係在該遠端電漿源中產生;以及將該氧化劑之該等自由基與氫之該等自由基一起導入該反應腔室中並導向該基板,其中該氧化劑及氫之該等自由基與該含矽前驅物及該共反應物進行反應而形成碳氧化矽(SiCO)膜。該SiCO膜不具有C-C鍵結或實質上不具有C-C鍵結,且不具有C-O鍵結或實質上不具有C-O鍵結。在某些實施例中,經摻雜或未經摻雜之該矽碳化物膜具有至少75%的保形性。在某些實施例中,該含矽前驅物不具有(i)C-O鍵結及(ii)C-N鍵結。In some embodiments, all or substantially all of the free radicals of hydrogen in the environment adjacent to the substrate are free radicals of hydrogen in the ground state. In some embodiments, the hydrocarbon molecule has one or more carbon-carbon double or triple bonds. The hydrocarbon molecule may include propylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentyne, or hexyne. In some embodiments, the silicon-containing precursor includes silane, disilane, trisilane, methylsilane, or dimethylsilane. In some embodiments, the doped or undoped silicon carbide film has no C-C bonding or substantially no C-C bonding. In some embodiments, the method further comprises: providing a nitriding agent together with the hydrogen source gas in the remote plasma source, wherein radicals of the nitriding agent are generated in the remote plasma source; and introducing the radicals of the nitriding agent together with the radicals of hydrogen into the reaction chamber and toward the substrate, wherein the nitriding agent and the radicals of hydrogen react with the silicon-containing precursor and the co-reactant to form a silicon carbonitride (SiCN) film. The SiCN film has no C-C bonding or substantially no C-C bonding, and has no C-N bonding or substantially no C-N bonding. In some embodiments, the method further comprises: providing an oxidant in the remote plasma source together with the hydrogen source gas, wherein free radicals of the oxidant are generated in the remote plasma source; and introducing the free radicals of the oxidant together with the free radicals of hydrogen into the reaction chamber and toward the substrate, wherein the oxidant and the free radicals of hydrogen react with the silicon-containing precursor and the co-reactant to form a silicon oxycarbide (SiCO) film. The SiCO film has no or substantially no C-C bonds and no or substantially no C-O bonds. In some embodiments, the silicon carbide film, doped or undoped, has a conformality of at least 75%. In certain embodiments, the silicon-containing precursor does not have (i) C-O bonds and (ii) C-N bonds.

以下參照圖式而進一步說明該等及其他實施態樣。These and other implementations are further described below with reference to the drawings.

在本揭示內容中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分加工之積體電路」係可互換地使用。該領域中具通常知識者將會理解:用語「部分加工之積體電路」可指涉在積體電路加工之許多階段之任一者期間的矽晶圓。用於半導體裝置產業中的晶圓或基板通常具有200 mm、或300 mm、或450 mm的直徑。以下實施方式說明假設在晶圓上實施本揭示內容。然而,本揭示內容並非如此受限。工件可為各種外形、尺寸、及材料。除了半導體晶圓之外,可利用本揭示內容的其他工件包含各種物件,例如印刷電路板等。 前言In the present disclosure, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate", and "partially processed integrated circuit" are used interchangeably. Those of ordinary skill in the art will understand that the term "partially processed integrated circuit" can refer to a silicon wafer during any of many stages of integrated circuit processing. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm, or 300 mm, or 450 mm. The following implementation description assumes that the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The workpiece can be of various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that can utilize the present disclosure include various objects, such as printed circuit boards. Introduction

半導體裝置的製造通常涉及在積體製造製程中將一或更多薄膜沉積於基板上。在製造程序的某些態樣中,利用原子層沉積(ALD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、或任何其他適合的沉積方法沉積各種類型的薄膜,如矽碳化物、矽之碳氧化物、矽之碳氮化物、及矽之碳氮氧化物。如本文所使用,用語矽碳化物包含未經摻雜或經摻雜的矽碳化物,如摻雜氧之矽碳化物(SiCO)、摻雜氮之矽碳化物(SiCN)、及摻雜氮與氧之矽碳化物(SiOCN)。對於許多情況而言,經摻雜之矽碳化物具有至多約50原子%的摻雜原子,無論該等原子為氧、氮、或其他元素之原子。摻雜程度提供期望的薄膜特性。The fabrication of semiconductor devices generally involves depositing one or more thin films on a substrate during an integrated fabrication process. In certain aspects of the fabrication process, various types of thin films, such as silicon carbide, silicon oxycarbide, silicon carbonitride, and silicon oxycarbonitride, are deposited using atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma assisted chemical vapor deposition (PECVD), or any other suitable deposition method. As used herein, the term silicon carbide includes undoped or doped silicon carbide, such as oxygen-doped silicon carbide (SiCO), nitrogen-doped silicon carbide (SiCN), and nitrogen and oxygen-doped silicon carbide (SiOCN). For many cases, the doped silicon carbide has up to about 50 atomic % of dopant atoms, whether oxygen, nitrogen, or atoms of other elements. The doping level provides the desired film properties.

用以沉積矽碳化物之前驅物分子可包含具有矽-氫(Si–H)及/或矽-矽(Si–Si)鍵結以及矽-碳(Si–C)鍵結的含矽分子。用以沉積矽之碳氧化物之前驅物分子包含具有矽-氫(Si–H)鍵結及/或矽-矽(Si–Si)鍵結、及矽-氧(Si–O)鍵結及/或矽-碳(Si–C)鍵結的含矽分子。用以沉積矽之碳氮化物的前驅物分子包含具有矽-氫(Si–H)鍵結及/或矽-矽(Si–Si)鍵結、及矽-氮(Si–N)鍵結及/或矽-碳(Si–C)鍵結的含矽分子 。用以沉積矽之碳氮氧化物之前驅物分子包含具有矽-氫(Si–H)鍵結及/或矽-矽(Si–Si)鍵結、及矽-氮(Si–N)鍵結、矽-氧(Si-O)鍵結及/或矽-碳(Si–C)鍵結的含矽分子。目前的PECVD製程可使用原位電漿處理,在原位電漿處理中直接在基板鄰近提供電漿。Precursor molecules used to deposit silicon carbide may include silicon-containing molecules having silicon-hydrogen (Si-H) and/or silicon-silicon (Si-Si) bonds and silicon-carbon (Si-C) bonds. Precursor molecules used to deposit silicon oxycarbide may include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-oxygen (Si-O) bonds and/or silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon carbonitrides include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-nitrogen (Si-N) bonds and/or silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon carbonitride oxides include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-nitrogen (Si-N) bonds, silicon-oxygen (Si-O) bonds and/or silicon-carbon (Si-C) bonds. Current PECVD processes may use in-situ plasma processing, in which plasma is provided directly adjacent to the substrate.

吾人已發現,沉積高品質的矽碳化物薄膜可能會有某些挑戰,如提供具有優異階梯覆蓋能力、低介電常數、高崩潰電壓、低漏電流、高孔隙度、及/或覆蓋裸露金屬表面而不會氧化金屬表面的薄膜。We have discovered that depositing high quality silicon carbide films can present certain challenges, such as providing films with excellent step coverage, low dielectric constant, high breakdown voltage, low leakage current, high porosity, and/or covering bare metal surfaces without oxidizing the metal surfaces.

雖然本發明不受任何特定理論所限制,但一般相信,典型PECVD製程中的電漿條件會以產生非期望影響的方式使含矽前驅物分子片段化。例如,PECVD可能會打斷前驅物分子中的Si–O及/或Si–C鍵而產生高度反應性的自由基或具有高黏滯係數的其他片段類型。所得之經摻雜之矽碳化物膜的片段可能包含具有「懸鍵」的矽、碳、及/或氧原子,意指矽、碳、及/或氧原子具有反應性之未成對的價電子。由於反應性前驅物片段可不成比例地黏附至凹陷特徵部中之側壁與其他結構的上部區域,因此前驅物分子及其片段的高黏滯係數可能沉積具有不良階梯覆蓋的矽碳化物膜。Although the present invention is not limited to any particular theory, it is generally believed that the plasma conditions in a typical PECVD process fragment the silicon-containing precursor molecules in a manner that produces undesirable effects. For example, PECVD may break Si-O and/or Si-C bonds in the precursor molecules to produce highly reactive free radicals or other fragment types with high viscosity coefficients. The resulting fragments of the doped silicon carbide film may contain silicon, carbon, and/or oxygen atoms with "dangling bonds," meaning that the silicon, carbon, and/or oxygen atoms have reactive unpaired valence electrons. The high viscosity of the precursor molecules and their fragments may deposit silicon carbide films with poor step coverage because the reactive precursor fragments may disproportionately adhere to the sidewalls and upper regions of other structures in recessed features.

懸鍵可能在經沉積之矽之碳氧化物或矽之碳氮氧化物薄膜中產生矽醇基團(Si–OH)。懸鍵亦可能在經沉積之矽之碳氮化物薄膜中產生矽烷胺基團(Si-NH2 )。歸因於該等官能基,薄膜可能具有不利的高介電常數。由於直接電漿條件傾向於自沉積薄膜擷取出碳,因此亦可能不利地影響薄膜品質。Dangling bonds may generate silanol groups (Si–OH) in the deposited silicon oxycarbide or silicon oxycarbonitride films. Dangling bonds may also generate silylamine groups (Si-NH 2 ) in the deposited silicon carbonitride films. Due to these functional groups, the films may have an undesirably high dielectric constant. Direct plasma conditions may also adversely affect film quality because they tend to extract carbon from the deposited films.

再者,懸鍵可在所沉積之矽碳化物膜中產生較多的矽-氫鍵結(Si–H)。在直接電漿沉積條件中Si–C的斷鍵可被Si–H所取代。矽碳化物膜中Si–H 鍵結的存在可能產生具有不良電特性的薄膜。例如,由於Si–H鍵結提供電子的滲漏路徑,因此Si–H鍵結的存在可能減低崩潰電壓並可能增加漏電流。Furthermore, the dangling bonds can produce more silicon-hydrogen bonds (Si–H) in the deposited silicon carbide film. Under direct plasma deposition conditions, the broken bonds of Si–C can be replaced by Si–H. The presence of Si–H bonds in silicon carbide films may produce films with undesirable electrical properties. For example, the presence of Si–H bonds may reduce the breakdown voltage and may increase the leakage current because Si–H bonds provide leakage paths for electrons.

此外,懸鍵可能導致矽碳化物膜中不受控制的化學或形態結構。在某些情況下,此類結構為具有低孔隙度或無孔隙度的緻密片段,使得薄膜具有不被接受的高介電常數。缺乏孔隙度可能係肇因於直接電漿條件打斷環矽氧烷中之Si–C及/或Si–O鍵,若非如此可在超低介電常數介電材料中提供孔隙度。Additionally, dangling bonds may result in uncontrolled chemical or morphological structures in the silicon carbide film. In some cases, such structures are dense fragments with low or no porosity, resulting in films with unacceptably high dielectric constants. The lack of porosity may result from direct plasma conditions breaking Si-C and/or Si-O bonds in the cyclosiloxane, which otherwise would provide porosity in ultra-low-k dielectric materials.

由於打斷前驅物分子的能量可為在表面處產生大量離子轟擊的低頻能量,因此在PECVD中有時使用的直接電漿條件可能導致沉積的方向性。方向性沉積亦可能導致沉積具有不良階梯覆蓋的矽碳化物膜。直接電漿為在沉積期間駐留於基板表面附近(有時僅與基板表面隔著電漿鞘)的電漿(適當濃度的電子及正離子)。Direct plasma conditions sometimes used in PECVD can result in directionality in deposition, since the energy used to break the precursor molecules can be of low frequency, producing a large number of ion bombardments at the surface. Directional deposition can also result in deposited silicon carbide films with poor step coverage. Direct plasma is a plasma (moderate concentration of electrons and positive ions) that resides near the substrate surface (sometimes separated from it by only a plasma sheath) during deposition.

典型的PECVD製程有時不適合在暴露的銅或其他金屬表面上方沉積矽碳化物膜,因為此等製程可能使金屬氧化。PECVD製程可能使用氧化劑如氧(O2 )、臭氧(O3 )、二氧化碳(CO2 )、或其他氧化物種以形成矽之碳氧化物薄膜。 沉積期間基板表面處之環境Typical PECVD processes are sometimes not suitable for depositing silicon carbide films over exposed copper or other metal surfaces because such processes may oxidize the metal. PECVD processes may use oxidants such as oxygen (O 2 ), ozone (O 3 ), carbon dioxide (CO 2 ), or other oxidizing species to form silicon oxycarbide films. The environment on the substrate surface during deposition

圖1A顯示沉積於基板上之例示性矽碳化物膜的橫剖面。可在鄰近基板100處產生相對溫和環境的製程條件下形成矽碳化物膜101。基板100可為任何晶圓、半導體晶圓、部分加工之積體電路、印刷電路板、顯示螢幕、或其他適合的工作件。用以沉積矽碳化物膜101之處理可涉及一或更多含矽前驅物,其具有一或更多Si-H鍵結及/或一或更多Si-Si鍵結。取決於待產生之經摻雜結構類型,該一或更多含矽前驅物可選用性地包含其他鍵結,如Si-C鍵結、Si-O鍵結、及/或Si-N鍵結。FIG. 1A shows a cross-section of an exemplary silicon carbide film deposited on a substrate. The silicon carbide film 101 may be formed under process conditions that produce a relatively mild environment adjacent to the substrate 100. The substrate 100 may be any wafer, semiconductor wafer, partially processed integrated circuit, printed circuit board, display screen, or other suitable workpiece. The process for depositing the silicon carbide film 101 may involve one or more silicon-containing precursors having one or more Si-H bonds and/or one or more Si-Si bonds. Depending on the type of doped structure to be produced, the one or more silicon-containing precursors may optionally include other bonds, such as Si-C bonds, Si-O bonds, and/or Si-N bonds.

圖1B–1E中繪示使用矽碳化物膜的某些應用。在某些實施例中,含矽前驅物可包含含矽-氧前驅物、含矽-氮前驅物、及/或含矽-碳前驅物。含矽-氧前驅物可包含一或更多Si–O鍵結、含矽-氮前驅物可包含一或更多Si–N鍵結、含矽-碳前驅物可包含一或更多Si–C鍵結。在某些實施例中,例如,含矽前驅物可包含具有Si–O與Si–C鍵結、或Si–N與Si–C鍵結的單一反應物A。在某些實施例中,含矽前驅物可包含具有Si–O鍵結、或Si–N鍵結的反應物B及具有Si–C鍵結的反應物C。應理解,可在本發明的範疇中使用任何數目之適當反應物。例示性含矽前驅物之化學結構係在下文中進一步詳細討論。Some applications using silicon carbide films are shown in Figures 1B-1E. In some embodiments, the silicon-containing precursor may include a silicon-oxygen-containing precursor, a silicon-nitrogen-containing precursor, and/or a silicon-carbon-containing precursor. The silicon-oxygen-containing precursor may include one or more Si-O bonds, the silicon-nitrogen-containing precursor may include one or more Si-N bonds, and the silicon-carbon-containing precursor may include one or more Si-C bonds. In some embodiments, for example, the silicon-containing precursor may include a single reactant A having Si-O and Si-C bonds, or Si-N and Si-C bonds. In some embodiments, the silicon-containing precursor may include a reactant B having a Si-O bond, or a Si-N bond, and a reactant C having a Si-C bond. It should be understood that any number of suitable reactants may be used within the scope of the present invention. The chemical structures of exemplary silicon-containing precursors are discussed in further detail below.

含矽前驅物包含一或更多Si–H鍵結及/或一或更多Si–Si鍵結。然而,應理解,另外的含矽前驅物可不必包含Si–H或Si–Si鍵結。該等另外的含矽前驅物可與具有一或更多Si–H及/或Si–Si鍵結的含矽前驅物同時提供。在沉積製程期間,Si–H鍵結及/或Si–Si鍵結被打斷並作為用於在所沉積之矽碳化物膜101中於含矽前驅物或其他前驅物之間形成鍵結之反應部位。被打斷的鍵結亦可用作在沉積期間或之後所進行之熱處理期間交聯用之部位。在反應部位處的鍵結及交聯可共同形成所得之矽碳化物膜101中的主要骨幹或基質。The silicon-containing precursor includes one or more Si-H bonds and/or one or more Si-Si bonds. However, it should be understood that the additional silicon-containing precursors may not necessarily include Si-H or Si-Si bonds. Such additional silicon-containing precursors may be provided simultaneously with the silicon-containing precursor having one or more Si-H and/or Si-Si bonds. During the deposition process, the Si-H bonds and/or Si-Si bonds are broken and used as reaction sites for forming bonds between the silicon-containing precursor or other precursors in the deposited silicon carbide film 101. The broken bonds can also be used as sites for crosslinking during the deposition period or during a subsequent heat treatment. The bonds and cross-links at the reaction sites may together form the main backbone or matrix in the resulting silicon carbide film 101.

在某些實施例中,製程條件可保留或實質上保留矽碳化物膜101之沉積膜層中的Si–C鍵結及若存在的Si–O與Si–N鍵結。因此,與基板100相鄰的反應條件提供 Si–H及/或Si–Si鍵結之選擇性斷鍵,例如自經打斷的Si–H鍵結擷取氫,但反應條件不會自Si–O鍵結擷取氧、自Si–N鍵結擷取氮、或自Si–C鍵結擷取碳。然而,導入共反應物(例如氧)可自Si–C鍵結擷取碳。應理解,可能在鄰近基板表面之環境下發生其他反應機制,包括動力學上較不利的反應機制,如取代反應。一般而言,所述之反應條件存在於基板100的暴露面處(矽碳化物膜101之沉積面)。其更可存在於基板100上方的某距離處,例如基板100上方約0.5微米至約150毫米處。事實上,前驅物之活化可於基板100上方一實質距離處以氣相發生。通常,相關的反應條件在基板100之整個暴露面上方係均勻或實質上均勻的,但某些應用可允許某種程度的變異。In certain embodiments, the process conditions may preserve or substantially preserve Si-C bonds and, if present, Si-O and Si-N bonds in the deposited film layer of the silicon carbide film 101. Thus, the reaction conditions adjacent to the substrate 100 provide for selective breaking of Si-H and/or Si-Si bonds, such as extracting hydrogen from broken Si-H bonds, but the reaction conditions do not extract oxygen from Si-O bonds, nitrogen from Si-N bonds, or carbon from Si-C bonds. However, the introduction of a co-reactant (e.g., oxygen) may extract carbon from Si-C bonds. It should be understood that other reaction mechanisms may occur in the environment adjacent to the substrate surface, including kinetically less favorable reaction mechanisms, such as substitution reactions. Generally, the reaction conditions described above exist at the exposed surface of the substrate 100 (the surface where the silicon carbide film 101 is deposited). They may further exist at a certain distance above the substrate 100, for example, from about 0.5 microns to about 150 mm above the substrate 100. In fact, the activation of the precursor may occur in the vapor phase at a substantial distance above the substrate 100. Typically, the relevant reaction conditions are uniform or substantially uniform over the entire exposed surface of the substrate 100, but some applications may allow for a certain degree of variation.

除了含矽前驅物之外,鄰近工作件(如基板100)之環境可包含一或更多自由基物種,較佳為處於實質低能態的自由基物種。此等物種的一範例包含氫自由基(亦即,氫原子自由基)。在某些實施例中,所有、或實質上所有、或實質部分的氫原子自由基可處於基態,例如鄰近工作件之至少約90%或95%的氫原子自由基係處於基態。在某些實施例中,源氣體係於載氣(例如氦)中提供。例如,氫氣可於氦載氣中以約1–10%之氫濃度提供。選擇載氣(例如氦)的壓力、分率及其他製程條件俾使氫原子以處於低能態之自由基的形式與基板100相遇而不重新結合。In addition to the silicon-containing precursor, the environment adjacent to the workpiece (such as substrate 100) may include one or more free radical species, preferably free radical species in a substantially low energy state. An example of such species includes hydrogen radicals (i.e., atomic hydrogen radicals). In some embodiments, all, or substantially all, or a substantial portion of the atomic hydrogen radicals may be in the ground state, for example, at least about 90% or 95% of the atomic hydrogen radicals of the adjacent workpiece are in the ground state. In some embodiments, the source gas is provided in a carrier gas (e.g., helium). For example, hydrogen may be provided in a helium carrier gas at a hydrogen concentration of about 1-10%. The pressure, fraction, and other process conditions of the carrier gas (e.g., helium) are selected so that the hydrogen atoms encounter the substrate 100 in the form of free radicals in a low energy state without recombination.

如他處所說明,可將氫氣供應至遠端電漿源中而產生氫原子自由基。可將遠端電漿源定位於基板表面及鄰近基板表面之環境的上游。氫原子自由基一旦產生後,即可處於激發能態。例如,處於激發能態的氫可具有至少10.2 eV的能量(第一激發態)。經激發的氫原子自由基可能造成含矽前驅物之非選擇性的分解。例如,處於激發態的氫原子自由基可輕易地打斷Si–H、Si–Si、Si–N、Si–O、及Si–C鍵結,其可能改變矽碳化物膜101的組成、或物理或電特性。在某些實施例中,當經激發的氫原子自由基失去其能量或鬆弛,經激發的氫原子自由基可變成實質低能態的氫原子自由基或基態的氫原子自由基。處於實質低能態或基態的氫原子自由基可選擇性地打斷Si–H與Si–Si鍵結並同時大致上保留Si–O、Si–N、及Si–C鍵結。在某些實施例中,可提供製程條件俾使經激發的氫原子自由基失去能量或鬆弛而形成實質低能態或基態的氫原子自由基。例如,可設計遠端電漿源或相關元件俾使自遠端電漿源擴散至基板100的滯留時間大於經激發的氫原子自由基的能量鬆弛時間。經激發的氫原子自由基的能量鬆弛時間可約等於或少於約1x10-3 秒。As described elsewhere, hydrogen gas may be supplied to a remote plasma source to generate hydrogen atomic radicals. The remote plasma source may be positioned upstream of the substrate surface and the environment adjacent to the substrate surface. Once generated, the hydrogen atomic radicals may be in an excited energy state. For example, hydrogen in an excited energy state may have an energy of at least 10.2 eV (first excited state). The excited hydrogen atomic radicals may cause non-selective decomposition of silicon-containing precursors. For example, the hydrogen atomic radicals in an excited state may easily break Si-H, Si-Si, Si-N, Si-O, and Si-C bonds, which may change the composition, or physical or electrical properties of the silicon carbide film 101. In some embodiments, when the excited hydrogen radical loses its energy or relaxes, the excited hydrogen radical may become a substantially low-energy state hydrogen radical or a ground state hydrogen radical. The substantially low-energy state or ground state hydrogen radical may selectively break Si-H and Si-Si bonds while substantially retaining Si-O, Si-N, and Si-C bonds. In some embodiments, process conditions may be provided to cause the excited hydrogen radical to lose energy or relax to form a substantially low-energy state or ground state hydrogen radical. For example, the remote plasma source or related components may be designed so that the residence time of the hydrogen radical diffused from the remote plasma source to the substrate 100 is greater than the energy relaxation time of the excited hydrogen radical. The energy relaxation time of the excited hydrogen radical may be approximately equal to or less than approximately 1×10 −3 seconds.

可藉由各種技術來實現處於基態的實質部分之氫原子自由基。設計某些設備(如下述之設備)以達到此狀態。可測試與調諧設備特徵與製程控制特徵以產生一溫和狀態,在該溫和狀態中實質部分之氫原子自由基係處於基態的。例如,可針對電漿源的下游(亦即,靠近基板100處)的帶電粒子操作與測試設備。可調諧製程與設備直到實質上無帶電物種存在於基板100附近。此外,可將設備與製程特徵調諧至開始自標準含矽前驅物形成矽碳化物膜101的一配置。選擇支持此等薄膜沉積的相對溫和條件。A substantial portion of the hydrogen atomic radicals being in the ground state can be achieved by a variety of techniques. Certain equipment, such as the equipment described below, is designed to achieve this state. Equipment characteristics and process control characteristics can be tested and tuned to produce a mild state in which a substantial portion of the hydrogen atomic radicals are in the ground state. For example, the equipment can be operated and tested for charged particles downstream of the plasma source (i.e., near the substrate 100). The process and equipment can be tuned until substantially no charged species are present near the substrate 100. In addition, the equipment and process characteristics can be tuned to a configuration that begins forming the silicon carbide film 101 from a standard silicon-containing precursor. Relatively mild conditions are selected to support the deposition of these films.

自由基物種的其他範例包含含氧物種如元素氧自由基(原子或雙原子)、含氮物種(例如元素氮自由基) (原子或雙原子)、及含N–H之自由基(例如氨自由基),其中氮係選用性地含入薄膜中。含N–H之自由基的範例包含(但不限於)甲胺、二甲胺、苯胺的自由基。上述之自由基物種可自包含氫、氮、含N–H物種、或其混合物的源氣體所產生。在某些實施例中,所沉積之薄膜之實質上所有或實質部分之原子係由前驅物分子所提供。在此等情況下,用以驅動沉積反應之低能自由基可為氫一者或不會實質上對所沉積之膜層之質量有貢獻的其他物種。在某些實施例中,如下文中所更詳細討論,可藉由遠端電漿源產生自由基物種。在某些實施例中,更高能態的一些自由基或離子可潛在地存在於晶圓平面附近。Other examples of free radical species include oxygen-containing species such as elemental oxygen radicals (atomic or diatomic), nitrogen-containing species (e.g., elemental nitrogen radicals) (atomic or diatomic), and N-H-containing radicals (e.g., amine radicals), wherein nitrogen is optionally incorporated into the film. Examples of N-H-containing radicals include, but are not limited to, radicals of methylamine, dimethylamine, and aniline. The above-mentioned free radical species can be generated from a source gas containing hydrogen, nitrogen, N-H-containing species, or a mixture thereof. In some embodiments, substantially all or a substantial portion of the atoms of the deposited film are provided by precursor molecules. In such cases, the low-energy free radicals used to drive the deposition reaction can be hydrogen or other species that do not substantially contribute to the quality of the deposited film. In some embodiments, as discussed in more detail below, free radical species may be generated by a remote plasma source. In some embodiments, some free radicals or ions in higher energy states may potentially exist near the wafer plane.

在某些實施例中,製程條件使用處於足以打斷Si–H鍵結及/或Si–Si鍵結並同時實質上保留Si–O、Si–N、及Si–C鍵結之實質低能態的自由基物種。此等製程條件可能不具有顯著數量之處於高能態(例如高於基態之能態)的離子、電子、或自由基物種。在某些實施例中,在與薄膜相鄰之區域中的離子濃度係不大於約107 /cm3 。顯著數量之離子或高能自由基的存在傾向於打斷Si–O、Si–N、及Si–C鍵結,其可能產生具有非所欲之電特性(例如高介電常數及/或低崩潰電壓)及不良保形性的薄膜。一般相信,過度反應性之環境產生具有高黏滯係數(代表以化學或物理方式黏附至工作件側壁的傾向)的反應性前驅物片段,其導致不良的保形性。In some embodiments, process conditions use radical species in substantially low energy states sufficient to break Si-H bonds and/or Si-Si bonds while substantially preserving Si-O, Si-N, and Si-C bonds. Such process conditions may not have a significant number of ions, electrons, or radical species in high energy states (e.g., energy states above the ground state). In some embodiments, the ion concentration in the region adjacent to the film is no greater than about 10 7 /cm 3 . The presence of significant numbers of ions or high energy radicals tends to break Si-O, Si-N, and Si-C bonds, which may produce a film with undesirable electrical properties (e.g., high dielectric constant and/or low breakdown voltage) and poor conformality. It is generally believed that an overly reactive environment produces reactive precursor fragments with high viscosity coefficients (representing the tendency to chemically or physically adhere to the sidewalls of the workpiece), which leads to poor conformality.

含矽前驅物通常利用其他物種(尤其是載氣)輸送至鄰近基板100之環境中。在某些實施例中,含矽前驅物係與自由基物種及其他物種(包含其他反應性物種及/或載氣)一起存在。在某些實施例中,含矽前驅物可以混合物的形式導入。在沉積反應表面的上游,含矽前驅物可與惰性載氣混合。例示性的惰性載氣包含(但不限於)氬(Ar)及氦(He)。此外,可以具有主要與次要物種的混合物將含矽前驅物導入,其中次要物種包含以相對低濃度存在於矽碳化物膜101中的某些元素或結構特徵(如環形結構、籠形結構、不飽和鍵結等)。然而,應理解,次要物種可能不會顯著地影響矽碳化物膜101之組成及結構特徵。多種前驅物可以等莫耳的方式存在、或以適合在所得之矽碳化物膜101中形成主要骨幹或基質的相對類似比例存在。在其他實施例中,不同前驅物的相對量係實質上偏離等體積莫耳濃度。The silicon-containing precursor is typically transported to an environment adjacent to the substrate 100 using other species, particularly a carrier gas. In some embodiments, the silicon-containing precursor is present with radical species and other species, including other reactive species and/or a carrier gas. In some embodiments, the silicon-containing precursor may be introduced as a mixture. Upstream of the deposition reaction surface, the silicon-containing precursor may be mixed with an inert carrier gas. Exemplary inert carrier gases include, but are not limited to, argon (Ar) and helium (He). In addition, the silicon-containing precursor may be introduced with a mixture of major and minor species, wherein the minor species include certain elements or structural features (such as ring structures, cage structures, unsaturated bonds, etc.) that are present in relatively low concentrations in the silicon carbide film 101. However, it should be understood that the minor species may not significantly affect the composition and structural characteristics of the silicon carbide film 101. The various precursors may be present in equimolar amounts or in relatively similar proportions suitable to form the primary backbone or matrix in the resulting silicon carbide film 101. In other embodiments, the relative amounts of the different precursors deviate substantially from equimolar concentrations by volume.

在某些實施例中,一或更多含矽前驅物提供了矽碳化物膜101之實質所有質量,僅有來自遠端電漿之少量的氫或其他元素提供薄膜質量之少於約5原子%或少於約2原子%。在某些實施例中,僅有自由基物種及該一或多種含矽前驅物對所沉積之矽碳化物膜101的組成有貢獻。在其他實施例中,沉積反應包含非一或更多含矽前驅物和自由基物種的共反應物,其可能會或不會對所沉積之矽碳化物膜101的組成有貢獻。此類共反應物的範例包含二氧化碳(CO2 )、一氧化碳(CO)、水(H2 O)、甲醇(CH3 OH)、氧(O2 )、臭氧(O3 )、氮(N2 )、一氧化二氮(N2 O)、氨(NH3 )、二氮烯(N2 H2 )、甲烷(CH4 )、乙烷(C2 H6 )、乙炔(C2 H2 )、乙烯(C2 H4 )、二硼烷(B2 H6 )、及其組合。此類材料可用以作為氮化劑、氧化劑、反應物等。在某些情況下,藉由移除或加入含矽前驅物所提供之部分碳,其可用以調諧沉積薄膜中的碳量。在使用非氫之共反應物的某些實施例中,共反應物係藉由與含矽前驅物相同的流動路徑而被導入反應腔室中;例如包含氣體出口或噴淋頭且通常不直接暴露於電漿的路徑。在某些實施例中,在沉積期間使氧及/或二氧化碳與前驅物一起導入,以藉由自薄膜或前驅物移除碳而改變矽碳化物膜101的組成。在使用非氫之共反應物的某些實施例中,共反應物係藉由與氫相同的流動路徑而被導入反應室中,因此至少部分共反應物被轉化為自由基及/或離子。在此等實施例中,氫自由基與共反應物自由基兩者皆與(複數)含矽前驅物反應而產生沉積的矽碳化物膜101。In some embodiments, the one or more silicon-containing precursors provide substantially all of the mass of the silicon carbide film 101, with only a small amount of hydrogen or other elements from the remote plasma providing less than about 5 atomic % or less than about 2 atomic % of the film mass. In some embodiments, only radical species and the one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film 101. In other embodiments, the deposition reaction includes co-reactants other than the one or more silicon-containing precursors and the radical species, which may or may not contribute to the composition of the deposited silicon carbide film 101. Examples of such co-reactants include carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), nitrogen (N 2 ), nitrous oxide (N 2 O), ammonia (NH 3 ), diazene (N 2 H 2 ), methane (CH 4 ), ethane (C 2 H 6 ), acetylene (C 2 H 2 ), ethylene (C 2 H 4 ), diborane (B 2 H 6 ), and combinations thereof. Such materials can be used as nitriding agents, oxidizing agents, reactants, etc. In some cases, it can be used to tune the amount of carbon in the deposited film by removing or adding a portion of the carbon provided by the silicon-containing precursor. In certain embodiments using a non-hydrogen co-reactant, the co-reactant is introduced into the reaction chamber through the same flow path as the silicon-containing precursor; for example, a path that includes a gas outlet or showerhead and is not typically directly exposed to the plasma. In certain embodiments, oxygen and/or carbon dioxide are introduced with the precursor during deposition to change the composition of the silicon carbide film 101 by removing carbon from the film or precursor. In certain embodiments using a non-hydrogen co-reactant, the co-reactant is introduced into the reaction chamber through the same flow path as hydrogen, so that at least a portion of the co-reactant is converted to free radicals and/or ions. In these embodiments, both hydrogen radicals and co-reactant radicals react with the silicon-containing precursor(s) to produce the deposited silicon carbide film 101 .

在使用共反應物且其係與會被轉變為自由基之物種(例如氫)一起導入反應腔室的某些實施例中,相對於反應腔室中的其他氣體(包含自由基之來源(例如氫)及任何(複數)載氣(例如氦)),以相對少量將共反應物提供至反應腔室中。例如,共反應物可以約0.05質量%或更少、0.01質量%或更少、或0.001質量%或更少的比例存在於製程氣體中。例如,反應物混合物(進入電漿源中者)可為每分鐘約10–20升(L/m)之He、每分鐘約200–500標準立方公分(sccm)之H2 、及約1–10 sccm之氧。然而,應理解,在某些實施例中,共反應物可以約0.05質量%或更多、或1質量%或更多、或20質量%或更多的比例存在於製程氣體中。當共反應物與含矽前驅物一起被導入反應腔室(例如經由氣體出口或噴淋頭)時,其可以較高的濃度存在;例如約2質量%或更少、或約0.1質量% 或更少。當共反應物為相對弱之反應物(例如諸如二氧化碳之弱氧化劑)時,其可以甚至更高的濃度(如約10質量%或更少、或約4質量%或更少)存在。當共反應物為添加劑或額外的前驅物時,其可以甚至更高的濃度(如約10質量%或更多、或約20質量%或更多)存在。In certain embodiments where a co-reactant is used and introduced into the reaction chamber along with the species to be converted into free radicals (e.g., hydrogen), the co-reactant is provided to the reaction chamber in a relatively small amount relative to the other gases in the reaction chamber (including the source of free radicals (e.g., hydrogen) and any carrier gas(es) (e.g., helium). For example, the co-reactant may be present in the process gas in a proportion of about 0.05 mass % or less, 0.01 mass % or less, or 0.001 mass % or less. For example, the reactant mixture (which enters the plasma source) may be about 10-20 liters per minute (L/m) of He, about 200-500 standard cubic centimeters per minute (sccm) of H2 , and about 1-10 sccm of oxygen. However, it should be understood that in certain embodiments, the co-reactant may be present in the process gas at a ratio of about 0.05 mass % or more, or 1 mass % or more, or 20 mass % or more. When the co-reactant is introduced into the reaction chamber together with the silicon-containing precursor (e.g., through a gas outlet or showerhead), it may be present at a higher concentration; for example, about 2 mass % or less, or about 0.1 mass % or less. When the co-reactant is a relatively weak reactant (e.g., a weak oxidant such as carbon dioxide), it may be present at an even higher concentration (e.g., about 10 mass % or less, or about 4 mass % or less). When the co-reactant is an additive or an additional precursor, it may be present at an even higher concentration (e.g., about 10 mass % or more, or about 20 mass % or more).

在鄰近基板100之環境中的溫度可為促進沉積反應的任何合適溫度,但有時其受到包含矽碳化物膜101之裝置之應用的限制。在某些實施例中,在沉積矽碳化物膜101期間在鄰近基板100之環境中的溫度大多可藉由支撐基板100於其上之底座的溫度所控制。在某些實施例中,操作溫度可介於約50°C至約500°C之間。例如,在許多的積體電路應用中操作溫度可介於約250°C至約400°C之間。在某些實施例中,增加溫度可能導致基板表面上的交聯增加。The temperature in the environment adjacent to the substrate 100 can be any suitable temperature that promotes the deposition reaction, but is sometimes limited by the application of the device containing the silicon carbide film 101. In some embodiments, the temperature in the environment adjacent to the substrate 100 during the deposition of the silicon carbide film 101 can be largely controlled by the temperature of the base on which the substrate 100 is supported. In some embodiments, the operating temperature can be between about 50°C and about 500°C. For example, in many integrated circuit applications the operating temperature can be between about 250°C and about 400°C. In some embodiments, increasing the temperature can result in increased crosslinking on the surface of the substrate.

在鄰近基板100之環境中的壓力可為用以在反應腔室中產生反應性自由基的任何合適壓力。在某些實施例中,壓力可約為35 Torr或更低。例如,在使用微波生成之電漿的實施例中,壓力可介於約10 Torr至約20 Torr之間。在其他範例中,例如在使用射頻(RF)生成之電漿的實施例中,壓力可低於約5 Torr或介於約0.2 Torr至約5 Torr之間。The pressure in the environment adjacent to the substrate 100 can be any suitable pressure for generating reactive radicals in the reaction chamber. In some embodiments, the pressure can be about 35 Torr or less. For example, in embodiments using microwave generated plasma, the pressure can be between about 10 Torr and about 20 Torr. In other examples, such as in embodiments using radio frequency (RF) generated plasma, the pressure can be less than about 5 Torr or between about 0.2 Torr and about 5 Torr.

鄰近基板100之環境促成透過遠端電漿CVD在基板100上沉積矽碳化物膜101。將源氣體供應至遠端電漿源,並提供功率至遠端電漿源,功率可使源氣體解離並產生處於激發能態的離子及自由基。在激發之後,處於激發能態的自由基鬆弛為實質低能態之自由基或基態之自由基,例如基態之氫自由基。可藉由處於鬆弛能態之氫自由基以選擇性地打斷含矽前驅物中之鍵結。可藉由處於鬆弛能態之氫自由基以選擇性地打斷共反應物或另外的前驅物中之鍵結,俾活化共反應物或另外的前驅物。The environment adjacent to the substrate 100 facilitates deposition of a silicon carbide film 101 on the substrate 100 by remote plasma CVD. A source gas is supplied to the remote plasma source, and power is provided to the remote plasma source, which power can cause the source gas to dissociate and generate ions and radicals in an excited energy state. After excitation, the radicals in the excited energy state relax to radicals in a substantially low energy state or to radicals in a ground state, such as hydrogen radicals in a ground state. Bonds in the silicon-containing precursor can be selectively broken by hydrogen radicals in a relaxed energy state. The co-reactant or other precursor can be activated by selectively breaking bonds in the co-reactant or other precursor by hydrogen radicals in a relaxed energy state.

矽碳化物膜經常被使用於半導體裝置中。例如,經摻雜或未經摻雜的矽碳化物膜可用作金屬擴散阻障層、蝕刻停止層、硬遮罩層、針對源極與汲極佈植之閘極間隔件、磁阻式隨機存取記憶體(MRAM)或電阻式隨機存取記憶體(RRAM)之包覆障壁、及在氣隙處的氣密擴散阻障層等。圖1B–1E顯示在各種應用中包含矽碳化物膜之結構的橫剖面。圖1B顯示保形地沉積於基板之特徵部上的矽碳化物垂直薄膜。圖1C顯示電晶體之閘電極結構之側壁上的矽碳化物垂直結構。圖1D顯示在氣隙式金屬化層中之銅線之裸露側壁上的矽碳化物垂直結構。圖1E顯示多孔介電材料之矽碳化物孔隙封填物。該等應用之各者係在下文中更詳細地討論。 前驅物之化學結構Silicon carbide films are often used in semiconductor devices. For example, doped or undoped silicon carbide films can be used as metal diffusion barriers, etch stop layers, hard mask layers, gate spacers for source and drain implants, capping barriers for magnetoresistive random access memory (MRAM) or resistive random access memory (RRAM), and airtight diffusion barriers in air gaps. Figures 1B–1E show cross-sections of structures that include silicon carbide films in various applications. Figure 1B shows a vertical film of silicon carbide conformally deposited on a feature of a substrate. Figure 1C shows a silicon carbide vertical structure on the sidewall of a gate electrode structure of a transistor. Figure 1D shows a silicon carbide vertical structure on the exposed sidewall of a copper wire in an air-gap metallization layer. Figure 1E shows a silicon carbide pore filler in a porous dielectric material. Each of these applications is discussed in more detail below. Chemical Structure of Precursor

如所討論的,在形成矽碳化物膜時所使用之前驅物可包含含矽前驅物,其中至少一些含矽前驅物具有至少一Si–H及/或至少一Si–Si鍵結。在某些實施例中,含矽前驅物在每個矽原子上具多具有一氫原子。因此,例如,具有一矽原子的前驅物具有至多一氫原子鍵結至該矽原子;具有兩個矽原子的前驅物具有一氫原子鍵結至一矽原子並選用性地具有另一氫原子鍵結至第二矽原子;具有三個矽原子的前驅物具有一氫原子鍵結至一矽原子並選用性地具有一或兩個氫原子鍵結至剩餘之矽原子的一或兩者;以此類推。然而,在某些實施例中,含矽前驅物具有二或更多氫原子鍵結於一矽原子上或每一矽原子上。此外,含矽前驅物可包含至少一Si–O鍵結、至少一Si–N鍵結、及/或至少一Si–C鍵結。雖然在形成矽碳化物膜時可使用任何數目之適合前驅物,但至少部分前驅物將包含具有下列各者的含矽前驅物:至少一Si–H鍵結或Si–Si鍵結、及選用性的至少一Si–O鍵結、Si–N鍵結、及/或Si–C鍵結。在各種實施例中,(複數)含矽前驅物不包含O–C或N–C鍵結;例如(複數)前驅物不包含烷氧基(-O-R),其中R為一有機基團(例如烴基團)、或胺(-NR1 R2 )基團,其中R1 與R2 彼此獨立地為氫或有機基團。一般相信,此等基團可使其所駐留之前驅物或片段具有高黏滯係數。As discussed, the precursors used in forming the silicon carbide film may include silicon-containing precursors, wherein at least some of the silicon-containing precursors have at least one Si—H and/or at least one Si—Si bond. In certain embodiments, the silicon-containing precursors have at most one hydrogen atom bonded to each silicon atom. Thus, for example, a precursor having one silicon atom has at most one hydrogen atom bonded to that silicon atom; a precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and optionally has another hydrogen atom bonded to a second silicon atom; a precursor having three silicon atoms has one hydrogen atom bonded to one silicon atom and optionally has one or two hydrogen atoms bonded to one or both of the remaining silicon atoms; and so on. However, in some embodiments, the silicon-containing precursor has two or more hydrogen atoms bonded to one or each silicon atom. In addition, the silicon-containing precursor may include at least one Si-O bond, at least one Si-N bond, and/or at least one Si-C bond. Although any number of suitable precursors may be used in forming a silicon carbide film, at least some of the precursors will include a silicon-containing precursor having at least one Si-H bond or Si-Si bond, and optionally at least one Si-O bond, Si-N bond, and/or Si-C bond. In various embodiments, the silicon-containing precursor(s) do not contain O—C or N—C bonds; for example, the precursor(s) do not contain alkoxy (—OR), where R is an organic group (e.g., a hydrocarbon group), or amine (—NR 1 R 2 ) groups, where R 1 and R 2 are independently hydrogen or an organic group. It is generally believed that these groups can cause the precursor or fragment in which they reside to have a high viscosity coefficient.

在某些實施例中,在矽碳化物膜中所提供之碳之一部份可來自於含矽前驅物上的一或更多烴官能部分。此等官能部分可來自於烷基團、烯基團、炔基團、芳基團等。在某些實施例中,烴基團具有單一的碳原子以最小化在沉積期間打斷反應之Si–H及/或Si–Si鍵結的空間阻礙。然而,前驅物並不限於單碳基團;可使用較高數目之碳原子,例如2、3、4、5、或6個碳原子。在某些實施例中,烴基團為線性的。在某些實施例中,烴基團為環形的。In some embodiments, a portion of the carbon provided in the silicon carbide film may come from one or more hydrocarbon functional moieties on the silicon-containing precursor. Such functional moieties may come from alkyl groups, alkene groups, alkynyl groups, aryl groups, etc. In some embodiments, the hydrocarbon group has a single carbon atom to minimize steric hindrance of Si-H and/or Si-Si bonds that interrupt the reaction during deposition. However, the precursor is not limited to a single carbon group; a higher number of carbon atoms, such as 2, 3, 4, 5, or 6 carbon atoms, may be used. In some embodiments, the hydrocarbon group is linear. In some embodiments, the hydrocarbon group is cyclic.

在某些實施例中,在矽碳化物膜中所提供之碳之一部份可來自於含矽前驅物中的一或更多烴分子。此等烴分子可包含碳-碳鏈,其中可使用若干碳原子,例如2、3、4、5、6、或7個碳原子。在某些實施例中,烴分子包含一或更多碳雙鍵及/或碳三鍵。In some embodiments, a portion of the carbon provided in the silicon carbide film may come from one or more hydrocarbon molecules in the silicon-containing precursor. These hydrocarbon molecules may include carbon-carbon chains, in which a number of carbon atoms may be used, such as 2, 3, 4, 5, 6, or 7 carbon atoms. In some embodiments, the hydrocarbon molecules include one or more carbon double bonds and/or carbon triple bonds.

在某些實施例中,含矽前驅物落入一化學類別中。應理解,可使用其他化學類別之含矽前驅物且含矽前驅物並不限於下文中所討論的化學類別。In certain embodiments, the silicon-containing precursor falls into a chemical class. It should be understood that other chemical classes of silicon-containing precursors may be used and the silicon-containing precursors are not limited to the chemical classes discussed below.

在某些實施例中,含矽前驅物可為矽氧烷。在某些實施例中,矽氧烷可為環形的。環矽氧烷可包含環四矽氧烷,例如2,4,6,8-四甲基環四矽氧烷(TMCTS)、十甲基環四矽氧烷(OMCTS)、及七甲基環四矽氧烷(HMCTS)。其他環矽氧烷亦可包含(但不限於)環三矽氧烷與環五矽氧烷。使用環矽氧烷的實施例為環形結構,其可將孔隙度導入矽碳化物膜且孔隙的尺寸係對應於環的半徑。例如,環四矽氧烷之環可具有約6.7 Å之半徑。In some embodiments, the silicon-containing precursor may be a siloxane. In some embodiments, the siloxane may be cyclic. The cyclosiloxane may include cyclotetrasiloxanes, such as 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS), decamethylcyclotetrasiloxane (OMCTS), and heptamethylcyclotetrasiloxane (HMCTS). Other cyclosiloxanes may also include (but are not limited to) cyclotrisiloxane and cyclopentasiloxane. An embodiment using cyclosiloxane is a ring structure, which can introduce porosity into the silicon carbide film and the size of the pore corresponds to the radius of the ring. For example, the ring of cyclotetrasiloxane may have a radius of about 6.7 Å.

在某些實施例中,矽氧烷可具有三維或籠形結構。圖2顯示代表籠形矽氧烷前驅物的範例。籠形矽氧烷具有藉由氧原子彼此橋接而形成多面體或任何3-D結構的矽原子。籠形矽氧烷前驅物分子的範例為倍半矽氧烷。籠形矽氧烷結構係更詳細地記載於Cleemput等人之共有的美國專利第6,576,345號中,在此將其所有內容引入作為所有目的之參考。類似於環矽氧烷,籠形矽氧烷可在矽碳化物膜中導入孔隙度。在某些實施例中,孔隙度的尺度為中孔。In certain embodiments, the siloxane may have a three-dimensional or cage-like structure. FIG. 2 shows an example of a representative cage-like siloxane precursor. A cage-like siloxane has silicon atoms bridged to each other by oxygen atoms to form a polyhedron or any 3-D structure. An example of a cage-like siloxane precursor molecule is a silsesquioxane. The cage-like siloxane structure is described in more detail in U.S. Patent No. 6,576,345, co-owned by Cleemput et al., all of which is incorporated herein by reference for all purposes. Similar to cyclosiloxanes, cage-like siloxanes can introduce porosity into silicon carbide films. In certain embodiments, the porosity is on the scale of mesopores.

在某些實施例中,矽氧烷可為線性的。合適之線性矽氧烷的範例包含(但不限於)二矽氧烷(例如五甲基二矽氧烷(PMDSO)與四甲基二矽氧烷(TMDSO))、及三矽氧烷(例如六甲基三矽氧烷、七甲基三矽氧烷)。In some embodiments, the siloxane may be linear. Examples of suitable linear siloxanes include, but are not limited to, disiloxanes (e.g., pentamethyldisiloxane (PMDSO) and tetramethyldisiloxane (TMDSO)), and trisiloxanes (e.g., hexamethyltrisiloxane, heptamethyltrisiloxane).

在某些實施例中,含矽前驅物可為烷基矽烷或其他烴取代的矽烷。烷基矽烷包含中央矽原子、以及鍵結至中央矽原子的一或更多烷基團與鍵結至中央矽原子的一或更多氫原子。在某些實施例中,烷基團中的任一或多者包含 1–5 個碳原子。烴基團可為飽和或未飽和的(例如烯(如乙烯)、炔、及芳香基團)。範例包含(但不限於)三甲基矽烷(3MS)、三乙基矽烷、五甲基二矽甲烷((CH3 )2 Si-CH2 -Si(CH3 )3 )、及二甲基矽烷(2MS)。In certain embodiments, the silicon-containing precursor may be an alkylsilane or other alkyl-substituted silane. Alkylsilanes comprise a central silicon atom, and one or more alkyl groups bonded to the central silicon atom and one or more hydrogen atoms bonded to the central silicon atom. In certain embodiments, any one or more of the alkyl groups comprises 1-5 carbon atoms. The alkyl groups may be saturated or unsaturated (e.g., alkenes (such as ethylene), alkynes, and aromatic groups). Examples include, but are not limited to, trimethylsilane (3MS), triethylsilane, pentamethyldisilane ((CH 3 ) 2 Si—CH 2 —Si(CH 3 ) 3 ), and dimethylsilane (2MS).

在某些實施例中,含矽前驅物可為烷氧基矽烷。然而,在某些實施例中,應理解,含矽前驅物並非烷氧基矽烷,以避免烷氧基團的存在。烷氧基矽烷 包含中央矽原子、及鍵結至中央矽原子之一或更多烷氧基團與鍵結至中央矽原子的一或更多氫原子。範例包含(但不限於)三甲氧基矽烷(TMOS)、二甲氧基矽烷(DMOS)、甲氧基矽烷(MOS)、甲基二甲氧基矽烷(MDMOS)、二乙氧基甲基矽烷(DEMS)、二甲基乙氧基矽烷(DMES)、及二甲基甲氧基矽烷(DMMOS)。In some embodiments, the silicon-containing precursor may be an alkoxysilane. However, in some embodiments, it is understood that the silicon-containing precursor is not an alkoxysilane to avoid the presence of alkoxy groups. Alkoxysilanes include a central silicon atom, and one or more alkoxy groups bonded to the central silicon atom and one or more hydrogen atoms bonded to the central silicon atom. Examples include, but are not limited to, trimethoxysilane (TMOS), dimethoxysilane (DMOS), methoxysilane (MOS), methyldimethoxysilane (MDMOS), diethoxymethylsilane (DEMS), dimethylethoxysilane (DMES), and dimethylmethoxysilane (DMMOS).

可使用二矽烷、三矽烷、或其他較高矽烷以取代單矽烷。來自烷基矽烷類別之此類二矽烷的範例為六甲基二矽烷(HMDS)。來自烷基矽烷類別之此類二矽烷的另一範例可包含五甲基二矽烷(PMDS)。其他類型的烷基矽烷可包含烷基碳矽烷,其可具有分支聚合結構、及鍵結至矽原子之碳與鍵結至矽原子之烷基團。範例包含二甲基三甲基矽基甲烷(DTMSM)與二-二甲基矽基乙烷(BDMSE)。在某些實施例中,複數矽原子中之一者可具有與其附接的含碳或含烴基團,而該複數矽原子中的一者可具有與其附接的氫原子。Disilane, trisilane, or other higher silanes may be used in place of the monosilane. An example of such a disilane from the class of alkylsilanes is hexamethyldisilane (HMDS). Another example of such a disilane from the class of alkylsilanes may include pentamethyldisilane (PMDS). Other types of alkylsilanes may include alkylcarbosilanes, which may have a branched polymeric structure, and carbons bonded to silicon atoms and alkyl groups bonded to silicon atoms. Examples include dimethyltrimethylsilylmethane (DTMSM) and bis-dimethylsilylethane (BDMSE). In certain embodiments, one of the plurality of silicon atoms may have a carbon-containing or alkyl-containing group attached thereto, and one of the plurality of silicon atoms may have a hydrogen atom attached thereto.

在某些實施例中,含矽前驅物可為含氮化合物,例如矽-氮氫化物(例如,矽氮烷)。一般而言,此等化合物包含碳,但碳僅與矽原子鍵結,而不與氮原子鍵結。在某些實施例中,含氮化合物不具有任何的碳-氮鍵。在某些實施例中,含氮化合物不具有任何的胺官能基(-C-NR1 R2 ),其中R1 及R2 係諸如氫原子及烴基團(例如,烷基基團、烯基基團、或炔基基團)之相同或不同基團。適當之矽-氮前驅物的範例包括各種矽氮烷,例如包含下者的環形或線形矽氮烷:與一或更多矽原子鍵結的一或更多烴官能基、以及與一或更多矽原子鍵結的一或更多氫原子。矽氮烷的範例包括甲基取代二矽氮烷、及三矽氮烷,例如四甲基二矽氮烷及六甲基三矽氮烷。In some embodiments, the silicon-containing precursor may be a nitrogen-containing compound, such as a silicon-nitrogen hydride (e.g., silazane). Generally, such compounds contain carbon, but the carbon is only bonded to silicon atoms and not to nitrogen atoms. In some embodiments, the nitrogen-containing compound does not have any carbon-nitrogen bonds. In some embodiments, the nitrogen-containing compound does not have any amine functional groups (-C- NR1R2 ), wherein R1 and R2 are the same or different groups such as hydrogen atoms and alkyl groups (e.g., alkyl groups, alkenyl groups, or alkynyl groups). Examples of suitable silicon-nitrogen precursors include various silazanes, such as cyclic or linear silazanes comprising: one or more hydrocarbon functional groups bonded to one or more silicon atoms, and one or more hydrogen atoms bonded to one or more silicon atoms. Examples of silazanes include methyl-substituted disilazanes, and trisilazanes, such as tetramethyldisilazane and hexamethyltrisilazane.

在沉積矽碳化物時,在製程氣體中可存在複數含矽前驅物。例如,可一起使用矽氧烷與烷基矽烷、或可一起使用矽氧烷與烷氧基矽烷。可基於所選之前驅物的化學結構及所得之矽碳化物膜的應用而選擇個別前驅物的相對比例。例如,矽氧烷的莫耳百分比量可大於矽烷之莫耳百分比量,以產生如下所更詳細討論的多孔薄膜。When depositing silicon carbide, a plurality of silicon-containing precursors may be present in the process gas. For example, a siloxane may be used together with an alkylsilane, or a siloxane may be used together with an alkoxysilane. The relative proportions of the individual precursors may be selected based on the chemical structure of the selected precursors and the application of the resulting silicon carbide film. For example, the molar percentage amount of siloxane may be greater than the molar percentage amount of silane to produce a porous film as discussed in more detail below.

針對摻雜氧的矽碳化物膜之沉積,合適之前驅物的範例可包含環矽氧烷,例如環四矽氧烷(如七甲基環四矽氧烷(HMCTS)與四甲基環四矽氧烷)。其他環矽氧烷亦可包含(但不限於)環三矽氧烷與環五矽氧烷。針對摻雜氧的矽碳化物膜之沉積,合適之前驅物的其他範例包含線性矽氧烷,例如(但不限於)二矽氧烷,如五甲基二矽氧烷(PMDSO)、四甲基二矽氧烷(TMDSO)、六甲基三矽氧烷、及七甲基三矽氧烷。For the deposition of oxygen-doped silicon carbide films, examples of suitable precursors may include cyclosiloxanes, such as cyclotetrasiloxanes (e.g., heptamethylcyclotetrasiloxane (HMCTS) and tetramethylcyclotetrasiloxane). Other cyclosiloxanes may also include, but are not limited to, cyclotrisiloxane and cyclopentasiloxane. For the deposition of oxygen-doped silicon carbide films, other examples of suitable precursors include linear siloxanes, such as, but are not limited to, disiloxanes, such as pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), hexamethyltrisiloxane, and heptamethyltrisiloxane.

針對未經摻雜之矽碳化物膜之沉積,合適之前驅物的範例可包含經一或更多的含有例如1-5個碳原子的烷、烯、及/或炔基團取代的單矽烷。範例包含(但不限於)三甲基矽烷(3MS)、二甲基矽烷(2MS)、三乙基矽烷(TES)、及五甲基二矽甲烷。此外,可使用二矽烷、三矽烷、或其他較高矽烷以取代單矽烷。二矽烷之範例包含六甲基二矽烷(HMDS)及五甲基二矽烷(PMDS)。烷基矽烷的其他類型可包含烷基碳矽烷。範例包含二甲基三甲基矽基甲烷(DTMSM)與二-二甲基矽基乙烷(BDMSE)。For the deposition of undoped silicon carbide films, examples of suitable precursors may include monosilanes substituted with one or more alkane, alkene, and/or alkynyl groups containing, for example, 1-5 carbon atoms. Examples include, but are not limited to, trimethylsilane (3MS), dimethylsilane (2MS), triethylsilane (TES), and pentamethyldisilane. In addition, disilane, trisilane, or other higher silanes may be used to replace the monosilane. Examples of disilanes include hexamethyldisilane (HMDS) and pentamethyldisilane (PMDS). Other types of alkyl silanes may include alkyl carbosilanes. Examples include dimethyltrimethylsilylmethane (DTMSM) and di-dimethylsilylethane (BDMSE).

針對摻雜氮的矽碳化物膜之沉積,合適之前驅物的範例可包含矽氮烷例如烷基二矽氮烷、及包含分別鍵結至一或更多矽原子之胺基(-NH2)及烷基團的可能化合物。烷基二矽氮烷包含矽氮烷及鍵結至兩個矽原子的烷基團。一範例包含1,1,3,3-四甲基二矽氮烷(TMDSN)。For the deposition of nitrogen-doped silicon carbide films, examples of suitable precursors may include silazanes such as alkyldisilazanes, and possible compounds including an amine group (-NH2) and an alkyl group each bonded to one or more silicon atoms. Alkyldisilazanes include silazane and an alkyl group bonded to two silicon atoms. One example includes 1,1,3,3-tetramethyldisilazane (TMDSN).

如所說明的,選擇含矽前驅物以提供高度保形的矽碳化物膜。一般相信,具有低黏滯係數的含矽前驅物能夠產生高度保形的薄膜。「黏滯係數」一詞係用以描述相同時間期間內吸附/黏附於表面之吸附物種(如片段或分子)的數目對撞擊在表面上之物種總數的比值。符號Sc有時用以指涉黏滯係數。Sc的數值係介於0(意指無物種黏附)與1(意指所有撞擊的的物種皆黏附)之間。影響黏滯係數的各種因素包含撞擊物種的類別、表面溫度、表面階梯覆蓋、表面的結構細節、及撞擊物種的動能。某些物種在本質上比其他物種更「具黏滯性」,使其在每次撞擊至表面上時更容易吸附於表面上。該等更具黏滯性的物種具有較大的黏滯係數(當其他因素相同時),且相較於具有較低黏滯係數的低黏滯性物種,具有較大黏滯係數的物種更容易吸附於凹陷特徵部的入口附近。在某些情況下,前驅物的黏滯係數(在相關沉積條件下)可約為0.05或更小,例如約0.001或更小。 設備As described, the silicon-containing precursor is selected to provide a highly conformal silicon carbide film. It is generally believed that a silicon-containing precursor having a low viscosity coefficient is capable of producing a highly conformal film. The term "viscosity coefficient" is used to describe the ratio of the number of adsorbed species (such as fragments or molecules) adsorbed/adhered to a surface to the total number of species impinging on the surface during the same period of time. The symbol Sc is sometimes used to refer to the viscosity coefficient. The value of Sc ranges between 0 (meaning no species adheres) and 1 (meaning all impinging species adhere). Various factors that affect the viscosity coefficient include the type of impinging species, the surface temperature, the surface step coverage, the structural details of the surface, and the kinetic energy of the impinging species. Some species are inherently more "sticky" than others, making them more likely to adhere to a surface each time they impact the surface. Such stickier species have a larger viscosity coefficient (when other factors are equal), and species with a larger viscosity coefficient are more likely to adhere near the entrance of a recessed feature than low-viscosity species with a lower viscosity coefficient. In some cases, the viscosity coefficient of the precursor (under relevant deposition conditions) can be about 0.05 or less, such as about 0.001 or less. Equipment

本發明之一態樣為一種配置以完成本文所述方法的設備。合適的設備包含用以完成製程操作的硬體、及具有用以依據本發明而控制製程操作之指令的系統控制器。在某些實施例中,用以進行上述製程操作的設備包含遠端電漿源。相較於直接電漿,遠端電漿源提供溫和的反應條件。合適的遠端電漿設備的範例係記載於2013年10月24日申請之美國專利申請案第14/062,648號中,在此將其所有內容引入作為所有目的之參考。One aspect of the invention is an apparatus configured to perform the methods described herein. Suitable apparatus includes hardware for performing process operations and a system controller having instructions for controlling process operations in accordance with the invention. In some embodiments, the apparatus for performing the process operations includes a remote plasma source. A remote plasma source provides milder reaction conditions than direct plasma. Examples of suitable remote plasma apparatus are described in U.S. Patent Application No. 14/062,648, filed October 24, 2013, which is hereby incorporated by reference in its entirety for all purposes.

圖3為依據某些實施例之遠端電漿設備的示意圖。裝置300包含具有噴淋頭320的反應腔室310。在反應腔室310中,基板330係座落在一座臺或底座335上。在某些實施例中,底座335可設有加熱/冷卻元件。控制器340可連接至裝置300的複數元件以控制裝置300的操作。例如,控制器340可包含用以控制裝置300之操作之製程條件的指令,製程條件例如是溫度製程條件及/或壓力製程條件。在某些實施例中,控制器340可包含用以控制前驅物氣體、共反應物氣體、源氣體、及載氣之流率的指令。控制器340可包含用以隨著時間改變共反應物氣體之流率的指令。附加地或替代性地,控制器340可包含用以隨著時間改變前驅物氣體之流率的指令。更為詳盡的控制器340之說明係在下文中提供。FIG3 is a schematic diagram of a remote plasma apparatus according to certain embodiments. The apparatus 300 includes a reaction chamber 310 having a showerhead 320. In the reaction chamber 310, a substrate 330 is seated on a platform or base 335. In certain embodiments, the base 335 may be provided with a heating/cooling element. A controller 340 may be connected to a plurality of elements of the apparatus 300 to control the operation of the apparatus 300. For example, the controller 340 may include instructions for controlling process conditions for the operation of the apparatus 300, such as temperature process conditions and/or pressure process conditions. In certain embodiments, the controller 340 may include instructions for controlling the flow rates of a precursor gas, a co-reactant gas, a source gas, and a carrier gas. The controller 340 may include instructions for varying the flow rate of the co-reactant gas over time. Additionally or alternatively, the controller 340 may include instructions for varying the flow rate of the precursor gas over time. A more detailed description of the controller 340 is provided below.

在操作期間,藉由耦合至反應腔室310的一或更多氣體入口而將氣體或氣體混合物導入至反應腔室310中。在某些實施例中,二或更多氣體入口係耦合至反應腔室310。第一氣體入口355可耦合至反應腔室310並連接至一容器350,且第二氣體入口365可耦合至反應腔室310並連接至遠端電漿源 360。在包含遠端電漿源配置的實施例中,用以輸送前驅物與在遠端電漿源中所產生之自由基物種的輸送線係彼此分離。因此,在到達基板330之前前驅物與自由基物種實質上不會彼此作用。應理解,在某些實施例中,可使氣體管線顛倒,使得容器350可經由第二氣體入口365而提供前驅物氣體流,而遠端電漿源360可經由第一氣體入口355而提供離子及自由基。During operation, a gas or gas mixture is introduced into the reaction chamber 310 via one or more gas inlets coupled to the reaction chamber 310. In some embodiments, two or more gas inlets are coupled to the reaction chamber 310. A first gas inlet 355 can be coupled to the reaction chamber 310 and connected to a container 350, and a second gas inlet 365 can be coupled to the reaction chamber 310 and connected to the remote plasma source 360. In embodiments including a remote plasma source configuration, the delivery lines for delivering the precursor and the radical species generated in the remote plasma source are separated from each other. Therefore, the precursor and the radical species do not substantially interact with each other before reaching the substrate 330. It should be understood that in certain embodiments, the gas lines may be reversed such that the container 350 may provide the precursor gas flow via the second gas inlet 365 , while the remote plasma source 360 may provide the ions and radicals via the first gas inlet 355 .

一或更多自由基物種可在遠端電漿源360中生成並且係配置以經由第二氣體入口365而進入反應腔室310。在遠端電漿源360中可使用任何類型的電漿源以產生自由基物種。此包含(但不限於)電容耦合電漿、感應耦合電漿、微波電漿、DC電漿、電射生成之電漿。電容耦合電漿的範例可為射頻(RF)電漿。高頻電漿可配置以操作於13.56 MHz或更高的頻率。此類遠端電漿源360的一範例可為加州費利蒙之科林研發公司所製造的GAMMA®。此類遠端電漿源360的另一範例可為麻州威爾明頓之MKS儀器所製造的Astron®,其可在440 kHz下操作且可被提供為閂鎖在用以平行處理一或更多基板之大型設備上的一子單元。在某些實施例中,微波電漿可用作遠端電漿源360,如在亦為MKS儀器所製造的Astex®。微波電漿可用以在2.45 GHz的頻率下操作。被提供至遠端電漿源之氣體可包含氫、氮、氧、及本文他處所提及的其他氣體。在某些實施例中,在載氣(例如氦)中提供氫。例如,可在氦載氣中提供約1–10%的氫濃度之氫氣。One or more free radical species may be generated in a remote plasma source 360 and configured to enter the reaction chamber 310 through a second gas inlet 365. Any type of plasma source may be used in the remote plasma source 360 to generate the free radical species. This includes, but is not limited to, capacitively coupled plasma, inductively coupled plasma, microwave plasma, DC plasma, and radio-generated plasma. An example of a capacitively coupled plasma may be a radio frequency (RF) plasma. A high frequency plasma may be configured to operate at a frequency of 13.56 MHz or higher. An example of such a remote plasma source 360 may be GAMMA® manufactured by Colin Research and Development, Fremont, California. Another example of such a remote plasma source 360 may be the Astron® manufactured by MKS Instruments of Wilmington, Massachusetts, which may operate at 440 kHz and may be provided as a subunit latched onto a large-scale apparatus for processing one or more substrates in parallel. In some embodiments, a microwave plasma may be used as the remote plasma source 360, such as in the Astex® also manufactured by MKS Instruments. Microwave plasma may be used to operate at a frequency of 2.45 GHz. The gas provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases mentioned elsewhere herein. In some embodiments, hydrogen is provided in a carrier gas (e.g., helium). For example, hydrogen gas may be provided at a hydrogen concentration of about 1–10% in a helium carrier gas.

前驅物可被提供於容器350中且可經由第一氣體入口355而供至噴淋頭320。噴淋頭320將前驅物分配至反應腔室310中朝向基板330分配。基板330可位於噴淋頭320下方。應理解,噴淋頭320可具有任何適當的形狀,且可具有任何數目與配置之用以將氣體分配至基板330的接口。前驅物可被供至噴淋頭320,並最終以受控之流率被供至基板330。The precursor may be provided in a container 350 and may be supplied to the showerhead 320 via a first gas inlet 355. The showerhead 320 distributes the precursor into the reaction chamber 310 toward the substrate 330. The substrate 330 may be located below the showerhead 320. It should be understood that the showerhead 320 may have any suitable shape and may have any number and configuration of interfaces for distributing gas to the substrate 330. The precursor may be supplied to the showerhead 320 and ultimately to the substrate 330 at a controlled flow rate.

在遠端電漿源360中所形成的一或更多自由基物種可以氣相朝向基板330載送。一或更多自由基物種可流經第二氣體入口365而流至反應腔室310中。應理解,第二氣體入口365不需如圖3所示地橫跨基板330的表面。在某些實施例中,第二氣體入口365可位於基板330正上方或位於其他位置處。可配置遠端電漿源360與反應腔室310之間的距離以提供溫和的反應條件,俾使在遠端電漿源360中所產生之離子化物種被實質上中和,但至少部分處於實質低能態的自由基物種留在鄰近基板330之環境中。此等低能態之自由基物種不會重新結合而形成穩定的化合物。遠端電漿源360與反應腔室310之間的距離可為下列各者之函數:電漿的侵略性(例如部分由源RF功率位準所決定)、電漿中之氣體的密度(例如,若有高濃度的氫原子,則其大部分在到達反應腔室310之前可重新結合而形成H2 )、及其他因素。在某些實施例中,遠端電漿源360 與反應腔室310之間的距離可介於約1 cm至30 cm之間,例如約5 cm或約15 cm。One or more free radical species formed in the remote plasma source 360 may be carried in the gas phase toward the substrate 330. The one or more free radical species may flow through the second gas inlet 365 and into the reaction chamber 310. It should be understood that the second gas inlet 365 need not span the surface of the substrate 330 as shown in FIG. 3. In some embodiments, the second gas inlet 365 may be located directly above the substrate 330 or at other locations. The distance between the remote plasma source 360 and the reaction chamber 310 may be configured to provide mild reaction conditions so that the ionized species generated in the remote plasma source 360 are substantially neutralized, but at least a portion of the free radical species in a substantially low energy state remain in the environment adjacent to the substrate 330. These low energy free radical species will not recombine to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 may be a function of the aggressiveness of the plasma (e.g., determined in part by the source RF power level), the density of the gas in the plasma (e.g., if there is a high concentration of hydrogen atoms, most of them may recombine to form H 2 before reaching the reaction chamber 310), and other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 may be between about 1 cm and 30 cm, such as about 5 cm or about 15 cm.

在某些實施例中,在沉積反應期間導入非為主要含矽前驅物或氫自由基的共反應物。在某些實施例中,設備係配置以經由第二氣體入口365而導入共反應物,其中共反應物至少部分被轉化為電漿。在某些實施例中,設備係經由第一氣體入口355通過噴淋頭320而導入共反應物。共反應物的範例包含氧、氮、氨、二氧化碳、一氧化碳等。共反應物的流率可隨著時間而變化,以在漸變的薄膜中產生組成梯度。In some embodiments, a co-reactant that is not a predominantly silicon-containing precursor or a hydrogen radical is introduced during the deposition reaction. In some embodiments, the apparatus is configured to introduce the co-reactant via the second gas inlet 365, wherein the co-reactant is at least partially converted to plasma. In some embodiments, the apparatus introduces the co-reactant via the first gas inlet 355 through the showerhead 320. Examples of co-reactants include oxygen, nitrogen, ammonia, carbon dioxide, carbon monoxide, etc. The flow rate of the co-reactant can be varied over time to produce a composition gradient in the gradient film.

依據某些其他實施例,圖4顯示具有遠端電漿源之例示性電漿處理設備的示意圖。電漿處理設備400包含與反應腔室404分離的遠端電漿源402。遠端電漿源402係經由多埠氣體分配器406而與反應腔室404流體耦合,多埠氣體分配器406亦可被稱為噴淋頭。自由基物種係產生於遠端電漿源402中並被供應至反應腔室404。將一或更多含矽前驅物供應至遠端電漿源402及多埠氣體分配器406之下游的反應腔室404。該一或更多含矽前驅物與自由基物種在反應腔室404之化學氣相沉積區域408中進行反應,以在基板412之表面上沉積矽碳化物膜。化學氣相沉積區域408包含鄰近基板412之表面的環境。According to certain other embodiments, FIG. 4 shows a schematic diagram of an exemplary plasma processing apparatus having a remote plasma source. The plasma processing apparatus 400 includes a remote plasma source 402 separated from a reaction chamber 404. The remote plasma source 402 is fluidly coupled to the reaction chamber 404 via a multi-port gas distributor 406, which may also be referred to as a showerhead. Free radical species are generated in the remote plasma source 402 and supplied to the reaction chamber 404. One or more silicon-containing precursors are supplied to the reaction chamber 404 downstream of the remote plasma source 402 and the multi-port gas distributor 406. The one or more silicon-containing precursors react with the radical species in a chemical vapor deposition region 408 of the reaction chamber 404 to deposit a silicon carbide film on the surface of the substrate 412. The chemical vapor deposition region 408 includes an environment adjacent to the surface of the substrate 412.

基板412被支撐在基板支座或底座414上。底座414可在反應腔室404內移動,以將基板412定位於化學氣相沉積區域408內。在圖4所示之實施例中,底座414係顯示為已將基板412升高於化學氣相沉積區域408內。在某些實施例中,底座414亦可調整基板412之溫度,底座414可提供對基板412上之熱活化表面反應的某些選擇性控制。The substrate 412 is supported on a substrate support or pedestal 414. The pedestal 414 can be moved within the reaction chamber 404 to position the substrate 412 within the chemical vapor deposition zone 408. In the embodiment shown in FIG. 4 , the pedestal 414 is shown as having raised the substrate 412 within the chemical vapor deposition zone 408. In some embodiments, the pedestal 414 can also adjust the temperature of the substrate 412. The pedestal 414 can provide some selective control over thermally activated surface reactions on the substrate 412.

圖4顯示設置於遠端電漿源402周圍的線圈418,其中遠端電漿源402包含一外壁(例如石英圓頂)。線圈418係電耦合至電漿產生器控制器422,其可用以透過感應耦合電漿生成而在電漿區域424內形成並維持電漿。在某些實施例中,電漿產生器控制器422可包含供應功率至線圈418的電源,其中在電漿產生期間功率可在約1至6千瓦(kW)的範圍內。在某些實施例中,用於平行板或電容耦合電漿生成的電極或天線可用以透過電漿激發而產生自由基之連續供應,而非使用感應耦合電漿生成。無論用於在電漿區域424內引燃和維持電漿的機制為何,可在薄膜沉積期間利用電漿激發而連續地產生自由基物種。在某些實施例中,在穩態薄膜沉積期間於近似穩態條件下產生氫自由基,但在薄膜沉積開始及結束時可能存在暫態。FIG4 shows a coil 418 disposed around a remote plasma source 402, wherein the remote plasma source 402 includes an outer wall (e.g., a quartz dome). The coil 418 is electrically coupled to a plasma generator controller 422, which can be used to form and maintain a plasma in a plasma region 424 through inductively coupled plasma generation. In some embodiments, the plasma generator controller 422 can include a power supply that supplies power to the coil 418, wherein the power can be in the range of about 1 to 6 kilowatts (kW) during plasma generation. In some embodiments, electrodes or antennas used for parallel plate or capacitively coupled plasma generation can be used to generate a continuous supply of free radicals through plasma excitation rather than using inductively coupled plasma generation. Regardless of the mechanism used to ignite and maintain the plasma in plasma region 424, free radical species may be continuously generated during film deposition using plasma excitation. In certain embodiments, hydrogen radicals are generated under near steady-state conditions during steady-state film deposition, but may be transient at the beginning and end of film deposition.

當氫氣或其他源氣體被供應至遠端電漿源402時,可在電漿區域424內連續地產生氫自由基之供應。可在遠端電漿源402中產生經激發之氫自由基。若未重新激發或重新供應能量或與其他自由基重新結合,則經激發之氫自由基失去其能量或鬆弛。因此,經激發之氫自由基可鬆弛而形成處於實質低能態或基態的氫自由基。When hydrogen or other source gas is supplied to the remote plasma source 402, a supply of hydrogen radicals may be continuously generated within the plasma region 424. Excited hydrogen radicals may be generated in the remote plasma source 402. The excited hydrogen radicals lose their energy or relax if not re-excited or re-energized or recombined with other radicals. Thus, the excited hydrogen radicals may relax to form hydrogen radicals in a substantially low energy state or ground state.

可使用一或更多額外氣體將氫氣或其他源氣體稀釋。該等一或更多額外氣體可被供應至遠端電漿源402。在某些實施例中,使氫氣或其他源氣體與一或更多額外氣體進行混合而形成氣體混合物,其中該一或更多額外氣體可包含載氣。額外氣體之非限制性範例可包含氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、氙(Xe)、及氮(N2 )。該一或更多額外氣體可支持或穩定遠端電漿源402內的穩態電漿狀態、或有助於暫態電漿之引燃或熄滅程序。在某些實施例中,使用例如氦將氫氣或其他源氣體稀釋可促成更高的總壓力而不會造成伴隨的電漿崩潰(plasma breakdown)。換言之,氫氣與氦之稀釋氣體混合物可促成更高的總氣體壓力,而不會使供至遠端電漿源402的電漿功率增加。如圖4所示,源氣體供應部426係與遠端電漿源402流體耦合,以供應氫氣或源氣體。此外,額外的氣體供應部428係與遠端電漿源402流體耦合,以供應一或更多額外氣體。該一或更多額外氣體亦可包含上述之共反應物氣體。雖然圖4之實施例繪示源氣體及一或更多額外氣體之氣體混合物係經由分離的氣體出口而導入,但應理解,可將該氣體混合物直接導入至遠端電漿源402中。亦即,可經由單一氣體出口將預混合之稀釋氣體混合物供應至遠端電漿源402。The hydrogen or other source gas may be diluted using one or more additional gases. The one or more additional gases may be supplied to the remote plasma source 402. In some embodiments, the hydrogen or other source gas is mixed with one or more additional gases to form a gas mixture, wherein the one or more additional gases may include a carrier gas. Non-limiting examples of additional gases may include helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), and nitrogen ( N2 ). The one or more additional gases may support or stabilize a steady plasma state within the remote plasma source 402, or assist in the ignition or extinguishing process of a transient plasma. In some embodiments, diluting hydrogen or other source gases with, for example, helium can facilitate higher total pressures without causing an accompanying plasma breakdown. In other words, a dilute gas mixture of hydrogen and helium can facilitate higher total gas pressures without increasing the plasma power supplied to the remote plasma source 402. As shown in FIG. 4 , a source gas supply 426 is fluidly coupled to the remote plasma source 402 to supply hydrogen or source gas. In addition, an additional gas supply 428 is fluidly coupled to the remote plasma source 402 to supply one or more additional gases. The one or more additional gases may also include the co-reactant gas described above. 4 shows a gas mixture of a source gas and one or more additional gases being introduced through separate gas outlets, it should be understood that the gas mixture may be introduced directly into the remote plasma source 402. That is, a pre-mixed, dilute gas mixture may be supplied to the remote plasma source 402 through a single gas outlet.

氣體(例如經激發之氫與氦自由基、及鬆弛的氣體/自由基)自遠端電漿源402流出,並經由多埠氣體分配器406而流入反應腔室404。在多埠氣體分配器406內及反應腔室404內的氣體通常不會在其內經受連續的電漿激發。在某些實施例中,多埠氣體分配器406包含離子過濾器及/或光子過濾器。將離子及/或光子過濾可減少基板損害、非期望之分子再激發、及/或反應腔室404內之含矽前驅物之選擇性裂解或分解。多埠氣體分配器406可具有複數氣體埠434,以使氣體流擴散至反應腔室404中。在某些實施例中,複數氣體埠434可相互隔開。在某些實施例中,可將複數氣體埠434設置成規則間隔之通道或通孔的陣列,該等通道或通孔延伸穿過將遠端電漿源402與反應腔室404分隔的板件。複數氣體埠434可將自遠端電漿源402離開的自由基平穩地分散和擴散至反應腔室404中。Gases (e.g., excited hydrogen and helium radicals, and relaxed gases/radicals) flow from the remote plasma source 402 and flow into the reaction chamber 404 through the multi-port gas distributor 406. The gases within the multi-port gas distributor 406 and within the reaction chamber 404 are typically not subjected to continuous plasma excitation therein. In some embodiments, the multi-port gas distributor 406 includes an ion filter and/or a photon filter. Filtering the ions and/or photons can reduce substrate damage, undesired molecular re-excitation, and/or selective cleavage or decomposition of silicon-containing precursors within the reaction chamber 404. The multi-port gas distributor 406 can have a plurality of gas ports 434 to diffuse the gas flow into the reaction chamber 404. In some embodiments, the plurality of gas ports 434 may be spaced apart from one another. In some embodiments, the plurality of gas ports 434 may be arranged as an array of regularly spaced channels or through holes extending through a plate separating the remote plasma source 402 from the reaction chamber 404. The plurality of gas ports 434 may smoothly disperse and diffuse the free radicals exiting the remote plasma source 402 into the reaction chamber 404.

典型遠端電漿源與反應容器迥然不同。因此,自由基消滅及重組(例如透過壁碰撞事件)可能使活性物種大幅減少。相對地,在某些實施例中,可基於在典型處理條件下的平均自由徑或氣流滯留時間而配置複數氣體埠434的尺寸,俾協助自由基自由地進入反應腔室404。在某些實施例中,複數氣體埠434的開口可佔據多埠氣體分配器406之約5%至約20%之間的暴露表面積。在某些實施例中,複數氣體埠434可各自具有介於約3:1至10:1之間、或介於約6:1至8:1之間的軸向長度對直徑之比率。此等深寬比可使通過複數氣體埠434的自由基物種之壁碰撞頻率減低,並同時為大多數激發態自由基物種提供足夠的時間以鬆弛為基態自由基物種。在某些實施例中,可配置複數氣體埠434的尺寸以使得氣體通過多埠氣體分配器406的滯留時間大於激發態自由基物種一般的能量鬆弛時間。氫源氣體的激發態自由基物種在圖4中可由•H* 表示,且氫源氣體的基態自由基物種在圖4中可由 H表示。A typical remote plasma source is quite different from a reaction vessel. Therefore, free radical destruction and recombination (e.g., through wall collision events) may significantly reduce reactive species. In contrast, in some embodiments, the size of the plurality of gas ports 434 may be configured based on the mean free path or gas flow residence time under typical processing conditions to assist free radicals in entering the reaction chamber 404. In some embodiments, the openings of the plurality of gas ports 434 may occupy between about 5% and about 20% of the exposed surface area of the multi-port gas distributor 406. In some embodiments, the plurality of gas ports 434 may each have an axial length to diameter ratio between about 3:1 and 10:1, or between about 6:1 and 8:1. Such aspect ratios can reduce the frequency of wall collisions of radical species passing through the plurality of gas ports 434, while providing sufficient time for most excited radical species to relax to ground state radical species. In some embodiments, the dimensions of the plurality of gas ports 434 can be configured so that the residence time of the gas passing through the multi-port gas distributor 406 is greater than the typical energy relaxation time of the excited radical species. The excited radical species of the hydrogen source gas can be represented by •H * in FIG. 4 , and the ground state radical species of the hydrogen source gas can be represented by H in FIG. 4 .

在某些實施例中,離開複數氣體埠434之激發態自由基物種可流入鬆弛區域438中,鬆弛區域438係包含於反應腔室404之內部中。鬆弛區域438位在化學氣相沉積區域408的上游,但在多埠氣體分配器406的下游。離開多埠氣體分配器406之實質上所有或至少90%的激發態自由基物種會在鬆弛區域438中轉變成鬆弛態自由基物種。換言之,幾乎所有進入鬆弛區域438之激發態自由基物種(經激發之氫自由基)在離開鬆弛區域438之前變得去激發(de-excited)、或轉變成鬆弛態自由基物種(例如基態氫自由基)。在某些實施例中,可配置鬆弛區域438的製程條件或幾何形狀,俾使自由基物種流過鬆弛區域438之滯留時間(例如由平均自由徑及平均分子速度所決定的時間)引致鬆弛態自由基物種自鬆弛區域438流出。In certain embodiments, excited radical species exiting the plurality of gas ports 434 may flow into a relaxation region 438 contained within the interior of the reaction chamber 404. The relaxation region 438 is located upstream of the chemical vapor deposition region 408 but downstream of the multi-port gas distributor 406. Substantially all or at least 90% of the excited radical species exiting the multi-port gas distributor 406 are converted into relaxed radical species in the relaxation region 438. In other words, substantially all excited radical species (excited hydrogen radicals) that enter the relaxation region 438 become de-excited, or are converted into relaxed radical species (e.g., ground state hydrogen radicals) before exiting the relaxation region 438. In certain embodiments, the process conditions or geometry of the relaxation region 438 may be configured such that the residence time (e.g., time determined by the mean free path and the mean molecular velocity) of the radical species flowing through the relaxation region 438 causes the relaxed radical species to flow out of the relaxation region 438.

隨著自由基物種自多埠氣體分配器406輸送至鬆弛區域438,可將一或更多含矽前驅物及/或一或更多共反應物導入化學氣相沉積區域408中。可透過氣體分配器或氣體出口442將一或更多含矽前驅物導入,其中氣體出口442可與前驅物供應源440流體耦合。鬆弛區域438可包含於多埠氣體分配器406與氣體出口442之間的空間內。氣體出口442可包含彼此間隔開的開口,使得可在與自鬆弛區域438流出之氣體混合物平行的方向上導入一或更多含矽前驅物之流動。氣體出口442可位在多埠氣體分配器406及鬆弛區域438的下游。氣體出口442可位在化學氣相沉積區域408及基板412的上游。化學氣相沉積區域408位在反應腔室404之內部中、且位在氣體出口442與基板412之間。As the free radical species are delivered from the multi-port gas distributor 406 to the relaxation zone 438, one or more silicon-containing precursors and/or one or more co-reactants may be introduced into the chemical vapor deposition zone 408. The one or more silicon-containing precursors may be introduced through the gas distributor or gas outlet 442, wherein the gas outlet 442 may be fluidly coupled to the precursor supply 440. The relaxation zone 438 may be contained within a space between the multi-port gas distributor 406 and the gas outlet 442. The gas outlet 442 may include openings spaced apart from one another so that a flow of the one or more silicon-containing precursors may be introduced in a direction parallel to the gas mixture flowing out of the relaxation zone 438. The gas outlet 442 may be located downstream of the multi-port gas distributor 406 and the relaxation zone 438. The gas outlet 442 may be located upstream of the chemical vapor deposition zone 408 and the substrate 412. The chemical vapor deposition zone 408 is located within the reaction chamber 404 and between the gas outlet 442 and the substrate 412.

可防止實質上所有的一或更多含矽前驅物之流動與鄰近多埠氣體分配器406的激發態自由基物種相混合。鬆弛態或基態的自由基物種在鄰近基板412之區域中與一或更多含矽前驅物相混合。化學氣相沉積區域408包含鄰近基板412之區域,在該區域中鬆弛態或基態自由基物種與一或更多含矽前驅物混合。在矽碳化物膜之CVD形成期間,鬆弛態或基態自由基物種與一或更多含矽前驅物以氣相混合。Substantially all of the flow of the one or more silicon-containing precursors may be prevented from mixing with the excited radical species adjacent to the multi-port gas distributor 406. The relaxed or ground state radical species mix with the one or more silicon-containing precursors in a region adjacent to the substrate 412. The chemical vapor deposition region 408 includes a region adjacent to the substrate 412 in which the relaxed or ground state radical species mix with the one or more silicon-containing precursors. During CVD formation of the silicon carbide film, the relaxed or ground state radical species mix with the one or more silicon-containing precursors in a gas phase.

在某些實施例中,共反應物可自氣體出口442導入並與一或更多含矽前驅物一起流動。共反應物可包含如下文所述之含碳前驅物。可在遠端電漿源402的下游將共反應物導入。可從前驅物供應源440或與氣體出口442流體耦合的其他來源(未圖示)供應共反應物。共反應物可為如下文所述之含碳前驅物。在某些實施例中,共反應物可自多埠氣體分配器406導入,並與在遠端電漿源402中產生的自由基物種一起流動而流入反應腔室404。此可包含在遠端電漿源402中提供的共反應物氣體之自由基及/或離子。共反應物可從額外的氣體供應部428供應。In some embodiments, a co-reactant may be introduced from the gas outlet 442 and flow with one or more silicon-containing precursors. The co-reactant may include a carbon-containing precursor as described below. The co-reactant may be introduced downstream of the remote plasma source 402. The co-reactant may be supplied from the precursor supply source 440 or other source (not shown) fluidly coupled to the gas outlet 442. The co-reactant may be a carbon-containing precursor as described below. In some embodiments, the co-reactant may be introduced from the multi-port gas distributor 406 and flow with the free radical species generated in the remote plasma source 402 and flow into the reaction chamber 404. This may include free radicals and/or ions of the co-reactant gas provided in the remote plasma source 402. The co-reactants may be supplied from an additional gas supply 428.

氣體出口442可與多埠氣體分配器406分離一充足距離,以防止一或更多含矽前驅物之反向擴散或反向流。在某些實施例中,氣體出口442可與複數氣體埠434分離如下距離:介於約0.5英吋至約5英吋之間、或介於約1.5英吋至約4.5英吋之間、或介於約1.5英吋至約3英吋之間的距離。The gas outlet 442 may be separated from the multi-port gas distributor 406 by a sufficient distance to prevent reverse diffusion or reverse flow of one or more silicon-containing precursors. In some embodiments, the gas outlet 442 may be separated from the plurality of gas ports 434 by a distance between about 0.5 inches and about 5 inches, or between about 1.5 inches and about 4.5 inches, or between about 1.5 inches and about 3 inches.

可經由一出口448而將製程氣體自反應腔室404中去除,出口448係配置以與一泵浦(未圖示)流體耦合。因此,可將過量的含矽前驅物、共反應物、自由基物種、及稀釋與置換或排淨氣體自反應腔室404中去除。在某些實施例中,系統控制器450係與電漿處理設備400進行操作性通訊。在某些實施例中,系統控制器450包含處理器系統452(例如微處理器),其係配置以執行保存於資料系統454(例如記憶體)中的指令。在某些實施例中,系統控制器450可與電漿產生器控制器422進行通訊以控制電漿參數及/或條件。在某些實施例中,系統控制器450可與底座414進行通訊以控制底座高度及溫度。在某些實施例中,系統控制器450可控制其他處理條件,例如RF功率設定、頻率設定、工作週期、脈衝時間、反應腔室404內之壓力、遠端電漿源402內之壓力、來自源氣體供應部426及額外的氣體供應部428之氣體流率、來自前驅物供應源440及其他來源之氣體流率、底座414之溫度、及反應腔室404之溫度等。Process gases may be removed from the reaction chamber 404 via an outlet 448 configured to be fluidly coupled to a pump (not shown). Thus, excess silicon-containing precursors, co-reactants, radical species, and dilution and displacement or purge gases may be removed from the reaction chamber 404. In some embodiments, a system controller 450 is in operative communication with the plasma processing apparatus 400. In some embodiments, the system controller 450 includes a processor system 452 (e.g., a microprocessor) configured to execute instructions stored in a data system 454 (e.g., a memory). In some embodiments, the system controller 450 may communicate with the plasma generator controller 422 to control plasma parameters and/or conditions. In some embodiments, the system controller 450 can communicate with the pedestal 414 to control the pedestal height and temperature. In some embodiments, the system controller 450 can control other processing conditions, such as RF power settings, frequency settings, duty cycle, pulse time, pressure within the reaction chamber 404, pressure within the remote plasma source 402, gas flow rates from the source gas supply 426 and the additional gas supply 428, gas flow rates from the precursor supply 440 and other sources, the temperature of the pedestal 414, and the temperature of the reaction chamber 404.

下述圖4之控制器450的態樣亦適用於圖3之控制器340。控制器450可包含用於控制電漿處理設備400之操作之製程條件的指令。控制器450通常包含一或更多記憶體裝置及一或更多處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。用以施行適當控制操作的指令係於處理器上執行。此些指令可儲存在與控制器450相關的記憶體裝置上或其可藉由網路提供。The aspects of the controller 450 of FIG. 4 described below are also applicable to the controller 340 of FIG. 3 . The controller 450 may include instructions for controlling process conditions for the operation of the plasma processing apparatus 400. The controller 450 typically includes one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, a stepper motor controller board, etc. Instructions for performing appropriate control operations are executed on the processor. These instructions may be stored on a memory device associated with the controller 450 or they may be provided via a network.

在某些實施例中,控制器450控制本文所述之電漿處理設備400之所有或大部分的活動。例如,控制器450可控制與沉積矽碳化物膜相關之電漿處理設備400之所有或大部分的活動,並選用性地控制包含矽碳化物膜之製造流程中的其他操作。控制器450可執行包含用以控制下列各者之多組指令的系統控制軟體:時序、氣體組成、氣體流率、製程室壓力、腔室溫度、RF功率位準、基板位置、及/或其他參數。在某些實施例中可使用儲存在與控制器450相關之記憶體裝置上的其他電腦程式、腳本、或例行程序。為了在鄰近基板412之環境處提供相對溫和的反應條件,可藉由控制器450調整及維持參數如RF功率位準、流至電漿區域424之氣體流率、流至化學氣相沉積區域408之氣體流率、及電漿點燃的時序。此外,調整基板位置可進一步減少鄰近基板412之環境處之高能自由基物種的存在。在一多站反應器中,控制器450可針對不同的設備站點而包含不同或相同的指令,因此使該等設備站點得以獨立操作或同步操作。In some embodiments, the controller 450 controls all or most of the activities of the plasma processing apparatus 400 described herein. For example, the controller 450 may control all or most of the activities of the plasma processing apparatus 400 associated with depositing a silicon carbide film and optionally other operations in a manufacturing process involving the silicon carbide film. The controller 450 may execute system control software including sets of instructions for controlling timing, gas composition, gas flow rates, process chamber pressure, chamber temperature, RF power levels, substrate position, and/or other parameters. Other computer programs, scripts, or routines stored on a memory device associated with the controller 450 may be used in some embodiments. In order to provide relatively mild reaction conditions in the environment adjacent to the substrate 412, parameters such as RF power level, gas flow rate to the plasma region 424, gas flow rate to the chemical vapor deposition region 408, and timing of plasma ignition may be adjusted and maintained by the controller 450. Additionally, adjusting the substrate position may further reduce the presence of high energy radical species in the environment adjacent to the substrate 412. In a multi-station reactor, the controller 450 may include different or identical instructions for different equipment sites, thereby enabling the equipment sites to operate independently or synchronously.

在某些實施例中,控制器450可包含用以進行例如下列操作的指令:使一或更多含矽前驅物經由氣體出口442而流至反應腔室404中、提供源氣體至遠端電漿源402中、在遠端電漿源402中產生該源氣體之一或更多自由基物種、自遠端電漿源402將處於實質上低能態的一或更多自由基物種導入至反應腔室404中以與一或更多含矽前驅物反應而在基板412上沉積矽碳化物膜。反應腔室404中在鄰近基板412之環境中的一或更多自由基物種可為處於基態的氫自由基。在某些實施例中,控制器450可包含使共反應物與一或更多含矽前驅物一起流至反應腔室404中的指令。共反應物可為烴分子,且一或更多含矽前驅物之各者可具有至少兩個氫原子鍵結至矽原子。In some embodiments, the controller 450 may include instructions for, for example, flowing one or more silicon-containing precursors into the reaction chamber 404 through the gas outlet 442, providing a source gas to the remote plasma source 402, generating one or more radical species of the source gas in the remote plasma source 402, and introducing the one or more radical species in a substantially low energy state from the remote plasma source 402 into the reaction chamber 404 to react with the one or more silicon-containing precursors to deposit a silicon carbide film on the substrate 412. The one or more radical species in the environment of the reaction chamber 404 adjacent to the substrate 412 may be hydrogen radicals in a ground state. In some embodiments, controller 450 may include instructions to flow a co-reactant with one or more silicon-containing precursors into reaction chamber 404. The co-reactant may be a hydrocarbon molecule, and each of the one or more silicon-containing precursors may have at least two hydrogen atoms bonded to silicon atoms.

在某些實施例中,設備400可包含和控制器450相關的使用者介面。使用者介面可包含顯示螢幕、設備400及/或製程條件的圖形化軟體顯示、及使用者輸入裝置如指向裝置、鍵盤、觸控螢幕、麥克風等。In some embodiments, the apparatus 400 may include a user interface associated with the controller 450. The user interface may include a display screen, a graphical software display of the apparatus 400 and/or process conditions, and a user input device such as a pointing device, a keyboard, a touch screen, a microphone, etc.

可以任何習知的電腦可讀程式語言撰寫控制上述操作用的電腦程式碼,電腦可讀程式語言例如是組合語言、C、C++、Pascal、Fortran或其他語言。可藉由處理器執行經編譯之物件碼或腳本以執行程式中所認定的任務。The computer program code for controlling the above operations may be written in any known computer readable programming language, such as assembly language, C, C++, Pascal, Fortran or other languages. The compiled object code or script may be executed by a processor to perform the tasks specified in the program.

用於監視該處理的信號可藉由系統控制器的類比及/或數位輸入連結所提供。用於控制該處理的信號係在處理系統的類比與數位輸出連結上輸出。Signals for monitoring the processing may be provided via analog and/or digital input connections of the system controller. Signals for controlling the processing are output on analog and digital output connections of the processing system.

一般而言,本文所述方法可在包含半導體處理設備(例如一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓底座、氣流系統等))的系統上執行。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。一般而言,將該等電子裝置稱為控制器,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本文中所揭示之處理的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、RF產生器設定、RF匹配電路設定、頻率設定、流動速率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖之晶圓傳送。In general, the methods described herein may be performed on a system that includes semiconductor processing equipment, such as one or more processing tools, one or more chambers, one or more workstations for processing, and/or specific processing components (wafer pedestals, gas flow systems, etc.). Such systems may be integrated with electronic devices to control their operation before, during, and after processing of semiconductor wafers or substrates. Generally, such electronic devices are referred to as controllers, which may control various components or subcomponents of one or more systems. Depending on the requirements of the process and/or the type of system, the controller can be programmed to control any of the processes disclosed herein, including the delivery of process gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operating settings, wafer transport in and out of tools and other transport tools connected to or interfaced with a particular system and/or load locks.

廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施中,該等操作參數可為由製程工程師所定義之配方的部分,該配方係用以在基板之一或更多的膜層、材料(例如矽碳化物)、表面、電路、及/或晶粒的製造期間,完成一或更多的處理步驟。Broadly speaking, a controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software that receive commands, send commands, control operations, enable clean operations, enable endpoint measurements, etc. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors or microcontrollers that execute program instructions (such as software). Program instructions may be instructions sent to the controller in the form of various individual settings (or program files) that define operating parameters for performing specific processes on or for a semiconductor wafer, or for a system. In some implementations, the operating parameters may be part of a recipe defined by a process engineer for performing one or more processing steps during the fabrication of one or more layers, materials (e.g., silicon carbide), surfaces, circuits, and/or die of a substrate.

在一些實施例中,控制器可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前進度、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,俾改變目前處理之參數,以設定處理步驟而接續目前的處理、或開始新的處理。在一些範例中,遠端電腦(如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應理解,該等參數可特定於待執行之處理的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文中所敘述之處理及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的處理。In some embodiments, the controller may be part of or coupled to a computer that is integrated with the system, coupled to the system, connected to the system via a network, or a combination thereof. For example, the controller may be located in the "cloud" or may be all or part of a wafer fab host computer system that allows remote access to substrate processing. The computer may enable remote access to the system to monitor the current progress of manufacturing operations, view the history of past manufacturing operations, view trends or performance indicators from multiple manufacturing operations, change parameters of the current process, set processing steps to continue the current process, or start a new process. In some examples, a remote computer (such as a server) may provide process recipes to the system via a network, which may include a local area network or the Internet. The remote computer may include a user interface that enables input or programming of parameters and/or settings that are then transmitted from the remote computer to the system. In some examples, the controller receives instructions in the form of data that, during one or more operation periods, specifies parameters for each of the processing steps to be performed. It should be understood that the parameters may be specific to the type of processing to be performed, and the type of tool that the controller is configured to interface with or control. Thus, as described above, the controller may be decentralized, such as by including one or more separate controllers that are connected together via a network and work toward a common goal, such as the processing and control described herein. An example of a separate controller used for such purposes would be one or more integrated circuits on the chamber that communicate with one or more integrated circuits located remotely (e.g., at the platform level, or as part of a remote computer) that combine to control processing on the chamber.

除了本文所述之矽碳化物沉積之外,範例系統還可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。In addition to silicon carbide deposition as described herein, example systems may include plasma etching chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel etch chambers or modules, physical vapor deposition (PVD) chambers or modules, chemical vapor deposition (CVD) chambers or modules, atomic layer deposition (ALD) chambers or modules, atomic layer etch (ALE) chambers or modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing system that may be associated with or used in the fabrication and/or production of semiconductor wafers.

如上所述,依據將藉由工具執行之(複數)處理步驟,控制器可與半導體製造工廠中之下列一或更多者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接之工具、鄰近之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。As described above, depending on the (plurality) of process steps to be performed by the tool, the controller may communicate with one or more of the following in the semiconductor manufacturing plant: other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, nearby tools, tools throughout the plant, a host computer, another controller, or tools used in material transport that transport wafer containers to and from tool locations and/or loading ports.

本文上述之設備/處理可與例如用於製造半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或處理一起使用。一般而言,雖然並非必要,但此類工具/處理會在一共同的製造廠房中一起使用或進行。薄膜之微影圖案化通常包括下列操作之一些或全部,每一操作以幾個可能的工具而提供:(1) 使用旋塗式或噴塗式工具在工作件(亦即,基板)上光阻之塗佈;(2) 使用加熱板或加熱爐或UV固化工具進行光阻之固化;(3) 以工具(例如,晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如,濕式清洗台)選擇性地移除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方薄膜或工作件中;及 (6) 使用工具(例如,RF或微波電漿光阻剝除器)移除光阻。 使用含矽前驅物及含碳前驅物之遠端電漿CVDThe apparatus/processes described herein may be used together with lithographic patterning tools or processes, such as those used to manufacture semiconductor devices, displays, LEDs, photovoltaic panels, etc. Typically, although not necessarily, such tools/processes are used or performed together in a common manufacturing plant. Lithographic patterning of thin films typically includes some or all of the following operations, each of which is provided by several possible tools: (1) coating of photoresist on a workpiece (i.e., substrate) using a spin-on or spray-on tool; (2) curing of the photoresist using a hot plate or oven or a UV curing tool; (3) exposing the photoresist to visible light or UV light or x-ray light using a tool (e.g., a wafer stepper); (4) developing the photoresist so that it can be selectively removed and patterned using a tool (e.g., a wet bench); (5) transferring the photoresist pattern to an underlying film or workpiece using a dry or plasma-assisted etch tool; and (6) removing the photoresist using a tool (e.g., an RF or microwave plasma photoresist stripper). Remote plasma CVD using silicon-containing precursors and carbon-containing precursors

使用ALD之矽碳化物膜(包括矽之碳氮化物膜)之沉積顯現許多挑戰,包括可能使矽碳化物膜之ALD難以達成的熱力學挑戰。此外,與典型CVD技術相比,ALD之沉積速率較慢,在製造程序中可能係非所欲的。此外,在不損害矽碳化物膜之階梯覆蓋率、薄膜密度、及/或薄膜品質之特性的情況下,使碳含入矽基薄膜或矽氮化物基薄膜中可能相當困難。本發明係關於使用遠端電漿CVD之矽碳化物膜之沉積。在本發明中,可在不形成任何C-C鍵結及N-C鍵結之情況下實現使碳含入矽基薄膜或矽氮化物基薄膜中。C-C或N-C鍵結之存在可能對矽碳化物膜之特性造成不利影響。The deposition of silicon carbide films (including silicon carbonitride films) using ALD presents a number of challenges, including thermodynamic challenges that may make ALD of silicon carbide films difficult to achieve. In addition, the deposition rate of ALD is slower than that of typical CVD techniques, which may be undesirable in a manufacturing process. In addition, it may be difficult to incorporate carbon into a silicon-based film or a silicon nitride-based film without compromising the step coverage, film density, and/or film quality characteristics of the silicon carbide film. The present invention relates to the deposition of silicon carbide films using remote plasma CVD. In the present invention, incorporation of carbon into a silicon-based film or a silicon nitride-based film may be achieved without forming any C-C bonds and N-C bonds. The presence of C-C or N-C bonds may adversely affect the properties of silicon carbide films.

如上所述,沉積矽碳化物膜之沉積反應除了含矽前驅物及自由基物種之外還可包含共反應物。共反應物之導入可用以調諧矽碳化物膜之組成。可使共反應物與含矽前驅物一起流入反應腔室中,其中可使共反應物在遠端電漿源之下游流動。例如,用以導入含矽前驅物及共反應物之氣體出口可位於遠端電漿源之下游。遠端電漿源被視為在基板及鄰近基板之環境的上游。在某些實施例中,用以導入含矽前驅物及共反應物之氣體出口可位於遠端電漿源的下游、及基板與鄰近基板之環境的上游。As described above, the deposition reaction of the deposited silicon carbide film may include co-reactants in addition to the silicon-containing precursor and the free radical species. The introduction of the co-reactants can be used to tune the composition of the silicon carbide film. The co-reactants can be flowed into the reaction chamber together with the silicon-containing precursor, wherein the co-reactants can flow downstream of the remote plasma source. For example, the gas outlet for introducing the silicon-containing precursor and the co-reactants can be located downstream of the remote plasma source. The remote plasma source is considered to be upstream of the substrate and the environment adjacent to the substrate. In some embodiments, the gas outlet for introducing the silicon-containing precursor and the co-reactants can be located downstream of the remote plasma source and upstream of the environment adjacent to the substrate.

除了含矽前驅物之外,還可將共反應物導入以作為第二前驅物。第二前驅物具有用於調諧矽碳化物膜之組成的化學性質。在某些實施例中,第二前驅物具有用於改善矽碳化物膜之階梯覆蓋率的化學性質。可相對於基板之一或更多特徵部而量測所沉積之矽碳化物膜的階梯覆蓋率。本文所用之「特徵部」可指涉基板上之非平面結構,通常為在半導體裝置加工操作中被修改的表面。特徵部之範例包含溝槽、通孔、襯墊、柱體、圓頂等。特徵部通常具有一深寬比(深度或高度對寬度)。在某些實施例中,矽碳化物膜之階梯覆蓋率為至少75%、至少80%、至少85%、至少90%、至少95%、或至少99%。In addition to the silicon-containing precursor, a co-reactant may be introduced as a second precursor. The second precursor has chemical properties for tuning the composition of the silicon carbide film. In some embodiments, the second precursor has chemical properties for improving the step coverage of the silicon carbide film. The step coverage of the deposited silicon carbide film may be measured relative to one or more features of the substrate. "Feature" as used herein may refer to a non-planar structure on a substrate, typically a surface that is modified during semiconductor device processing operations. Examples of features include trenches, through holes, pads, columns, domes, etc. Features typically have an aspect ratio (depth or height to width). In certain embodiments, the step coverage of the silicon carbide film is at least 75%, at least 80%, at least 85%, at least 90%, at least 95%, or at least 99%.

在某些實施例中,共反應物為烴分子。本發明之共反應物亦可稱為含碳前驅物,其係與含矽前驅物一起流動。在某些實施例中,烴分子可為具有至少一個雙鍵或至少一個三鍵的短鏈烴分子。例如,烴分子包含介於3個碳原子至7個碳原子之間的碳鏈。烴分子可包含一或更多不飽和碳鍵,例如一或更多碳與碳之雙鍵或三鍵。因此,烴分子可包含烯、或炔基團。合適的烴分子之範例包含丙烯、乙烯、丁烯、戊烯、丁二烯、戊二烯(例如1,4-戊二烯)、己二烯、庚二烯、甲苯、及苯。合適的烴分子之其他範例包含乙炔、丙炔、丁炔、戊炔(例如1-戊炔)、及己炔(例如2-己炔)。In some embodiments, the co-reactant is a hydrocarbon molecule. The co-reactant of the present invention may also be referred to as a carbon-containing precursor, which flows with the silicon-containing precursor. In some embodiments, the hydrocarbon molecule may be a short-chain hydrocarbon molecule having at least one double bond or at least one triple bond. For example, the hydrocarbon molecule comprises a carbon chain between 3 carbon atoms and 7 carbon atoms. The hydrocarbon molecule may comprise one or more unsaturated carbon bonds, such as one or more carbon-carbon double bonds or triple bonds. Thus, the hydrocarbon molecule may comprise an alkene or alkynyl group. Examples of suitable hydrocarbon molecules include propylene, ethylene, butene, pentene, butadiene, pentadiene (e.g., 1,4-pentadiene), hexadiene, heptadiene, toluene, and benzene. Other examples of suitable hydrocarbon molecules include acetylene, propyne, butyne, pentyne (eg, 1-pentyne), and hexyne (eg, 2-hexyne).

含碳前驅物可與一或更多含矽前驅物一起流動。在某些實施例中,含矽前驅物之各者不具有C-O鍵結及C-N鍵結。含矽前驅物之各者可包含二或更多Si-H鍵結。實際上,含矽前驅物之各者具有至少一個矽原子、以及與其鍵結的二或更多氫原子。因此,該至少一個矽原子不會有多於兩個的碳原子、氮原子、及/或氧原子與其鍵結。含矽前驅物之範例包含(但不限於)矽烷及高級矽烷、或烷基矽烷及高級烷基矽烷。例如,含矽前驅物可為矽烷、二矽烷、三矽烷、甲基矽烷、或二甲基矽烷。因此,與含碳前驅物一起流動的含矽前驅物可為基於矽烷之前驅物。基於矽烷之前驅物具有一矽原子,且有四個取代基與該矽原子鍵結。在矽原子上的四個取代基中,至少兩個取代基為氫。The carbon-containing precursor may flow with one or more silicon-containing precursors. In some embodiments, each of the silicon-containing precursors does not have C-O bonding and C-N bonding. Each of the silicon-containing precursors may include two or more Si-H bonding. In practice, each of the silicon-containing precursors has at least one silicon atom and two or more hydrogen atoms bonded thereto. Therefore, the at least one silicon atom does not have more than two carbon atoms, nitrogen atoms, and/or oxygen atoms bonded thereto. Examples of silicon-containing precursors include (but are not limited to) silanes and higher silanes, or alkyl silanes and higher alkyl silanes. For example, the silicon-containing precursor may be silane, disilane, trisilane, methylsilane, or dimethylsilane. Therefore, the silicon-containing precursor flowing with the carbon-containing precursor may be a silane-based precursor. The silane-based precursor has a silicon atom and four substituents bonded to the silicon atom. Among the four substituents on the silicon atom, at least two of the substituents are hydrogen.

在一或更多自由基物種的下游將含碳前驅物及含矽前驅物導入反應腔室中。可在導入含碳前驅物及含矽前驅物之氣體出口之上游的遠端電漿源中產生自由基物種。自由基物種可包含氫自由基,其中在與含碳前驅物及含矽前驅物進行混合或交互作用時,該等氫自由基處於實質低能態或基態。The carbon-containing precursor and the silicon-containing precursor are introduced into the reaction chamber downstream of one or more free radical species. The free radical species may be generated in a remote plasma source upstream of a gas outlet for introducing the carbon-containing precursor and the silicon-containing precursor. The free radical species may include hydrogen radicals, wherein the hydrogen radicals are in a substantially low energy state or ground state when mixed or interacted with the carbon-containing precursor and the silicon-containing precursor.

當藉由遠端電漿CVD沉積矽碳化物膜時,矽碳化物膜中的大多數(若非全部)Si-C鍵結通常可由含矽前驅物中現有的Si-C鍵結所提供。此可能限制調諧矽碳化物膜之組成的能力。使共反應物與含矽前驅物一起流動可在調諧矽碳化物膜之組成的方面加入較大的靈活性,因此更多或更少的碳可被含入矽碳化物膜中。然而,在共反應物為含碳前驅物之情況下,共反應物不會對矽碳化物膜之組成調諧有貢獻、或者共反應物添加可能對矽碳化物膜之電特性及/或階梯覆蓋率造成不利影響的C-C鍵結、C-O鍵結、或C-N鍵結。在本發明中,選擇含碳共反應物及含矽前驅物以使含碳共反應物對矽碳化物膜之組成調諧有貢獻而不會添加C-C鍵結、C-O鍵結、或C-N鍵結。與使用含矽前驅物中之現有Si-C鍵結而沉積的矽碳化物膜相比,含碳共反應物及含矽前驅物加入用以調諧矽碳化物膜之組成的額外製程參數,並同時維持或改善薄膜品質。When depositing silicon carbide films by remote plasma CVD, most, if not all, of the Si-C bonds in the silicon carbide film may typically be provided by existing Si-C bonds in the silicon-containing precursor. This may limit the ability to tune the composition of the silicon carbide film. Flowing a co-reactant with the silicon-containing precursor may add greater flexibility in tuning the composition of the silicon carbide film so that more or less carbon may be incorporated into the silicon carbide film. However, when the co-reactant is a carbon-containing precursor, the co-reactant does not contribute to the composition tuning of the silicon carbide film, or the co-reactant adds C-C bonds, C-O bonds, or C-N bonds that may adversely affect the electrical properties and/or step coverage of the silicon carbide film. In the present invention, the carbon-containing co-reactant and the silicon-containing precursor are selected so that the carbon-containing co-reactant contributes to the composition tuning of the silicon carbide film without adding C-C bonds, C-O bonds, or C-N bonds. The carbon-containing co-reactant and the silicon-containing precursor add additional process parameters for tuning the composition of the silicon carbide film, while maintaining or improving film quality, compared to silicon carbide films deposited using existing Si-C bonding in the silicon-containing precursor.

處於實質低能態或基態之氫自由基可與含碳前驅物及基於矽烷之前驅物進行交互作用。在不受任何理論之限制下,在沉積反應中較為動力學上有利之反應機制中之一者包含奪氫反應(hydrogen abstraction),其涉及基於矽烷之前驅物中之Si-H鍵結的選擇性斷鍵。奪氫反應造成活化的基於矽烷之前驅物。在不受任何理論之限制下,處於實質低能態或基態之氫自由基可與烴分子中的炔或烯基團進行交互作用,從而致使活化的烷類(例如甲烷)形成。在某些情況下,烴分子斷成短鏈烴分子或自由基。活化的烷類含有碳自由基以作為活化部位,且活化的基於矽烷之前驅物含有矽自由基以作為活化部位,且該等活化部位可一起反應而形成Si-C鍵結。圖5顯示來自含碳前驅物之活化烷類與活化的基於矽烷之前驅物之間的化學反應。Hydrogen radicals in a substantially low energy state or ground state can interact with carbon-containing precursors and silane-based precursors. Without being bound by any theory, one of the more kinetically favorable reaction mechanisms in the deposition reaction includes hydrogen abstraction, which involves selective scission of Si-H bonds in the silane-based precursor. The hydrogen abstraction reaction results in an activated silane-based precursor. Without being bound by any theory, hydrogen radicals in a substantially low energy state or ground state can interact with alkyne or alkene groups in hydrocarbon molecules, resulting in the formation of activated alkanes (e.g., methane). In some cases, the hydrocarbon molecules are broken into short-chain hydrocarbon molecules or radicals. Activated alkanes contain carbon radicals as activation sites, and activated silane-based precursors contain silicon radicals as activation sites, and these activation sites can react together to form Si-C bonds. Figure 5 shows the chemical reaction between activated alkanes from carbon-containing precursors and activated silane-based precursors.

含碳前驅物並非作為被動的旁觀者,而是可對矽碳化物膜之組成有顯著貢獻。含碳前驅物、及與處於實質低能態或基態之氫自由基的任何反應之副產物可大量地被含入矽碳化物膜中。如本文所使用,針對來自含碳前驅物之碳被含入矽碳化物膜中之情況,「大量」一詞可指涉:相較於沒有使用含碳前驅物之矽碳化物膜沉積,碳之原子濃度的變化量等於或大於約5%。來自含碳前驅物之碳的貢獻避免了C-C鍵結之加入、或使其最小化。矽碳化物膜不具有C-C鍵結、或實質上不具有C-C鍵結。在某些實施例中,矽碳化物膜中之C-C鍵結的百分比等於或小於約2%、等於或小於約1%、等於或小於約0.5%、或甚至為0%。Rather than being a passive bystander, the carbon-containing precursor can contribute significantly to the composition of the silicon carbide film. The carbon-containing precursor, and byproducts of any reaction with hydrogen radicals in a substantially low energy state or ground state, can be incorporated into the silicon carbide film in a substantial amount. As used herein, with respect to the case where carbon from the carbon-containing precursor is incorporated into the silicon carbide film, the term "substantial amount" can refer to a change in atomic concentration of carbon equal to or greater than about 5% compared to a silicon carbide film deposited without the use of the carbon-containing precursor. The contribution of carbon from the carbon-containing precursor avoids or minimizes the addition of C-C bonds. The silicon carbide film has no C-C bonds, or substantially no C-C bonds. In certain embodiments, the percentage of C-C bonds in the silicon carbide film is equal to or less than about 2%, equal to or less than about 1%, equal to or less than about 0.5%, or even 0%.

本發明中之遠端電漿CVD製程可包含遠端氫電漿,其中氫自由基與遠端電漿源下游的含碳前驅物及含矽前驅物進行交互作用。在某些實施例中,遠端氫電漿可更包含遠端氮電漿或遠端氧電漿。可將氮化劑或氧化劑加至遠端電漿源,以各別地產生氮自由基或氧自由基。氮化劑可促進碳氮化矽(SiCN)膜之形成,而氧化劑可促進碳氧化矽(SiCO)膜之形成。The remote plasma CVD process of the present invention may include a remote hydrogen plasma, wherein hydrogen radicals interact with a carbon-containing precursor and a silicon-containing precursor downstream of the remote plasma source. In some embodiments, the remote hydrogen plasma may further include a remote nitrogen plasma or a remote oxygen plasma. A nitriding agent or an oxidizing agent may be added to the remote plasma source to generate nitrogen radicals or oxygen radicals, respectively. The nitriding agent may promote the formation of a silicon carbonitride (SiCN) film, while the oxidizing agent may promote the formation of a silicon oxycarbide (SiCO) film.

在形成SiCN膜時,可將氮化劑及氫提供至遠端電漿源。在某些實施例中,將載氣(例如氦)提供至遠端電漿源以與氮化劑及氫進行混合。氮化劑及氫之自由基可於遠端電漿源中產生。在某些實施例中,氮化劑包含氮(N2 )或氨(NH3 )。可沿著氫之自由基的流動路徑將氮化劑之自由基自遠端電漿源導入反應腔室中。氮化劑及氫之自由基與一或更多含矽前驅物及共反應物進行反應而形成SiCN膜。在不受任何理論之限制下,胺自由基或氮自由基與活化的含矽前驅物進行交互作用而形成Si-N鍵結。SiCN膜不具有C-C鍵結或實質上不具有C-C鍵結,且不具有C-N鍵結或實質上不具有C-N鍵結。在某些實施例中,SiCN膜中之C-C鍵結或C-N鍵結的百分比等於或小於約2%、等於或小於約1%、等於或小於約0.5%、或甚至為0%。When forming a SiCN film, a nitriding agent and hydrogen may be provided to a remote plasma source. In some embodiments, a carrier gas (e.g., helium) is provided to the remote plasma source to mix with the nitriding agent and hydrogen. Free radicals of the nitriding agent and hydrogen may be generated in the remote plasma source. In some embodiments, the nitriding agent comprises nitrogen ( N2 ) or ammonia ( NH3 ). The free radicals of the nitriding agent may be introduced from the remote plasma source into the reaction chamber along a flow path of the free radicals of hydrogen. The free radicals of the nitriding agent and hydrogen react with one or more silicon-containing precursors and co-reactants to form a SiCN film. Without being bound by any theory, the amine radicals or nitrogen radicals interact with the activated silicon-containing precursor to form Si-N bonds. The SiCN film has no or substantially no CC bonds, and has no or substantially no CN bonds. In some embodiments, the percentage of CC bonds or CN bonds in the SiCN film is equal to or less than about 2%, equal to or less than about 1%, equal to or less than about 0.5%, or even 0%.

在形成SiCO膜時,可將氧化劑及氫提供至遠端電漿源。在某些實施例中,將載氣(例如氦)提供至遠端電漿源以與氧化劑及氫進行混合。氧化劑及氫之自由基可於遠端電漿源中產生。在某些實施例中,氧化劑包含二氧化碳(CO2 )、一氧化碳(CO)、氧(O2 )、臭氧(O3 )、或氧化亞氮(N2 O)。可沿著氫之自由基的流動路徑將氧化劑之自由基自遠端電漿源導入反應腔室中。氧化劑及氫之自由基與一或更多含矽前驅物及共反應物進行反應而形成SiCO膜。在不受任何理論之限制下,氧自由基與活化的含矽前驅物進行交互作用而形成Si-O鍵結。SiCO膜不具有C-C鍵結或實質上不具有C-C鍵結,且不具有C-O鍵結或實質上不具有C-O鍵結。在某些實施例中,SiCO膜中之C-C鍵結或C-O鍵結的百分比等於或小於約2%、等於或小於約1%、等於或小於約0.5%、或甚至為0%。When forming a SiCO film, an oxidant and hydrogen may be provided to a remote plasma source. In certain embodiments, a carrier gas (e.g., helium) is provided to the remote plasma source to mix with the oxidant and hydrogen. Free radicals of the oxidant and hydrogen may be generated in the remote plasma source. In certain embodiments, the oxidant comprises carbon dioxide (CO 2 ), carbon monoxide (CO), oxygen (O 2 ), ozone (O 3 ), or nitrous oxide (N 2 O). Free radicals of the oxidant may be introduced from the remote plasma source into a reaction chamber along a flow path of free radicals of hydrogen. The free radicals of the oxidant and hydrogen react with one or more silicon-containing precursors and co-reactants to form a SiCO film. Without being bound by any theory, oxygen radicals interact with the activated silicon-containing precursor to form Si-O bonds. The SiCO film has no or substantially no CC bonding, and has no or substantially no CO bonding. In some embodiments, the percentage of CC bonding or CO bonding in the SiCO film is equal to or less than about 2%, equal to or less than about 1%, equal to or less than about 0.5%, or even 0%.

圖6A顯示使用含矽前驅物及變量含碳前驅物之矽碳化物膜之遠端電漿CVD的FTIR光譜圖。圖6B顯示圖6A中之FTIR光譜之一部份的放大圖。含碳前驅物及含矽前驅物係在遠端電漿的下游提供。遠端電漿包含氫自由基及氮自由基。在圖6A–6B中,FTIR光譜顯示若干繪圖,具有最高尖峰的繪圖具有0 sccm之流率的含碳前驅物、具有第二高尖峰的繪圖具有1 sccm之流率的含碳前驅物、具有第三高尖峰的繪圖具有3 sccm之流率的含碳前驅物、具有第四高尖峰的繪圖具有5 sccm之流率的含碳前驅物、具有第五高尖峰的繪圖具有10 sccm之流率的含碳前驅物、具有第六高尖峰的繪圖具有15 sccm之流率的含碳前驅物、且具有最短尖峰的繪圖具有24 sccm之流率的含碳前驅物。可在約835 cm-1 處觀察到Si-N鍵結的存在,且可在約790 cm-1 處觀察到Si-C鍵結的存在。FIG6A shows an FTIR spectrum of remote plasma CVD of silicon carbide films using a silicon-containing precursor and varying amounts of a carbon-containing precursor. FIG6B shows an enlarged view of a portion of the FTIR spectrum in FIG6A. The carbon-containing precursor and the silicon-containing precursor are provided downstream of the remote plasma. The remote plasma contains hydrogen radicals and nitrogen radicals. In FIGS. 6A–6B , the FTIR spectrum shows several plots, the plot with the highest peak having a carbon-containing precursor with a flow rate of 0 sccm, the plot with the second highest peak having a carbon-containing precursor with a flow rate of 1 sccm, the plot with the third highest peak having a carbon-containing precursor with a flow rate of 3 sccm, the plot with the fourth highest peak having a carbon-containing precursor with a flow rate of 5 sccm, the plot with the fifth highest peak having a carbon-containing precursor with a flow rate of 10 sccm, the plot with the sixth highest peak having a carbon-containing precursor with a flow rate of 15 sccm, and the plot with the shortest peak having a carbon-containing precursor with a flow rate of 24 sccm. The presence of Si—N bonding can be observed at about 835 cm −1 , and the presence of Si—C bonding can be observed at about 790 cm −1 .

在沒有含碳前驅物之情況下,當含矽前驅物與含有氮自由基之遠端電漿進行反應時沉積矽氮化物膜。導入含碳前驅物致使矽之碳氮化物薄膜形成。矽之碳氮化物薄膜包含Si-N鍵結及Si-C鍵結兩者。如圖6A–6B所示,增加含碳前驅物之流率使得矽之碳氮化物薄膜中的Si-C鍵結的量增加。Si-C鍵結為含碳前驅物所致之結果。雖然Si-C鍵結之存在通常來自單一含矽前驅物,但本發明可導入含矽前驅物及含碳前驅物以在經摻雜或未經摻雜之矽碳化物膜中形成Si-C鍵結。A silicon nitride film is deposited when a silicon-containing precursor reacts with a remote plasma containing nitrogen radicals in the absence of a carbon-containing precursor. Introducing a carbon-containing precursor causes a silicon carbonitride film to form. The silicon carbonitride film contains both Si-N bonds and Si-C bonds. As shown in FIGS. 6A-6B , increasing the flow rate of the carbon-containing precursor increases the amount of Si-C bonds in the silicon carbonitride film. The Si-C bonds are a result of the carbon-containing precursor. Although Si-C bonds typically exist from a single silicon-containing precursor, the present invention can introduce a silicon-containing precursor and a carbon-containing precursor to form Si-C bonds in a doped or undoped silicon carbide film.

圖7顯示使用含矽前驅物及含碳前驅物在基板特徵部上沉積的矽碳化物薄膜之TEM圖。遠端電漿包含氫自由基及氮自由基。X射線光電子光譜(XPS)資料可識別包含圖7中之矽碳化物薄膜之沉積膜的組成。表1中顯示為矽碳化物薄膜編製的XPS資料之總結。元素組成係以原子百分比濃度表示,並且表示出碳與矽(C/Si)、氮與矽(N/Si)、及碳與氮(C/N)之間的原子百分比之比率。如表1所示,導入含碳前驅物可產生具有高碳含量的經摻雜之矽碳化物膜。 表1 FIG7 shows a TEM image of a silicon carbide film deposited on a substrate feature using a silicon-containing precursor and a carbon-containing precursor. The remote plasma contains hydrogen radicals and nitrogen radicals. X-ray photoelectron spectroscopy (XPS) data can identify the composition of the deposited film including the silicon carbide film in FIG7. A summary of the XPS data compiled for the silicon carbide film is shown in Table 1. The elemental composition is expressed as atomic percent concentration and shows the atomic percent ratio between carbon and silicon (C/Si), nitrogen and silicon (N/Si), and carbon and nitrogen (C/N). As shown in Table 1, the introduction of a carbon-containing precursor can produce a doped silicon carbide film with a high carbon content. Table 1

導入第二前驅物(尤其係含碳前驅物)顯著地改善矽碳化物膜的階梯覆蓋率。在某些實施例中,矽碳化物膜的階梯覆蓋率為至少75%、至少80%、至少85%、或至少90%。在導入含碳前驅物之情況下,亦實質上保持薄膜品質及薄膜密度。例如,薄膜密度可等於或大於約2.0 g/cm3 。 沉積膜之結構及特性The introduction of a second precursor, particularly a carbon-containing precursor, significantly improves the step coverage of the silicon carbide film. In certain embodiments, the step coverage of the silicon carbide film is at least 75%, at least 80%, at least 85%, or at least 90%. The film quality and film density are also substantially maintained when the carbon-containing precursor is introduced. For example, the film density can be equal to or greater than about 2.0 g/cm 3. Structure and Properties of Deposited Films

沉積膜包含矽、碳,且在一些情況下包含氧、氮、及/或一或更多其他元素。在某些實施例中,矽的原子濃度係在約15%至45%(或約25%至40%)之間,碳的原子濃度係在約10%至50%之間,氧的原子濃度係在約0%至45%之間,且氮的原子濃度係在約0%至45%之間。在一範例中,矽的原子濃度約為30%,氧的原子濃度約為25%,且碳的原子濃度約為45%。在另一範例中,矽的原子濃度約為30%,氧的原子濃度約為45%,且碳的原子濃度約為25%。在另一範例中,薄膜含有約10-15%的碳及約30-40%的氧,兩者皆係基於原子濃度。在所有情況下,薄膜含有一些氫。然而,應理解,氫之相對原子濃度很小,例如等於或少於約5%。應理解,相對原子濃度可根據前驅物之選擇而變化。矽原子會與碳形成鍵結,且選用性地與氮及/或氧原子形成鍵結。在某些實施例中,沉積膜含有比Si-N鍵結更多的Si-C鍵結。在某些範例中,沉積膜含有的Si-C鍵結與Si-N鍵結之比率介於約0.5:1至3:1之間。在某些實施例中,薄膜密度係介於約2至2.7 g/cm3 之間。The deposited film includes silicon, carbon, and in some cases oxygen, nitrogen, and/or one or more other elements. In some embodiments, the atomic concentration of silicon is between about 15% and 45% (or about 25% to 40%), the atomic concentration of carbon is between about 10% and 50%, the atomic concentration of oxygen is between about 0% and 45%, and the atomic concentration of nitrogen is between about 0% and 45%. In one example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 25%, and the atomic concentration of carbon is about 45%. In another example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 45%, and the atomic concentration of carbon is about 25%. In another example, the film contains about 10-15% carbon and about 30-40% oxygen, both based on atomic concentrations. In all cases, the film contains some hydrogen. However, it should be understood that the relative atomic concentration of hydrogen is small, for example, equal to or less than about 5%. It should be understood that the relative atomic concentration may vary depending on the choice of precursor. Silicon atoms form bonds with carbon and optionally with nitrogen and/or oxygen atoms. In some embodiments, the deposited film contains more Si-C bonds than Si-N bonds. In some examples, the deposited film contains a ratio of Si-C bonds to Si-N bonds between about 0.5:1 and 3:1. In some embodiments, the film density is between about 2 and 2.7 g/ cm3 .

在使用含碳前驅物及具有至少兩個與矽原子鍵結之氫原子的含矽前驅物時,相較於矽碳化物膜中之其他元素,矽與碳的相對原子濃度可相對較高。在某些實施例中,矽的相對原子濃度可為至少25%或至少30%,且碳的相對原子濃度可為至少25%或至少30%或至少40%。此外,針對經摻雜之矽碳化物膜,氧的相對原子濃度可低於約10%,且氮的相對原子濃度可低於約10%。When using a carbon-containing precursor and a silicon-containing precursor having at least two hydrogen atoms bonded to silicon atoms, the relative atomic concentrations of silicon and carbon can be relatively high compared to other elements in the silicon carbide film. In certain embodiments, the relative atomic concentration of silicon can be at least 25% or at least 30%, and the relative atomic concentration of carbon can be at least 25% or at least 30% or at least 40%. In addition, for doped silicon carbide films, the relative atomic concentration of oxygen can be less than about 10%, and the relative atomic concentration of nitrogen can be less than about 10%.

在某些實施例中,前驅物的內部結構係保留在沉積膜中。此結構可保留前驅物中之Si–C、及Si–O及/或Si–N鍵結(若存在)中的所有者或大多者,同時經由以下者而聯結或交聯各別前驅物的官能部分:經由存在於前驅物分子中之Si–H鍵結及/或Si–Si鍵結位置處的鍵結、及/或若提供充分熱量時則經由成長表面上的額外凝結反應。In certain embodiments, the internal structure of the precursor is retained in the deposited film. This structure may retain all or most of the Si-C, and Si-O and/or Si-N bonds (if present) in the precursor, while linking or cross-linking the functional moieties of the respective precursors through bonding at Si-H and/or Si-Si bonding sites present in the precursor molecules, and/or through additional condensation reactions on the growth surface if sufficient heat is provided.

本文先前所述的製程條件可提供高度保形的薄膜結構。相對溫和的製程條件可使基板表面處的離子轟擊程度最小化,俾使沉積不具有方向性。此外,相對溫和的製程條件可使具有高黏滯係數之自由基的數目減少,具有高黏滯係數之自由基傾向於黏附在先前沉積之膜層或薄膜的側壁上。在某些實施例中,對於約2:1至10:1的深寬比,矽碳化物膜可沉積得到介於約25%至100%之間的保形性、更通常介於約50%至100%之間的保形性、及甚至更通常介於約80%至100%之間的保形性。保形性可藉由下列方式計算:將特徵部底部上、側壁上、或頂部上之沉積膜的平均厚度與上特徵部底部上、側壁上、或頂部上之沉積膜的平均厚度進行比較。例如,保形性可藉由下列方式計算:將側壁上之沉積膜的平均厚度除以特徵部頂部處之沉積膜的平均厚度,並將其乘以100以得到百分比。對於某些應用而言,介於約85%至95%之間的保形性已足夠。在某些範例中,在具有介於約2:1至約4:1之間之深寬比的特徵部上沉積矽碳化物,保形性至少為約90%。某些BEOL製程(後段製程)落在此範疇內。在某些範例中,在具有介於約4:1至約6:1之間之深寬比的特徵部上沉積矽碳化物,保形性至少為約80%。某些間隔件沉積製程落在此範疇內。在某些範例中,在具有介於約7:1至約10:1(及甚至更高)之間之深寬比的特徵部上沉積矽碳化物,保形性至少為約90%。某些DRAM(動態隨機存取記憶體)製造製程落在此範疇內。The process conditions previously described herein can provide highly conformal thin film structures. The relatively mild process conditions can minimize the extent of ion bombardment at the substrate surface so that deposition is non-directional. In addition, the relatively mild process conditions can reduce the number of free radicals with high viscosity coefficients, which tend to adhere to the side walls of previously deposited film layers or films. In certain embodiments, for aspect ratios of about 2:1 to 10:1, silicon carbide films can be deposited with a conformality of between about 25% and 100%, more typically between about 50% and 100%, and even more typically between about 80% and 100%. Conformality can be calculated by comparing the average thickness of the deposited film on the bottom, sidewalls, or top of the feature to the average thickness of the deposited film on the bottom, sidewalls, or top of the feature. For example, conformality can be calculated by dividing the average thickness of the deposited film on the sidewalls by the average thickness of the deposited film at the top of the feature and multiplying by 100 to get the percentage. For some applications, a conformality of between about 85% and 95% is sufficient. In some examples, silicon carbide is deposited on features having an aspect ratio of between about 2:1 and about 4:1, and the conformality is at least about 90%. Certain BEOL processes (back end of line processes) fall into this category. In some examples, silicon carbide is deposited on features having aspect ratios between about 4:1 and about 6:1 with a conformality of at least about 80%. Certain spacer deposition processes fall within this category. In some examples, silicon carbide is deposited on features having aspect ratios between about 7:1 and about 10:1 (and even higher) with a conformality of at least about 90%. Certain DRAM (dynamic random access memory) manufacturing processes fall within this category.

製程條件亦可提供具有高崩潰電壓與低漏電流的薄膜結構。藉由將有限量的氧或氮導入SiC類別材料中,可藉由氧或氮阻擋Si–H鍵結及/或Si-CH2 -Si鍵結所提供的漏失路徑。在低電場處Si–O與Si–N中的導電模式可能不同。此可提供改良的電特性並同時維持相對低的介電常數。在各種實施例中,薄膜具有約5或更低、或約4.0或更低、在某些情況中約3.5或更低、在某些情況中約3.0或更低、甚至某些實施例中約2.5或更低的有效介電常數。有效介電常數可取決於鍵結與密度。在某些實施例中,SiOC膜被製成具有6或更高的介電常數,尤其是當碳含量相對高時。若漏電流為重要的考量,則SiOC膜需要小於5的介電常數。介電常數愈低則其密封及阻障與熱抵抗力特性愈差。在某些應用要求低的密封度及擴散限制、優異的抗蝕刻性、熱穩定性等之實施例中,可使矽碳化物膜為緻密且高度交聯的。此可藉由例如下列各者而完成:a) 在相對高溫下沉積薄膜、及/或 b) 提供相對高的自由基:前驅物之比率。在某些實施例中,矽碳化物膜係可為相對薄,並仍作為有效的密封與擴散阻障。The process conditions can also provide a thin film structure with high breakdown voltage and low leakage current. By introducing a limited amount of oxygen or nitrogen into SiC-like materials, leakage paths provided by Si-H bonds and/or Si-CH 2 -Si bonds can be blocked by oxygen or nitrogen. The conduction modes in Si-O and Si-N may be different at low electric fields. This can provide improved electrical properties while maintaining a relatively low dielectric constant. In various embodiments, the film has an effective dielectric constant of about 5 or less, or about 4.0 or less, in some cases about 3.5 or less, in some cases about 3.0 or less, and even about 2.5 or less in some embodiments. The effective dielectric constant may depend on bonding and density. In some embodiments, SiOC films are made to have a dielectric constant of 6 or more, especially when the carbon content is relatively high. If leakage current is an important consideration, then SiOC films require a dielectric constant of less than 5. The lower the dielectric constant, the poorer the sealing and barrier and thermal resistance properties. In certain embodiments where applications require low sealing and diffusion confinement, excellent etch resistance, thermal stability, etc., the silicon carbide film can be made dense and highly cross-linked. This can be accomplished, for example, by a) depositing the film at relatively high temperatures, and/or b) providing a relatively high radical:precursor ratio. In certain embodiments, the silicon carbide film can be relatively thin and still serve as an effective seal and diffusion barrier.

在某些實施例中,沉積膜可為多孔的。如本文先前討論,含矽前驅物可包含環形矽氧烷與籠形矽氧烷。該等前驅物、及具有顯著內部開放空間的其他者可將顯著的多孔性導入沉積膜的結構內。沉積膜中的多孔性可進一步降低介電常數。在某些實施例中,所沉積之矽碳化物膜的孔隙率介於約20%至50%之間。多孔膜的孔尺寸可跟隨環形或籠形前驅物的孔洞尺寸。在某些實施例中,膜的平均孔洞尺寸介於約5Å至20Å之間,例如約16Å。 應用In certain embodiments, the deposited film may be porous. As discussed previously herein, silicon-containing precursors may include cyclic siloxanes and cage siloxanes. Such precursors, and others with significant internal open space, may introduce significant porosity into the structure of the deposited film. The porosity in the deposited film may further reduce the dielectric constant. In certain embodiments, the deposited silicon carbide film has a porosity of between about 20% and 50%. The pore size of the porous film may follow the pore size of the ring or cage precursor. In certain embodiments, the average pore size of the film is between about 5Å and 20Å, for example, about 16Å. Applications

藉由參照下文中高品質矽碳化物膜的應用可更瞭解本發明,該等應用純粹是說明性的。本發明不受特定應用範圍的限制,該等特定應用僅例示本發明的態樣。The present invention can be further understood by referring to the applications of high-quality silicon carbide films described below, which are purely illustrative. The present invention is not limited to the scope of specific applications, which are only examples of the present invention.

在某些實施例中,矽碳化物膜可被沉積在暴露的銅上方。在沉積矽碳化物膜之某些實施例中,鄰近基板之反應條件可能無氧化劑(如O2 、O3 、及CO2 (包含其自由基))。因此,可將矽碳化物膜直接沉積在暴露的銅上方而不使銅氧化(例如產生氧化銅)。此類薄膜可用作一蝕刻停止層,其亦可用作一銅擴散阻障層。矽碳化物膜的存在可提供充分低介電常數及優異滲漏特性以用作擴散阻障層。矽碳化物膜本身可為蝕刻停止及/或擴散阻障層、或為一雙層堆疊體(例如沉積在暴露之銅上方之SiCO/SiNC雙層)。在某些實施例中,矽碳化物膜可被置於相鄰之通常以鑲嵌製程所產生之金屬化膜層之間。矽碳化物膜可抵抗蝕刻且可為充分緻密以使銅離子擴散進入介電材料的相鄰區域的現象最小化。在某些實施例中,可藉著使用含氮前驅物或電漿活化含氮自由基(例如元素氮之自由基或胺自由基)而將氮含入薄膜中。In some embodiments, a silicon carbide film may be deposited over the exposed copper. In some embodiments where the silicon carbide film is deposited, the reaction conditions adjacent to the substrate may be free of oxidants (e.g., O 2 , O 3 , and CO 2 (including radicals thereof)). Thus, the silicon carbide film may be deposited directly over the exposed copper without oxidizing the copper (e.g., producing copper oxide). Such a film may be used as an etch stop layer, which may also be used as a copper diffusion barrier. The presence of the silicon carbide film may provide sufficiently low dielectric constant and excellent leakage characteristics to serve as a diffusion barrier. The silicon carbide film itself can be an etch stop and/or diffusion barrier layer, or a bilayer stack (e.g., a SiCO/SiNC bilayer deposited over exposed copper). In some embodiments, the silicon carbide film can be placed between adjacent metallization layers, typically produced by a damascene process. The silicon carbide film can resist etching and can be sufficiently dense to minimize diffusion of copper ions into adjacent regions of the dielectric material. In some embodiments, nitrogen can be incorporated into the film by using nitrogen-containing precursors or plasmas to activate nitrogen-containing radicals (e.g., elemental nitrogen radicals or amine radicals).

在如圖1B所示之某些實施例中,矽碳化物膜111可被保形沉積於基板110之特徵部112上。特徵部112可為孤立或密集的特徵部,其中特徵部112可具有相對小的臨界尺寸(CD)。在某些實施例中,特徵部可具有等於或小於約20 nm、等於或小於約10 nm、或者等於或小於約5 nm的CD。特徵部112的高度與寬度之深寬比可為大於2:1、大於5:1、大於10:1、或大於20:1。沉積於特徵部112上之矽碳化物膜111的階梯覆蓋率為至少75%、至少80%、至少85%、至少90%、至少95%、或至少99%。In some embodiments as shown in FIG. 1B , silicon carbide film 111 may be conformally deposited on features 112 of substrate 110. Features 112 may be isolated or densely packed features, wherein features 112 may have a relatively small critical dimension (CD). In some embodiments, features may have a CD equal to or less than about 20 nm, equal to or less than about 10 nm, or equal to or less than about 5 nm. The aspect ratio of the height to the width of features 112 may be greater than 2:1, greater than 5:1, greater than 10:1, or greater than 20:1. The step coverage of silicon carbide film 111 deposited on features 112 is at least 75%, at least 80%, at least 85%, at least 90%, at least 95%, or at least 99%.

在某些實施例中,可沉積矽碳化物膜作為與金屬或半導體結構相鄰的垂直結構。矽碳化物之沉積提供沿著金屬或半導體結構之側壁的優異階梯覆蓋而產生垂直結構。在某些實施例中,垂直結構可稱為間隔件或襯墊。In some embodiments, a silicon carbide film may be deposited as a vertical structure adjacent to a metal or semiconductor structure. The deposition of silicon carbide provides excellent step coverage along the sidewalls of the metal or semiconductor structure to produce the vertical structure. In some embodiments, the vertical structure may be referred to as a spacer or pad.

圖1C顯示沉積在電晶體之閘電極結構之側壁上之矽碳化物襯墊的橫剖面。如圖1C中所示,電晶體可為CMOS 電晶體,其中矽基板120具有源極122與汲極123。閘極介電質124可被沉積至矽基板120上方,閘電極125可被沉積在閘極介電質124上方以形成電晶體。矽碳化物間隔件或襯墊121可被沉積在閘電極125之側壁與閘極介電質124上。FIG1C shows a cross-section of a silicon carbide liner deposited on the sidewalls of a gate electrode structure of a transistor. As shown in FIG1C , the transistor may be a CMOS transistor, wherein a silicon substrate 120 has a source 122 and a drain 123. A gate dielectric 124 may be deposited over the silicon substrate 120, and a gate electrode 125 may be deposited over the gate dielectric 124 to form the transistor. A silicon carbide spacer or liner 121 may be deposited on the sidewalls of the gate electrode 125 and the gate dielectric 124.

在另一範例中,圖1D顯示沉積在氣隙式金屬化層中之裸露銅線之側壁上之矽碳化物的橫剖面。氣隙130可被導入銅線132之間的積體電路層中,其可減少膜層的有效介電常數值。矽碳化物襯墊131可被沉積在銅線132的側壁上,且非保形介電層133可被沉積在氣隙130、襯墊131、及銅線132上。此等氣隙式金屬化層的範例係記載於Fei Wang 等人之美國專利公開案第2004/0232552號中,在此將其所有內容引入作為所有目的之參考。In another example, FIG. 1D shows a cross-section of silicon carbide deposited on the sidewalls of an exposed copper line in an air-gap metallization layer. Air gaps 130 may be introduced into an integrated circuit layer between copper lines 132, which may reduce the effective dielectric constant value of the film layer. Silicon carbide pads 131 may be deposited on the sidewalls of copper lines 132, and a non-conformal dielectric layer 133 may be deposited on the air gaps 130, pads 131, and copper lines 132. Examples of such air-gap metallization layers are described in U.S. Patent Publication No. 2004/0232552 to Fei Wang et al., which is incorporated herein by reference in its entirety for all purposes.

在某些實施例中,矽碳化物膜可被沉積在經圖案化之多孔介電材料的側壁上。超低介電常數介電材料可由多孔結構所製成。此類材料中的孔隙可在後續膜層的沉積期間(包含含金屬(如鉭(Ta))之擴散阻障層之沉積期間)提供使金屬進入的區域。若過多金屬遷移進入介電材料中,則介電材料可能引起相鄰銅金屬化線之間的短路。In some embodiments, silicon carbide films may be deposited on the sidewalls of a patterned porous dielectric material. Ultra-low-k dielectric materials may be made from porous structures. The pores in such materials may provide areas for metal to enter during the deposition of subsequent film layers, including during the deposition of diffusion barriers containing metals such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may cause shorts between adjacent copper metallization lines.

圖1E顯示作為多孔介電材料之孔隙封填物之矽碳化物膜的橫剖面。多孔介電層142可具有被裁切至多孔介電層142中以形成孔隙140的溝槽或通孔。矽碳化物膜 141可沿著孔隙140沉積以有效地將孔隙140密封。以矽碳化物膜 141將孔隙140密封可避免對多孔介電層142造成損害,該損害可能因使用電漿之其他密封技術而招致。矽碳化物膜 141可為充分地緻密而作為孔隙封填物。在某些實施例中,經蝕刻的介電材料(例如多孔介電層142)可先受到「介電常數回復(k-recovery)」之製程,該製程將多孔介電層142暴露於UV輻射及還原劑。此回復製程係進一步記載於Varadarajan等人之共有之美國專利公開案第2011/0111533號中,在此將其所有內容引入作為所有目的之參考。在另一「介電常數回復」之製程中,可將多孔介電層142暴露於UV輻射及化學矽烷化劑。此回復製程係進一步記載於Varadarajan等人之共有之美國專利公開案第2011/0117678號中,在此將其所有內容引入作為所有目的之參考。在將孔隙140暴露於回復處理而使表面更親水性並提供一材料單層之後,可沉積一層保形沉積的矽碳化物膜141以有效地將多孔介電層142的孔隙140密封。FIG. 1E shows a cross-section of a silicon carbide film as a pore filler for a porous dielectric material. The porous dielectric layer 142 may have trenches or through holes cut into the porous dielectric layer 142 to form the pores 140. The silicon carbide film 141 may be deposited along the pores 140 to effectively seal the pores 140. Sealing the pores 140 with the silicon carbide film 141 may avoid damage to the porous dielectric layer 142 that may be incurred by other sealing techniques using plasma. The silicon carbide film 141 may be sufficiently dense to act as a pore filler. In certain embodiments, the etched dielectric material (e.g., porous dielectric layer 142) may first be subjected to a "k-recovery" process that exposes porous dielectric layer 142 to UV radiation and a reducing agent. This recovery process is further described in co-owned U.S. Patent Publication No. 2011/0111533 to Varadarajan et al., which is hereby incorporated by reference in its entirety for all purposes. In another "k-recovery" process, porous dielectric layer 142 may be exposed to UV radiation and a chemical silanizing agent. This recovery process is further described in co-owned U.S. Patent Publication No. 2011/0117678 to Varadarajan et al., which is hereby incorporated by reference in its entirety for all purposes. After exposing the pores 140 to a recovery treatment to render the surface more hydrophilic and provide a monolayer of material, a conformally deposited silicon carbide film 141 may be deposited to effectively seal the pores 140 of the porous dielectric layer 142.

在某些實施例中,矽碳化物膜可被沉積以本身作為超低介電常數介電材料。超低介電常數介電材料在習知上被定義為介電常數低於2.5的材料。在此等配置中,矽碳化物的超低介電常數介電材料可為多孔介電層。可藉由使用環形或籠形的前驅物分子(包含環矽氧烷與倍半矽氧烷)而導入介電層的孔隙。在一範例中,矽碳化物之超低介電常數介電層的孔隙度可介於約20%至50%之間。再者,超低介電常數介電層可具有小於約100 Å(例如介於約5 Å至20 Å之間)的平均孔隙尺寸。例如,環矽氧烷之環可具有約6.7 Å的半徑。雖然增加孔隙的數目與尺寸可使介電常數降低,但若介電層太過多孔可能會損害介電層的機械完整性。 結論In certain embodiments, a silicon carbide film may be deposited as an ultra-low dielectric material itself. An ultra-low dielectric material is conventionally defined as a material having a dielectric constant less than 2.5. In such configurations, the ultra-low dielectric material of silicon carbide may be a porous dielectric layer. Porosity in the dielectric layer may be introduced by using ring-shaped or cage-shaped precursor molecules, including cyclosiloxanes and silsesquioxanes. In one example, the porosity of the ultra-low dielectric layer of silicon carbide may be between about 20% and 50%. Furthermore, the ultra-low dielectric layer may have an average pore size of less than about 100 Å, such as between about 5 Å and 20 Å. For example, a cyclosiloxane ring may have a radius of about 6.7 Å. Although increasing the number and size of pores can reduce the dielectric constant, making the dielectric layer too porous may compromise the mechanical integrity of the dielectric layer. Conclusion

在以上的敘述中,說明了大量的特定細節,以提供對所提出之實施例的徹底理解。所揭示之實施例可在毋須若干或全部此等特定細節之情況下實行。在其他的範例中,為了不使本發明晦澀難懂,習知的製程操作不會有詳細敘述。雖然所揭示之實施例係結合特定實施例而加以說明,但應理解,並非意圖限制所揭示之實施例。In the above description, numerous specific details are set forth to provide a thorough understanding of the embodiments presented. The disclosed embodiments may be practiced without some or all of these specific details. In other examples, in order not to obscure the present invention, well-known process operations are not described in detail. Although the disclosed embodiments are described in conjunction with specific embodiments, it should be understood that they are not intended to limit the disclosed embodiments.

為了讓熟知此項技藝者能清楚瞭解本發明,已詳細說明了上述的實施例,應理解,在隨附之申請專利範圍的範疇內可進行某些變化與修改。應注意,有許多替代方式施行本文實施例之製程、系統、及設備。因此,本文實施例應被視為是說明性而非限制性的,且該等實施例並不受限於本文所提供之細節。The above embodiments have been described in detail to enable those skilled in the art to clearly understand the present invention, and it should be understood that certain changes and modifications may be made within the scope of the attached patent claims. It should be noted that there are many alternative ways to implement the processes, systems, and apparatus of the embodiments herein. Therefore, the embodiments herein should be considered to be illustrative rather than restrictive, and the embodiments are not limited to the details provided herein.

100:基板 101:矽碳化物膜 110:基板 111:矽碳化物膜 112:特徵部 120:矽基板 121:矽碳化物間隔件/襯墊 122:源極 123:汲極 124:閘極介電質 125:閘電極 130:氣隙 131:襯墊 132:銅線 133:非保形介電層 140:孔隙 141:矽碳化物膜 142:多孔介電層 300:裝置 310:反應腔室 320:噴淋頭 330:基板 335:底座 340:控制器 350:容器 355:第一氣體入口 360:遠端電漿源 365:第二氣體入口 400:設備 402:遠端電漿源 404:反應腔室 406:多埠氣體分配器 408:化學氣相沉積區域 412:基板 414:底座 418:線圈 422:電漿產生器控制器 424:電漿區域 426:源氣體供應部 428:氣體供應部 434:複數氣體埠 438:鬆弛區域 440:前驅物供應源 442:氣體出口 448:出口 450:控制器 452:處理器系統 454:資料系統100: substrate 101: silicon carbide film 110: substrate 111: silicon carbide film 112: feature 120: silicon substrate 121: silicon carbide spacer/pad 122: source 123: drain 124: gate dielectric 125: gate electrode 130: air gap 131: pad 132: copper wire 133: non-conformal dielectric layer 140: pores 141: silicon carbide film 142: porous dielectric layer 300: device 310: reaction chamber 320: showerhead 330: substrate 335: base 340: controller 350: container 355: a gas inlet 360: remote plasma source 365: second gas inlet 400: equipment 402: remote plasma source 404: reaction chamber 406: multi-port gas distributor 408: chemical vapor deposition area 412: substrate 414: base 418: coil 422: plasma generator controller 424: plasma area 426: source gas supply 428: gas supply 434: multiple gas ports 438: relaxation area 440: precursor supply source 442: gas outlet 448: outlet 450: controller 452: processor system 454: data system

圖1A顯示沉積在基板上之例示性經摻雜或未經摻雜之矽碳化物膜的橫剖面示意圖。FIG. 1A shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide film deposited on a substrate.

圖1B顯示保形沉積在基板之特徵部上之例示性經摻雜或未經摻雜之矽碳化物膜的橫剖面示意圖。FIG. 1B shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide film conformally deposited on a feature of a substrate.

圖1C顯示電晶體之閘電極之側壁上的例示性經摻雜或未經摻雜之矽碳化物垂直結構的橫剖面示意圖。FIG. 1C is a schematic cross-sectional view of an exemplary doped or undoped silicon carbide vertical structure on the sidewall of the gate electrode of a transistor.

圖1D顯示在氣隙式金屬化層中之銅線之裸露側壁上的例示性經摻雜或未經摻雜之矽碳化物垂直結構的橫剖面示意圖。FIG. 1D is a schematic cross-sectional view of an exemplary doped or undoped silicon carbide vertical structure on the exposed sidewall of a copper line in an air-gap metallization layer.

圖1E顯示多孔介電材料之例示性經摻雜或未經摻雜之矽碳化物孔隙封填物的橫剖面示意圖。FIG. 1E is a schematic cross-sectional view of an exemplary doped or undoped silicon carbide pore filler of a porous dielectric material.

圖2顯示代表性的籠式矽氧烷前驅物之範例的化學結構。FIG2 shows the chemical structures of representative examples of cage-type siloxane promotors.

依據某些實施例,圖3顯示具有遠端電漿源之例示性電漿處理設備的示意圖。FIG. 3 shows a schematic diagram of an exemplary plasma processing apparatus having a remote plasma source, according to some embodiments.

依據某些其他實施例,圖4顯示具有遠端電漿源之例示性電漿處理設備的示意圖。According to some other embodiments, FIG. 4 shows a schematic diagram of an exemplary plasma processing apparatus having a remote plasma source.

圖5顯示來自含碳前驅物之(複數)活化烷類與活化的基於矽烷之前驅物之間的化學反應之範例。FIG. 5 shows an example of the chemical reaction between activated alkanes (plurality) from carbon-containing precursors and activated silane-based precursors.

圖6A顯示使用含矽前驅物及變量含碳前驅物之矽碳化物膜之遠端電漿CVD的FTIR光譜圖。FIG. 6A shows FTIR spectra of remote plasma CVD of silicon carbide films using a silicon-containing precursor and varying amounts of carbon-containing precursors.

圖6B顯示圖6A中之FTIR光譜之一部份的放大圖。FIG6B shows an enlarged view of a portion of the FTIR spectrum in FIG6A.

圖7顯示使用含矽前驅物及含碳前驅物在基板特徵部上沉積的矽碳化物薄膜之TEM圖。FIG. 7 shows a TEM image of a silicon carbide film deposited on a substrate feature using a silicon-containing precursor and a carbon-containing precursor.

400:設備 400: Equipment

402:遠端電漿源 402: Remote plasma source

404:反應腔室 404: Reaction chamber

406:多埠氣體分配器 406: Multi-port gas distributor

408:化學氣相沉積區域 408: Chemical vapor deposition area

412:基板 412: Substrate

414:底座 414: Base

418:線圈 418: Coil

422:電漿產生器控制器 422: Plasma generator controller

424:電漿區域 424: Plasma area

426:源氣體供應部 426: Source gas supply department

428:氣體供應部 428: Gas supply department

434:複數氣體埠 434: Multiple gas ports

438:鬆弛區域 438: Relaxation area

440:前驅物供應源 440: Source of supply of precursor materials

442:氣體出口 442: Gas outlet

448:出口 448:Export

450:控制器 450: Controller

452:處理器系統 452: Processor system

454:資料系統 454:Data system

Claims (17)

一種在基板上沉積矽碳化物膜的方法,該方法包含:提供一基板於反應腔室中;使一含矽前驅物流入該反應腔室中並朝向該基板流動,其中該含矽前驅物具有至少兩個與矽原子鍵結的氫原子;使一含碳前驅物與該含矽前驅物一起流入該反應腔室中,其中該含矽前驅物及該含碳前驅物係經由用於輸送自由基物種的一氣體分配器下游處的一或更多氣體出口而流入該反應腔室中,其中該含碳前驅物為具有一或更多碳與碳之雙鍵或三鍵的烴分子;在一遠端電漿源中由一氫源氣體產生氫之自由基,氫之該等自由基係在該含矽前驅物及該含碳前驅物的上游產生;以及將氫之該等自由基經由該氣體分配器導入該反應腔室中並導向該基板,其中氫之該等自由基係處於基態以與該含矽前驅物及該含碳前驅物進行反應,從而在該基板上形成經摻雜或未經摻雜之矽碳化物膜。 A method for depositing a silicon carbide film on a substrate, the method comprising: providing a substrate in a reaction chamber; flowing a silicon-containing precursor into the reaction chamber and toward the substrate, wherein the silicon-containing precursor has at least two hydrogen atoms bonded to silicon atoms; flowing a carbon-containing precursor into the reaction chamber together with the silicon-containing precursor, wherein the silicon-containing precursor and the carbon-containing precursor flow into the reaction chamber through one or more gas outlets downstream of a gas distributor for delivering free radical species, wherein the The carbon-containing precursor is a hydrocarbon molecule having one or more carbon-carbon double bonds or triple bonds; hydrogen radicals are generated from a hydrogen source gas in a remote plasma source, and the hydrogen radicals are generated upstream of the silicon-containing precursor and the carbon-containing precursor; and the hydrogen radicals are introduced into the reaction chamber and toward the substrate through the gas distributor, wherein the hydrogen radicals are in a ground state to react with the silicon-containing precursor and the carbon-containing precursor, thereby forming a doped or undoped silicon carbide film on the substrate. 如申請專利範圍第1項之在基板上沉積矽碳化物膜的方法,其中在鄰近該基板之環境中的所有或實質上所有的氫之該等自由基為處於基態的氫之自由基。 As in the method of depositing a silicon carbide film on a substrate as claimed in item 1 of the patent application, all or substantially all of the hydrogen radicals in the environment adjacent to the substrate are hydrogen radicals in the ground state. 如申請專利範圍第1項之在基板上沉積矽碳化物膜的方法,其中該烴分子包含丙烯、丁烯、戊烯、丁二烯、戊二烯、己二烯、庚二烯、甲苯、苯、乙炔、丙炔、丁炔、戊炔、或己炔。 A method for depositing a silicon carbide film on a substrate as claimed in claim 1, wherein the hydrocarbon molecule comprises propylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentyne, or hexyne. 如申請專利範圍第1項之在基板上沉積矽碳化物膜的方法,其中該含矽前驅物包含矽烷、二矽烷、三矽烷、甲基矽烷、或二甲基矽烷。 A method for depositing a silicon carbide film on a substrate as claimed in claim 1, wherein the silicon-containing precursor comprises silane, disilane, trisilane, methylsilane, or dimethylsilane. 如申請專利範圍第1項之在基板上沉積矽碳化物膜的方法,其中具有至少兩個與該矽原子鍵結之氫原子的該含矽前驅物沒有多於兩個的與該矽原子鍵結之碳原子、氮原子、及/或氧原子。 A method for depositing a silicon carbide film on a substrate as claimed in claim 1, wherein the silicon-containing precursor having at least two hydrogen atoms bonded to the silicon atom does not have more than two carbon atoms, nitrogen atoms, and/or oxygen atoms bonded to the silicon atom. 如申請專利範圍第1項之在基板上沉積矽碳化物膜的方法,其中經摻雜或未經摻雜之該矽碳化物膜不具有C-C鍵結或實質上不具有C-C鍵結。 A method for depositing a silicon carbide film on a substrate as in item 1 of the patent application, wherein the doped or undoped silicon carbide film has no C-C bonding or substantially no C-C bonding. 如申請專利範圍第1-6項之任一項之在基板上沉積矽碳化物膜的方法,更包含:在該遠端電漿源中與該氫源氣體一起提供一氮化劑,其中該氮化劑之自由基係在該遠端電漿源中產生;以及將該氮化劑之該等自由基與氫之該等自由基一起導入該反應腔室中並導向該基板,其中該氮化劑及氫之該等自由基與該含矽前驅物及該含碳前驅物進行反應而形成碳氮化矽(SiCN)膜。 A method for depositing a silicon carbide film on a substrate as claimed in any one of items 1 to 6 of the patent application scope, further comprising: providing a nitriding agent together with the hydrogen source gas in the remote plasma source, wherein the free radicals of the nitriding agent are generated in the remote plasma source; and introducing the free radicals of the nitriding agent together with the free radicals of hydrogen into the reaction chamber and toward the substrate, wherein the free radicals of the nitriding agent and the hydrogen react with the silicon-containing precursor and the carbon-containing precursor to form a silicon carbonitride (SiCN) film. 如申請專利範圍第7項之在基板上沉積矽碳化物膜的方法,其中該SiCN膜不具有C-C鍵結或實質上不具有C-C鍵結,且不具有C-N鍵結或實質上不具有C-N鍵結。 As in item 7 of the patent application scope, a method for depositing a silicon carbide film on a substrate, wherein the SiCN film has no C-C bonding or substantially no C-C bonding, and has no C-N bonding or substantially no C-N bonding. 如申請專利範圍第7項之在基板上沉積矽碳化物膜的方法,其中該氮化劑包含氮(N2)或氨(NH3)。 The method for depositing a silicon carbide film on a substrate as claimed in claim 7, wherein the nitriding agent comprises nitrogen (N 2 ) or ammonia (NH 3 ). 如申請專利範圍第1-6項之任一項之在基板上沉積矽碳化物膜的方法,更包含:在該遠端電漿源中與該氫源氣體一起提供一氧化劑,其中該氧化劑之自由基係在該遠端電漿源中產生;以及將該氧化劑之該等自由基與氫之該等自由基一起導入該反應腔室中並導向該基板,其中該氧化劑及氫之該等自由基與該含矽前驅物及該含碳前驅物進行反應而形成碳氧化矽(SiCO)膜。 A method for depositing a silicon carbide film on a substrate as claimed in any one of items 1 to 6 of the patent application scope further comprises: providing an oxidant together with the hydrogen source gas in the remote plasma source, wherein the free radicals of the oxidant are generated in the remote plasma source; and introducing the free radicals of the oxidant together with the free radicals of hydrogen into the reaction chamber and toward the substrate, wherein the free radicals of the oxidant and hydrogen react with the silicon-containing precursor and the carbon-containing precursor to form a silicon oxycarbide (SiCO) film. 如申請專利範圍第10項之在基板上沉積矽碳化物膜的方法,其中該SiCO膜不具有C-C鍵結或實質上不具有C-C鍵結,且不具有C-O鍵結或實質上不具有C-O鍵結。 As in item 10 of the patent application scope, a method for depositing a silicon carbide film on a substrate, wherein the SiCO film has no C-C bonding or substantially no C-C bonding, and has no C-O bonding or substantially no C-O bonding. 如申請專利範圍第10項之在基板上沉積矽碳化物膜的方法,其中該氧化劑包含二氧化碳(CO2)、一氧化碳(CO)、氧(O2)、臭氧(O3)、或氧化亞氮(N2O)。 In the method for depositing a silicon carbide film on a substrate as claimed in claim 10, the oxidant comprises carbon dioxide (CO 2 ), carbon monoxide (CO), oxygen (O 2 ), ozone (O 3 ), or nitrous oxide (N 2 O). 如申請專利範圍第1-6項之任一項之在基板上沉積矽碳化物膜的方法,其中該含矽前驅物為基於矽烷之前驅物。 A method for depositing a silicon carbide film on a substrate as claimed in any one of items 1 to 6 of the patent application, wherein the silicon-containing precursor is a silane-based precursor. 如申請專利範圍第1-6項之任一項之在基板上沉積矽碳化物膜的方法,其中經摻雜或未經摻雜之該矽碳化物膜具有至少75%的保形性。 A method of depositing a silicon carbide film on a substrate as claimed in any one of items 1 to 6 of the patent application, wherein the doped or undoped silicon carbide film has a conformality of at least 75%. 如申請專利範圍第1-6項之任一項之在基板上沉積矽碳化物膜的方法,其中該矽碳化物膜為未經摻雜之碳化矽(SiC)。 A method for depositing a silicon carbide film on a substrate as claimed in any one of items 1 to 6 of the patent application, wherein the silicon carbide film is undoped silicon carbide (SiC). 如申請專利範圍第1-6項之任一項之在基板上沉積矽碳化物膜的方法,其中經摻雜或未經摻雜之該矽碳化物膜中之矽的原子濃度為至少25%,且其中經摻雜或未經摻雜之該矽碳化物膜中之碳的原子濃度為至少25%。 A method for depositing a silicon carbide film on a substrate as claimed in any one of items 1 to 6 of the patent application, wherein the atomic concentration of silicon in the doped or undoped silicon carbide film is at least 25%, and wherein the atomic concentration of carbon in the doped or undoped silicon carbide film is at least 25%. 如申請專利範圍第1-6項之任一項之在基板上沉積矽碳化物膜的方法,其中該含矽前驅物不具有(i)C-O鍵結及(ii)C-N鍵結。 A method for depositing a silicon carbide film on a substrate as claimed in any one of items 1 to 6 of the patent application, wherein the silicon-containing precursor does not have (i) C-O bonding and (ii) C-N bonding.
TW108125937A 2018-07-24 2019-07-23 Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors TWI837151B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/044,371 US20180330945A1 (en) 2012-06-12 2018-07-24 Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US16/044,371 2018-07-24

Publications (2)

Publication Number Publication Date
TW202016340A TW202016340A (en) 2020-05-01
TWI837151B true TWI837151B (en) 2024-04-01

Family

ID=69180588

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108125937A TWI837151B (en) 2018-07-24 2019-07-23 Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors

Country Status (4)

Country Link
KR (2) KR102615163B1 (en)
CN (1) CN112514030A (en)
TW (1) TWI837151B (en)
WO (1) WO2020023378A1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201405659A (en) * 2012-06-12 2014-02-01 Novellus Systems Inc Remote plasma based deposition of SiOC class of films
TW201706439A (en) * 2015-02-06 2017-02-16 諾發系統有限公司 Conformal deposition of silicon carbide films

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (en) * 1982-12-29 1984-07-24 信越化学工業株式会社 Manufacture of silicon carbide coated matter
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US8329599B2 (en) * 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US10325773B2 (en) * 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP6172660B2 (en) * 2012-08-23 2017-08-02 東京エレクトロン株式会社 Film forming apparatus and method for forming low dielectric constant film

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201405659A (en) * 2012-06-12 2014-02-01 Novellus Systems Inc Remote plasma based deposition of SiOC class of films
TW201706439A (en) * 2015-02-06 2017-02-16 諾發系統有限公司 Conformal deposition of silicon carbide films

Also Published As

Publication number Publication date
TW202016340A (en) 2020-05-01
KR102615163B1 (en) 2023-12-15
WO2020023378A1 (en) 2020-01-30
KR20210024674A (en) 2021-03-05
CN112514030A (en) 2021-03-16
KR20230170998A (en) 2023-12-19

Similar Documents

Publication Publication Date Title
US11894227B2 (en) Conformal deposition of silicon carbide films
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
KR102515238B1 (en) Conformal deposition of silicon carbide films
CN110313051B (en) Densification of silicon carbide films using remote plasma treatment
KR102406467B1 (en) Remote Plasma Based Deposition of Graded Silicon Carbide Films or Multilayer Silicon Carbide Films
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US20170365462A1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
KR102480201B1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
TWI837151B (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
TWI845526B (en) Method of depositing silicon carbide film on substrate
CN112469846B (en) Conformal deposition of silicon carbide films using heterogeneous precursor interactions