CN112469846B - Conformal deposition of silicon carbide films using heterogeneous precursor interactions - Google Patents

Conformal deposition of silicon carbide films using heterogeneous precursor interactions Download PDF

Info

Publication number
CN112469846B
CN112469846B CN201980049405.5A CN201980049405A CN112469846B CN 112469846 B CN112469846 B CN 112469846B CN 201980049405 A CN201980049405 A CN 201980049405A CN 112469846 B CN112469846 B CN 112469846B
Authority
CN
China
Prior art keywords
silicon
bonds
silicon carbide
precursor
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980049405.5A
Other languages
Chinese (zh)
Other versions
CN112469846A (en
Inventor
马修·斯科特·韦默
巴德里·N·瓦拉达拉简
龚波
桂喆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/044,357 external-priority patent/US20180347035A1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202311302407.5A priority Critical patent/CN117660941A/en
Publication of CN112469846A publication Critical patent/CN112469846A/en
Application granted granted Critical
Publication of CN112469846B publication Critical patent/CN112469846B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Abstract

The doped or undoped silicon carbide film may be deposited using a remote plasma Chemical Vapor Deposition (CVD) technique. One or more silicon-containing precursors are provided to a reaction chamber. Radical species, such as hydrogen, are provided in a substantially low energy or ground state and interact with one or more silicon-containing precursors to deposit a silicon carbide film. The co-reactant may be flowed with one or more silicon-containing precursors, where the co-reactant may be a deposition additive or a non-deposition additive to improve step coverage of the silicon carbide film.

Description

Conformal deposition of silicon carbide films using heterogeneous precursor interactions
Incorporated by reference
PCT application forms are filed concurrently with the present specification as part of the present application. Each application for which the application as identified in the concurrently filed PCT application forms claims priority is hereby incorporated by reference in its entirety and for all purposes.
Background
Silicon carbide (SiC) based films have unique physical, chemical and mechanical properties and are used in a variety of applications, particularly integrated circuit applications. The types of SiC films include oxygen doped silicon carbide (also known as silicon oxycarbide), nitrogen doped silicon carbide (also known as silicon carbonitride), and oxygen and nitrogen doped silicon carbide (also known as silicon oxycarbonitride), and undoped silicon carbide.
The background description provided herein is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
Disclosure of Invention
A method of depositing a silicon carbide film on a substrate is provided. The method comprises the following steps: providing a substrate in a reaction chamber; flowing a silicon-containing precursor into the reaction chamber and toward the substrate; and flowing a co-reactant with the silicon-containing precursor into the reaction chamber. The silicon-containing precursor (i) has one or more Si-H bonds and/or Si-Si bonds, (ii) has one or more Si-C bonds, si-N bonds and/or Si-O bonds, (iii) has no C-O bonds, and (iv) has no C-N bonds. The co-reactant is a hydrocarbon molecule. The method further comprises: generating hydrogen radicals from a hydrogen source gas in a remote plasma source, the hydrogen radicals being generated upstream of the silicon-containing precursor and the co-reactant; and introducing the hydrogen radicals into the reaction chamber and toward the substrate, wherein the hydrogen radicals are in a ground state to react with the silicon-containing precursor and the co-reactant to form a doped or undoped silicon carbide film on the substrate, wherein the doped or undoped silicon carbide film has a conformality of at least 90%.
In some implementations, all or substantially all of the radicals of hydrogen in the environment adjacent to the substrate are radicals of hydrogen in the ground state. In some implementations, the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN). In some implementations, the hydrocarbon molecule has one or more carbon-carbon double or triple bonds. The hydrocarbon molecule may comprise propylene, ethylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentyne, or hexyne. In some implementations, the silicon-containing precursor and the co-reactant flow into the reaction chamber simultaneously along the same flow path. In some implementations, the silicon-containing precursor comprises an alkyl carbosilane, siloxane, or silazane.
Another aspect relates to a method of depositing a silicon carbide film on a substrate. The method comprises the following steps: providing a substrate in a reaction chamber; flowing a first organosilicon precursor into the reaction chamber; and flowing a second organosilicon precursor into the reaction chamber. The first organosilicon precursor has (i) one or more Si-H bonds and/or Si-Si bonds, and (ii) one or more Si-C bonds, si-N bonds, and/or Si-O bonds, while the second organosilicon precursor is (i) free of Si-H bonds, and (ii) free of Si-Si bonds. The method further comprises: generating hydrogen radicals from a hydrogen source gas in a remote plasma source, the hydrogen radicals being generated upstream of the first and second organosilicon precursors; and introducing the hydrogen radicals into the reaction chamber and toward the substrate, wherein the hydrogen radicals are in a ground state to react with the first and second organosilicon precursors to form a doped or undoped silicon carbide film on the substrate.
In some implementations, all or substantially all of the radicals of hydrogen are radicals of hydrogen in the ground state. In some implementations, the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN). In some implementations, the flow rate of the second organosilicon precursor is at least twice the flow rate of the first organosilicon precursor. In some implementations, the doped or undoped silicon carbide film has a conformality of at least 95%. In some implementations, the second organosilicon precursor includes tetramethylsilane (4 MS). In some implementations, the first and second organosilicon precursors flow simultaneously into the reaction chamber along the same flow path.
These and other embodiments are described further below with reference to the accompanying drawings.
Drawings
FIG. 1A shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide film deposited on a substrate.
FIG. 1B shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide film conformally deposited on features of a substrate.
Fig. 1C shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide vertical structure on a sidewall of a gate electrode of a transistor.
Fig. 1D shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide vertical structure on exposed sidewalls of copper lines in an air gap metallization layer.
Fig. 1E shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide pore sealant for a porous dielectric material.
Fig. 2 shows the chemical structure of an example of a representative cage siloxane precursor.
Fig. 3 illustrates a schematic diagram of an exemplary plasma processing apparatus with a remote plasma source, according to some embodiments.
Fig. 4 shows a schematic diagram of an exemplary plasma processing apparatus with a remote plasma source, according to some other embodiments.
Fig. 5A shows a TEM image of a silicon carbide film deposited on a substrate feature without the use of a carbon-containing non-deposition additive.
Fig. 5B shows a TEM image of a silicon carbide film deposited on a substrate feature using a non-deposition additive comprising carbon.
Fig. 6A shows a TEM image of a silicon carbide film deposited on a substrate feature without the use of a silicon-containing deposition additive.
Fig. 6B shows a TEM image of a silicon carbide film deposited on a substrate feature using a silicon-containing deposition additive.
Detailed Description
In this disclosure, the terms "semiconductor wafer," "substrate," "wafer substrate," and "partially fabricated integrated circuit" are used interchangeably. It will be appreciated by those of ordinary skill in the art that the term "partially fabricated integrated circuit" may refer to a silicon wafer during any of a number of stages of integrated circuit fabrication. Wafers or substrates used in the semiconductor device industry typically have diameters of 200 millimeters or 300 millimeters or 450 millimeters. The following detailed description assumes that the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The workpiece may be of various shapes, sizes and materials. In addition to semiconductor wafers, other workpieces that can take advantage of the present disclosure include various articles, such as printed circuit boards and the like.
Introduction to the invention
Fabrication of semiconductor devices typically involves depositing one or more thin films on a substrate in an integrated fabrication process. In some aspects of the fabrication process, thin film types such as silicon carbide, silicon oxycarbide, silicon carbonitride, and silicon oxycarbonitride are deposited using Atomic Layer Deposition (ALD), chemical Vapor Deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), or any other suitable deposition method. As used herein, the term "silicon carbide" includes undoped or doped silicon carbides, such as oxygen doped silicon carbide (SiCO), nitrogen doped silicon carbide (SiCN), and nitrogen and oxygen doped silicon carbide (SiOCN). For the most part, doped silicon carbide has up to about 50% of its atoms doped, whether these atoms are oxygen, nitrogen or atoms of other elements. The doping level provides the desired film properties.
Precursor molecules for depositing silicon carbide may include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon oxycarbide include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-oxygen (Si-O) bonds, and/or silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon carbonitride include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-nitrogen (Si-N) bonds, and/or silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon oxycarbonitride include silicon-containing molecules having silicon-hydrogen (Si-H) bonds, and/or silicon-silicon (Si-Si) bonds, as well as silicon-nitrogen (Si-N) bonds, silicon-oxygen (Si-O) bonds, and/or silicon-carbon (Si-C) bonds. Current PECVD processes may use an in-situ plasma process in which a plasma is provided directly in the vicinity of the substrate.
It has been found that depositing high quality silicon carbide films can present challenges, such as providing films with the following characteristics: good step coverage, low dielectric constant, high breakdown voltage, low leakage current, high porosity, and/or coverage over exposed metal surfaces without oxidizing the metal surfaces.
While the present invention is not limited by any particular theory, it is believed that the plasma conditions in a typical PECVD process fragment the silicon-containing precursor molecules in a manner that produces an adverse effect. For example, PECVD can break Si-O bonds and/or Si-C bonds in the precursor molecules to generate highly reactive radicals or other fragment types with high adhesion coefficients. The resulting fragments of doped silicon carbide film may include silicon, carbon, and/or oxygen atoms having "dangling" bonds, meaning that the silicon, carbon, and/or oxygen atoms have active unpaired valence electrons. The high adhesion coefficient of the precursor molecules and their fragments can deposit silicon carbide films with poor step coverage because the active precursor fragments can disproportionately adhere to the upper regions of the sidewalls of the recessed features and other structures.
Dangling bonds can create silanol groups (Si-OH) in the deposited silicon oxycarbide or silicon oxycarbonitride films. As a result, the film may have an disadvantageously high dielectric constant. Film quality may also be affected because direct plasma conditions tend to extract carbon from the deposited film.
In addition, dangling bonds can create increased silicon-hydrogen bonds (Si-H) in the deposited silicon carbide film. Destroyed Si-C bonds can be replaced with Si-H under direct plasma deposition conditions. The presence of si—h bonds in silicon carbide films can result in films with poor electrical properties. For example, the presence of Si-H bonds reduces breakdown voltage and increases leakage current because Si-H bonds provide a leakage path for electrons.
In addition, dangling bonds can lead to uncontrolled chemical or morphological structures in the silicon carbide film. In some cases, such structures are dense filaments with low porosity or no pores, such that the film has an unacceptably high dielectric constant. The lack of porosity may be the result of direct plasma conditions breaking Si-C and/or Si-O bonds in the cyclosiloxane that would otherwise provide porosity in the ultra-low k dielectric material.
Sometimes the use of direct plasma conditions in PECVD can lead to directionality in deposition, as the energy to break down the precursor molecules can be low frequency that produces a large number of ion bombardment at the surface. Directional deposition can also result in deposition of silicon carbide films with poor step coverage. The direct plasma is such plasma: in which the plasma (electrons and positive ions of appropriate concentration) resides near the substrate surface during deposition, sometimes separated from the substrate surface only by a plasma sheath.
Typical PECVD processes are sometimes unsuitable for depositing silicon carbide films on exposed copper or other metal surfaces because such processes can oxidize the metal. Oxidizing agents, such as oxygen (O) 2 ) Ozone (O) 3 ) Carbon dioxide (CO) 2 ) Or other oxidizing species to form a silicon oxycarbide film.
Substrate table during depositionNoodle environment
FIG. 1A shows a cross-section of an exemplary silicon carbide film deposited on a substrate. The silicon carbide film 101 may be formed under process conditions that create a relatively mild environment adjacent to the substrate 100. The substrate 100 may be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other suitable workpiece. The process used to deposit the silicon carbide film 101 may include one or more silicon-containing precursors having one or more Si-H bonds and/or one or more Si-Si bonds with other bonds (e.g., si-C bonds, si-O bonds, and/or Si-N bonds), depending on the type of doped structure to be created.
Some applications employing silicon carbide films are shown in fig. 1B-1E. In some embodiments, the silicon-containing precursor may include a silicon-oxygen-containing precursor, a silicon-nitrogen-containing precursor, and/or a silicon-carbon-containing precursor. The silicon-oxygen containing precursor may include one or more Si-O bonds, the silicon-nitrogen containing precursor may include one or more Si-N bonds, and the silicon-carbon containing precursor may include one or more Si-C bonds. In some embodiments, for example, the silicon-containing precursor may comprise a single reactant a having Si-O bonds and Si-C bonds or Si-N bonds and Si-C bonds. In some embodiments, the silicon-containing precursor may include reactant B having Si-O bonds or Si-N bonds, and reactant C having Si-C bonds. It should be understood that any number of suitable reactants may be used within the scope of the invention. The chemical structure of an exemplary silicon-containing precursor is discussed in further detail below.
The silicon-containing precursor comprises one or more Si-H bonds and/or one or more Si-Si bonds. However, it should be understood that the additional silicon-containing precursor may not necessarily contain Si-H or Si-Si bonds. These additional silicon-containing precursors may be provided simultaneously with the silicon-containing precursor having one or more Si-H and/or Si-Si bonds. During the deposition process, the Si-H bonds and/or Si-Si bonds are broken and act as active sites for forming bonds between the silicon-containing precursors in the deposited silicon carbide film 101. The broken bonds may also act as sites for cross-linking in a heat treatment performed during or after deposition. Bonding and crosslinking at the active site may together form a primary backbone or matrix in the resulting silicon carbide film 101.
In some embodiments, the process conditions may maintain or substantially maintain Si-C bonds, and Si-O bonds and Si-N bonds, if present, in the deposited silicon carbide film 101 layer. Thus, reaction conditions adjacent to the substrate 100 are provided for selectively breaking Si-H bonds and/or Si-Si bonds, for example, extracting hydrogen from broken Si-H bonds, but are not provided for extracting oxygen from Si-O bonds, extracting nitrogen from Si-N bonds, or extracting carbon from Si-C bonds. However, the introduction of a co-reactant such as oxygen may extract carbon from the Si-C bond. It is understood that other reaction mechanisms may occur in the environment adjacent to the substrate surface, including kinetically less favorable reaction mechanisms, such as substitution reactions. Typically, the described reaction conditions exist on the exposed side of the substrate 100 (the side on which the silicon carbide film 101 is deposited). They may also be present at a distance above the substrate 100, for example, at about 0.5 microns to about 150 millimeters above the substrate 100. In practice, activation of the precursor may occur in the gas phase at a significant distance above the substrate 100. Typically, the relevant reaction conditions will be uniform or substantially uniform across the exposed surface of the substrate 100, although some applications may allow for some variation.
In addition to the silicon-containing precursor, the environment proximate the workpiece (e.g., substrate 100) may include one or more radical species, preferably in a substantially low energy state. Examples of such materials include hydrogen radicals (i.e., hydrogen atom radicals). In some embodiments, all, or substantially all, or a substantial portion of the hydrogen atom radicals may be in the ground state, e.g., at least about 90% or 95% of the hydrogen atom radicals in the vicinity of the workpiece are in the ground state. In certain embodiments, the gas source is provided in a carrier gas (e.g., helium). As an example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen. The pressure, proportion of carrier gas (e.g., helium), and other process conditions are selected such that the hydrogen atoms collide with the substrate 100 as radicals in an unrecombinant low energy state.
As explained elsewhere, hydrogen gas may be supplied to a remote plasma source to generate hydrogen atom radicals. The remote plasma source may be positionedThe substrate surface and an environment adjacent to the substrate surface. Once the hydrogen radicals are generated, they can be in an excited energy state. For example, hydrogen in the excited energy state may have an energy of at least 10.2eV (first excited state). The excited hydrogen atom radicals may cause non-selective decomposition of the silicon-containing precursor. For example, the hydrogen atom radical in the excited state can easily break si—h bonds, si—si bonds, si—n bonds, si—o bonds, and si—c bonds, which can change the composition or physical or electrical characteristics of the silicon carbide film 101. In some embodiments, when an excited hydrogen atom radical loses its energy or relaxes (relax), the excited hydrogen atom radical may become a substantially low energy state hydrogen atom radical or a ground state hydrogen atom radical. Hydrogen atom radicals in a substantially low energy state or ground state are capable of selectively breaking Si-H bonds and Si-Si bonds, while Si-O bonds, si-N bonds, and Si-C bonds are generally preserved. In some embodiments, the process conditions may be provided such that the excited hydrogen atom radicals lose energy or relax to form hydrogen atom radicals in a substantially low energy state or ground state. For example, the remote plasma source or associated components may be designed such that the residence time of the hydrogen atom radicals diffusing from the remote plasma source into the substrate 100 is greater than the energy relaxation time (energetic relaxation time) of the excited hydrogen atom radicals. The energy relaxation time of the excited hydrogen atom radicals may be about 1X 10 or less -3 Second.
The state in which a substantial portion of the hydrogen atom radicals are in the ground state can be achieved by various techniques. As described below, some devices are designed to achieve this state. The device features and process control features can be tested and adjusted to produce a mild state in which a substantial portion of the hydrogen atom radicals are in the ground state. For example, the apparatus may operate and test for charged particles downstream of the plasma source (i.e., near the substrate 100). The process and apparatus may be adjusted until substantially no charged species are present near the substrate 100. Furthermore, the features of the apparatus and process may be adjusted to a configuration in which the apparatus and process begins to produce silicon carbide film 101 from standard silicon-containing precursors. The relatively mild conditions that support such film deposition are selected.
Other examples of free radical species include: oxygen-containing species, such as elemental oxygen radicals (atomic or diatomic); nitrogen-containing species, such as elemental nitrogen radicals (atomic or diatomic); and N-H containing radicals, such as ammonia radicals, wherein nitrogen is optionally incorporated into the film. Examples of N-H containing radicals include, but are not limited to, methyl amine, dimethyl amine, and aniline radicals. The radical species may be prepared from a source gas comprising a hydrogen-containing species, a nitrogen-containing species, an N-H-containing species, or mixtures thereof. In some embodiments, substantially all or a majority of the atoms of the deposited film are provided by the precursor molecules. In this case, the low energy radicals used to drive the deposition reaction may be entirely hydrogen or other species that do not substantially contribute to the quality of the deposited layer. In some embodiments, the radical species may be generated by a remote plasma source, as discussed in further detail below. In some embodiments, some of the radicals or even ions of the higher energy state may potentially be present near the wafer plane.
In some embodiments, the process conditions use radical species at a substantially low energy state sufficient to break the Si-H bonds and/or Si-Si bonds while substantially maintaining the Si-O bonds, si-N bonds, and Si-C bonds. Such process conditions may not have a significant amount of ionic, electronic, or radical species in a high energy state (e.g., above ground state). In some embodiments, the ion concentration in the region adjacent to the membrane is no more than about 10 7 /cm 3 . The presence of large amounts of ions or high energy radicals can tend to break Si-O bonds, si-N bonds, and Si-C bonds, which can produce films with non-ideal electrical characteristics (e.g., high dielectric constant and/or low breakdown voltage) and poor conformality. It is believed that the excessively reactive environment produces reactive precursor fragments with a high sticking coefficient (indicative of a tendency to chemically or physically adhere to the workpiece sidewall), resulting in poor conformality.
The silicon-containing precursor is typically delivered with other species, particularly a carrier gas, in an environment adjacent to the substrate 100. In some implementations, the silicon-containing precursor is present with the radical species and other species (including other reactive species and/or carrier gases). In some embodiments, the silicon-containing precursor may be introduced as a mixture. Upstream of the deposition reaction surface, the silicon-containing precursor may be mixed with an inert carrier gas. Examples of inert carrier gases include, but are not limited to, argon (Ar) and helium (He). In addition, the silicon-containing precursor may be introduced into a mixture having primary and secondary species, with the secondary species containing some element or structural feature (e.g., ring structure, cage structure, unsaturation, etc.) being present in the silicon carbide film 101 at relatively low concentrations. However, it should be appreciated that the minor species may not significantly affect the composition or structural characteristics of the silicon carbide film 101. The various precursors may be present in equimolar or relatively similar proportions as appropriate for the primary backbone or matrix formed in the resulting silicon carbide film 101. In other embodiments, the relative amounts of the different precursors deviate significantly from equimolar ratios (equimolar).
In some embodiments, the one or more silicon-containing precursors provide substantially all of the mass of the deposited silicon carbide film 101, with a small amount of hydrogen or other elements from the remote plasma providing less than about 5 atomic percent film mass or less than about 2 atomic percent film mass. In some embodiments, only the radical species and the one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film 101. In other embodiments, the deposition reaction includes other co-reactants, rather than one or more silicon-containing precursors and radical species, which may or may not contribute to the composition of the deposited silicon carbide film 101. Examples of such CO-reactants include carbon dioxide (CO 2 ) Carbon monoxide (CO), water (H) 2 O), methanol (CH) 3 OH), oxygen (O) 2 ) Ozone (O) 3 ) Nitrogen (N) 2 ) Dinitrogen monoxide (N) 2 O), ammonia (NH) 3 ) Diazene (N) 2 H 2 ) Methane (CH) 4 ) Ethane (C) 2 H 6 ) Acetylene (C) 2 H 2 ) Ethylene (C) 2 H 4 ) Diborane (B) 2 H 6 ) And combinations thereof. Such materials can be used as nitriding agents, oxidizing agents, and reducing agentsAgents, and the like. In some cases, they may be used to adjust the amount of carbon in the deposited film by removing or adding a portion of the carbon provided with the silicon-containing precursor. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is introduced into the reaction chamber through the same flow path as the silicon-containing precursor; for example, paths that include gas outlets or showerhead are generally not directly exposed to the plasma. In some embodiments, oxygen and/or carbon dioxide are introduced with the precursor to alter the composition of the silicon carbide film 101 by removing carbon from the film or precursor during deposition. In some implementations employing a non-hydrogen co-reactant, the co-reactant is introduced into the reaction chamber via the same flow path as the hydrogen, such that the co-reactant is at least partially converted to free radicals and/or ions. In such implementations, both the hydrogen radicals and the co-reactant radicals react with one or more silicon-containing precursors to produce the deposited silicon carbide film 101.
In certain embodiments where a co-reactant is used and is introduced into the chamber along with the species to be converted to radicals (e.g., hydrogen), the co-reactant may be provided into the reaction chamber in relatively small amounts as compared to other gases in the reaction chamber, including the radical source (e.g., hydrogen), and any one or more carrier gases (e.g., helium). For example, the co-reactant may be present in the process gas at a mass ratio of about 0.05% or less or about 0.01% or less or about 0.001% or less. For example, the reactant mixture (which enters the plasma source) may be about 10-20 liters per minute (L/m) of He, about 200-500 standard cubic centimeters per minute (sccm) of H 2 And about 1-10sccm of oxygen. However, it should be understood that in certain embodiments, the co-reactant may be present in the process gas in a proportion of about 0.05 mass% or more, or 1 mass% or more, or 20 mass% or more. When co-reactants are introduced into the reaction chamber together with the silicon-containing precursor (e.g., through a gas outlet or showerhead), the co-reactants may be present in higher concentrations; such as about 2 mass% or less, or about 0.1 mass% or less. When the coreactant is a relatively weak reactant (e.g., a weak oxidant such as carbon dioxide), it may be even To a higher concentration, for example about 10 mass% or less than 10 mass%, or about 4 mass% or less. When the coreactant is an additive, it may be present in an even higher concentration (e.g., about 10 mass% or more, or about 20 mass% or more).
The temperature in the environment adjacent to the substrate 100 may be any suitable temperature that facilitates the deposition reaction, but is sometimes limited to the application of the apparatus containing the silicon carbide film 101. In some embodiments, during deposition of the silicon carbide film 101, the temperature in the environment adjacent to the substrate 100 may be controlled in large part by the temperature of the susceptor on which the substrate 100 is supported. In some embodiments, the operating temperature may be between about 50 ℃ and about 500 ℃. For example, in many integrated circuit applications the operating temperature may be between about 250 ℃ and about 400 ℃. In some embodiments, increasing the temperature may result in increased crosslinking on the substrate surface.
The pressure in the environment adjacent to the substrate 100 may be any suitable pressure for generating reactive radicals in the reaction chamber. In some embodiments, the pressure may be about 35 torr or less. For example, as in embodiments employing microwaves to generate the plasma, the pressure may be between about 10 torr and about 20 torr. In other examples, such as in embodiments employing Radio Frequency (RF) to generate the plasma, the pressure may be less than about 5 torr, or between about 0.2 torr and about 5 torr.
The environment adjacent to the substrate 100 facilitates deposition of a silicon carbide film 101 on the substrate 100 by remote plasma CVD. A source gas is supplied to the remote plasma source and power is provided to the remote plasma source, the power being operable to dissociate the source gas and generate ions and radicals in an excited energy state. After excitation, the radicals in the excited energy state relax to substantially low energy state radicals or ground state radicals, such as hydrogen radicals in the ground state. The bonds in the silicon-containing precursor may be selectively broken by hydrogen radicals in a relaxed state. The co-reactant or additional precursor may be activated by hydrogen radicals in a relaxed state to selectively break bonds in the co-reactant or additional precursor.
Silicon carbide films are often used in semiconductor devices. For example, doped or undoped silicon carbide films may be used as metal diffusion barriers, etch stop layers, hard mask layers, gate spacers for source and drain implants, cladding barriers for Magnetoresistive Random Access Memory (MRAM) or Resistive Random Access Memory (RRAM), and hermetic diffusion barriers at air gaps, among others. FIGS. 1B-1E show cross-sections of structures containing silicon carbide films in various applications. FIG. 1B illustrates a silicon carbide film conformally deposited on features of a substrate. Fig. 1C shows a silicon carbide vertical structure on a sidewall of a gate electrode structure of a transistor. Fig. 1D shows a silicon carbide vertical structure on the exposed copper line sidewalls in the air gap metallization layer. Fig. 1E shows a silicon carbide pore sealant for a porous dielectric material. Each of these applications will be discussed in further detail below.
Chemical structure of precursor
As discussed, the precursors employed in forming the silicon carbide film may include silicon-containing precursors, wherein at least some of the silicon-containing precursors have at least one Si-H bond and/or at least one Si-Si bond. In certain embodiments, the silicon-containing precursor has at most one hydrogen atom per silicon atom. Thus, for example, a precursor having one silicon atom has at most one hydrogen atom bonded to the silicon atom; a precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and optionally another hydrogen atom bonded to the second silicon atom; a precursor having three silicon atoms has at least one hydrogen atom bonded to one silicon atom and optionally one or more than two hydrogen atoms bonded to the remaining one or two silicon atoms, and so on. Further, the silicon-containing precursor may include at least one Si-O bond, at least one Si-N bond, and/or at least one Si-C bond. Although any number of suitable precursors may be used during formation of the silicon carbide film, at least some of the precursors will include silicon-containing precursors having at least one Si-H bond or Si-Si bond and optionally at least one Si-O bond, si-N bond, and/or Si-C bond. In various embodiments, one or more of the silicon-containing precursors does not contain an O-C bond or an N-C bond The method comprises the steps of carrying out a first treatment on the surface of the For example, one or more of the precursors does not contain an alkoxy group (-O-R), where R is an organic group, such as a hydrocarbyl group or an amine (-NR) 1 R 2 ) A group, wherein R is 1 And R is 2 Each independently is hydrogen or an organic group. It is believed that such groups may give the precursor or fragment in which they reside a high adhesion coefficient.
In certain embodiments, some of the carbon provided in the silicon carbide film may be provided by one or more hydrocarbon moieties on the silicon-containing precursor. These moieties may be selected from alkyl, alkenyl, alkynyl, aryl, and the like. In certain embodiments, the hydrocarbyl groups have a single carbon atom to minimize steric hindrance of the cleavage reaction of the Si-H and/or Si-Si bonds during deposition. However, the precursor is not limited to single carbon groups; a greater number of carbon atoms, such as 2, 3, 4, 5, or 6 carbon atoms, may be used. In certain embodiments, the hydrocarbyl group is linear. In certain embodiments, the hydrocarbyl group is cyclic.
In certain embodiments, a portion of the carbon provided in the silicon carbide film may be provided by one or more hydrocarbon molecules in the carbon-containing precursor. Such hydrocarbon molecules may comprise carbon-carbon chains, wherein several carbon atoms may be used, for example 2, 3, 4, 5, 6, or 7 carbon atoms. In some embodiments, the hydrocarbon molecule comprises one or more carbon double bonds and/or carbon triple bonds.
In some embodiments, the silicon-containing precursor falls into a chemical species. It should be understood that other chemical species of silicon-containing precursors may also be employed, and that the silicon-containing precursors are not limited to the chemical species discussed below.
In some embodiments, the silicon-containing precursor may be a siloxane. In some embodiments, the siloxane may be cyclic. The cyclic siloxanes may include cyclotetrasiloxanes such as 2,4,6, 8-tetramethyl cyclotetrasiloxane (TMCTS), octamethyl cyclotetrasiloxane (OMCTS) and Hexamethylcyclotetrasiloxane (HMCTS). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. An embodiment using cyclic siloxanes is a cyclic structure that can introduce pores into the silicon carbide film, where the size of the pores corresponds to the radius of the ring. For example, the cyclotetrasiloxane ring may have a radius of about 6.7 angstroms.
In some embodiments, the siloxane may have a three-dimensional or cage structure. Fig. 2 shows a representative example of a cage siloxane precursor. Cage siloxanes have silicon atoms bridged to each other via oxygen atoms to form a polyhedron or any 3-D structure. One example of a cage siloxane precursor molecule is a silsesquioxane. The cage silicone structure is described in further detail in commonly owned U.S. patent No.6576345 to Cleemput et al, which is incorporated herein by reference in its entirety for all purposes. Similar to cyclic siloxanes, cage siloxanes can introduce pores into the silicon carbide film. In some embodiments, the pore size is mesoporous.
In some embodiments, the siloxane may be linear. Examples of suitable linear siloxanes include, but are not limited to: disiloxanes such as Pentamethyldisiloxane (PMDSO) and Tetramethyldisiloxane (TMDSO); and trisiloxanes (e.g., hexamethyltrisiloxane, heptamethyltrisiloxane).
In some embodiments, the silicon-containing precursor may be an alkylsilane or other hydrocarbyl-substituted silane. The alkylsilane comprises a central silicon atom, with one or more alkyl groups bonded to the central silicon atom and one or more hydrogen atoms bonded to the central silicon atom. In certain embodiments, any one or more of the alkyl groups comprises 1 to 5 carbon atoms. The hydrocarbyl groups may be saturated or unsaturated (e.g., olefins (such as ethylene), alkynes, and aromatic groups). Examples include, but are not limited to, trimethylsilane (3 MS), triethylsilane, pentamethyldisilane ((CH) 3 ) 2 Si-CH 2 -Si(CH 3 ) 3 ) And dimethylsilane (2 MS).
In some embodiments, the silicon-containing precursor may be an alkoxysilane. However, in some embodiments, it is understood that the silicon-containing precursor is not an alkoxysilane to avoid the presence of alkoxy groups. The alkoxysilane includes a central silicon atom and one or more alkoxy groups bonded to the central silicon atom and one or more hydrogen atoms bonded to the central silicon atom. Examples include, but are not limited to, trimethoxysilane (TMOS), dimethoxysilane (DMOS), methoxysilane (MOS), methyldimethoxysilane (MDMOS), diethoxymethylsilane (DEMS), dimethylethoxysilane (DMES), and Dimethylmethoxysilane (DMMOS).
Disilane, trisilane or other higher silanes may be used in place of monosilane. An example of one such disilane from the alkylsilane class is Hexamethyldisilane (HMDS). Another example of disilanes from the alkylsilane class may include Pentamethyldisilane (PMDS). Other types of alkylsilanes may include alkylcarbosilanes, which may have branched polymeric structures with carbon bonded to silicon atoms and alkyl groups bonded to silicon atoms. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE). In some embodiments, one of the silicon atoms may have a carbon-containing group or hydrocarbon-containing group attached thereto, and one of the silicon atoms may have a hydrogen atom attached thereto.
In some embodiments, the silicon-containing precursor may be a nitrogen-containing compound, such as silicon hydrogen nitride (e.g., silazane). Typically, such compounds contain carbon, but are bonded only to silicon atoms, not to nitrogen atoms. In certain embodiments, the nitrogen-containing compound does not have any carbon-nitrogen bonds. In certain embodiments, the nitrogen-containing compound does not have any amine moiety (-C-NR) 1 R 2 ) Wherein R is 1 And R is 2 Are the same or different groups, such as hydrogen atoms and hydrocarbyl groups (e.g., alkyl, alkenyl, or alkynyl groups). Examples of suitable silicon-nitrogen precursors include various silazanes, such as cyclic and linear silazanes, containing one or more hydrocarbon moieties bonded to one or more silicon atoms and one or more hydrogen atoms bonded to one or more silicon atoms. Examples of silazanes include methyl-substituted disilazanes and trisilazanes, such as tetramethyl disilazane and hexamethyl trisilazane.
During deposition of silicon carbide, a variety of silicon-containing precursors may be present in the process gas. For example, a siloxane and an alkylsilane may be used together, or a siloxane and an alkoxysilane may be used together. The relative proportions of the individual precursors may be selected based on the chemical structure of the precursor selected and the application of the resulting silicon carbide film. For example, as discussed in more detail below, the mole percent of the amount of siloxane may be greater than the mole percent of the amount of silane to produce a porous membrane.
Examples of suitable precursors for depositing an oxygen doped silicon carbide film may include cyclosiloxanes such as cyclotetrasiloxane (e.g., heptamethyl cyclotetrasiloxane (HMCTS) and tetramethyl cyclotetrasiloxane). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Other examples of suitable precursors for depositing the oxygen doped silicon carbide film include linear siloxanes such as, but not limited to, disiloxanes such as Pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), hexamethyltrisiloxane, and heptamethyltrisiloxane.
For deposition of undoped silicon carbide films, examples of suitable precursors may include monosilanes substituted with one or more alkane, alkene, and/or alkyne groups containing, for example, 1-5 carbon atoms. Examples include, but are not limited to, trimethylsilane (3 MS), dimethylsilane (2 MS), triethylsilane (TES), and pentamethyldisilane. In addition, disilane, trisilane, or other higher silanes may be used in place of monosilane. Examples of disilanes include Hexamethyldisilane (HMDS) and Pentamethyldisilane (PMDS). Other types of alkylsilanes may include alkylcarbosilanes. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilylethane (BDMSE).
Examples of suitable precursors for deposition of nitrogen doped silicon carbide films may include silazanes such as alkyl disilazanes, and amino groups (-NH) containing one or more silicon atoms bonded respectively 2 ) And possible compounds of alkyl groups. The alkyl disilazane comprises a silazane and an alkyl group bonded to two silicon atoms. An example includes 1, 3-Tetramethyldisilazane (TMDSN).
As explained, the silicon-containing precursor is selected to provide a highly conformal silicon carbide film. It is believed that silicon-containing precursors with low adhesion coefficients are capable of producing highly conformal films. "sticking coefficient" is used to describe the number and collision of adsorbed species (e.g., fragments or molecules) that adsorb/adhere to the surface over the same period of time Term of the ratio of the total number of substances striking the surface. Symbol S c Sometimes referred to as an adhesion coefficient. S is S c The value of (a) is between 0 (meaning that no material adheres) and 1 (meaning that all impinging material adheres). Various factors affect the sticking coefficient, including the type of impinging material, the surface temperature, the surface coverage, the structural details of the surface, and the kinetic energy of the impinging material. Some substances are more "adherent" in nature than others, making them more likely to adsorb to a surface each time they strike the surface. These more adherent substances have a greater sticking coefficient (all other factors being equal) and are more likely to adsorb near the entrance of the recessed feature than less adherent tacky substances having a lower sticking coefficient. In some cases, the adhesion coefficient of the precursor (under relevant deposition conditions) may be about 0.05 or less, for example about 0.001 or less.
Device and method for controlling the same
One aspect of the invention is an apparatus configured to implement the methods described herein. Suitable means include hardware for implementing process operations and a system controller having instructions for controlling process operations in accordance with the invention. In some embodiments, the means for performing the foregoing process operations may comprise a remote plasma source. Remote plasma sources provide mild reaction conditions compared to direct plasma. An example of a suitable remote plasma device is described in U.S. patent application Ser. No.14/062,648, filed on 10/24/2013, which is incorporated by reference herein in its entirety for all purposes.
Fig. 3 illustrates a schematic diagram of a remote plasma device, according to some embodiments. The apparatus 300 includes a reaction chamber 310 having a showerhead 320. Inside the reaction chamber 310, the substrate 330 rests on a pedestal or base 335. In some embodiments, the base 335 may be equipped with a heating/cooling element. A controller 340 may be connected to the components of the apparatus 300 to control the operation of the apparatus 300. For example, the controller 340 may contain instructions for controlling process conditions, such as temperature process conditions and/or pressure process conditions, for the operation of the apparatus 300. In some implementations, the controller 340 may include instructions for controlling the flow rates of the precursor gas, the co-reactant gas, the source gas, and the carrier gas. The controller 340 may contain instructions for varying the flow rate of the co-reactant gas over time. Additionally or alternatively, the controller 340 may include instructions for varying the flow rate of the precursor gas over time. A more detailed description of the controller 340 is provided below.
In operation, a gas or gas mixture is introduced into the reaction chamber 310 via one or more gas inlets coupled to the reaction chamber 310. In some embodiments, two or more gas inlets are coupled to the reaction chamber 310. A first gas inlet 355 may be coupled to the reaction chamber 310 and connected to the container 350 and a second gas inlet 365 may be coupled to the reaction chamber 310 and connected to the remote plasma source 360. In embodiments that include a remote plasma configuration, the delivery lines for the precursor and radical species generated in the remote plasma source are separate. Thus, the precursor and radical species do not substantially interact until they reach the substrate 330. It should be appreciated that in some embodiments, the gas lines may be reversed such that the container 350 may provide a flow of precursor gas via the second gas inlet 365 while the remote plasma source 360 may provide ions and radicals via the first gas inlet 355.
One or more radical species may be generated in the remote plasma source 360 and configured to enter the reaction chamber 310 via the second gas inlet 365. Any type of plasma source may be used in the remote plasma source 360 to create the radical species. This includes, but is not limited to, capacitively coupled plasma, inductively coupled plasma, microwave plasma, direct current plasma, and laser generated plasma. An example of a capacitively coupled plasma may be a Radio Frequency (RF) plasma. The high frequency plasma may be configured to operate at 13.56MHz or higher. Examples of such remote plasma sources 360 may be manufactured by Lam Research Corporation (Fremont, california)Another example of such a radio frequency remote plasma source 360 may be manufactured by MKS Instruments of Weimington, massWhich may operate at 440kHz and may be provided as a subunit bolted to a larger device for parallel processing of one or more substrates. In some embodiments, microwave plasma may be used as remote plasma source 360, such as +.>It is also manufactured by MKS Instruments. The microwave plasma may be configured to operate at a frequency of 2.45 GHz. The gases provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases as mentioned elsewhere herein. In certain embodiments, hydrogen is provided in a carrier (e.g., helium). As one example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen.
The precursor may be provided in the container 350 and may be supplied to the showerhead 320 via a first gas inlet 355. The showerhead 320 distributes precursors toward the substrate 330 to the reaction chamber 310. A substrate 330 may be positioned below the showerhead 320. It should be appreciated that the showerhead 320 may have any suitable shape and may have any number and arrangement of ports for distributing gas to the substrates 330. The precursor may be supplied to the showerhead 320 and ultimately to the substrate 330 at a controlled flow rate.
One or more radical species formed at the remote plasma source 360 may be transported in the gas phase toward the substrate 330. One or more radical species may flow into the reaction chamber 310 through the second gas inlet 365. It should be appreciated that the second gas inlet 365 need not be transverse to the surface of the substrate 330, as shown in fig. 3. In certain implementations, the second gas inlet 365 may be directly over the substrate 330 or in other locations. The distance between the remote plasma source 360 and the reaction chamber 310 may be configured to provide mild reaction conditions such that ionized species generated at the remote plasma source 360 are substantiallyAt least some of the radical species that are neutral, but in a substantially low energy state, remain in the environment adjacent to the substrate 330. Such low energy free radical species are no longer recombined to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 may be aggressive to the plasma (e.g., determined in part by the source RF power level), the gas density in the plasma (e.g., if there are high concentrations of hydrogen atoms, a substantial portion of them may recombine to form H before reaching the reaction chamber 310) 2 ) And other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 may be between about 1cm and 30cm, for example about 5cm or about 15cm.
In some embodiments, a co-reactant that is not the predominant silicon-containing precursor or hydrogen radical is introduced during the deposition reaction. In some embodiments, the apparatus is configured to introduce the co-reactant through the second gas inlet 365, in which case the co-reactant is at least partially converted to a plasma. In some embodiments, the apparatus is configured to introduce co-reactant through showerhead 320 via first gas inlet 355. Examples of co-reactants include oxygen, nitrogen, ammonia, carbon dioxide, carbon monoxide, and the like. The flow rate of the co-reactant may be varied over time to create a composition gradient in the graded film.
Fig. 4 illustrates a schematic diagram of an exemplary plasma processing apparatus having a remote plasma source, according to certain other embodiments. The plasma processing apparatus 400 includes a remote plasma source 402 that is separate from a reaction chamber 404. The remote plasma source 402 is fluidly coupled to the reaction chamber 404 via a multi-port gas distributor 406, which may also be referred to as a showerhead. Radical species are generated in the remote plasma source 402 and supplied to the reaction chamber 404. One or more silicon-containing precursors are supplied to the remote plasma source 402 and the reaction chamber 404 downstream of the multi-port gas distributor 406. The one or more silicon-containing precursors react with the radical species in the chemical vapor deposition region 408 of the reaction chamber 404 to deposit a silicon carbide film on the surface of the substrate 412. The chemical vapor deposition region 408 comprises an environment adjacent to the surface of the substrate 412.
The substrate 412 is supported on a substrate support or pedestal 414. The susceptor 414 may be movable within the reaction chamber 404 to position the substrate 412 within the chemical vapor deposition region 408. In the embodiment shown in fig. 4, susceptor 414 shows substrate 412 having been raised within chemical vapor deposition region 408. In certain embodiments, the pedestal 414 may also adjust the temperature of the substrate 412, and the pedestal 414 may provide some selective control of thermally activated surface reactions on the substrate 412.
Fig. 4 shows a coil 418 disposed around the remote plasma source 402, wherein the remote plasma source 402 includes an outer wall (e.g., a quartz dome). The coil 418 is electrically coupled to a plasma generator controller 422, which can be used to form and sustain a plasma within a plasma region 424 by inductively coupled plasma generation. In certain embodiments, the plasma generator controller 422 may include a power supply that supplies power to the coil 418, wherein the power may be in the range of about 1 to 6 kilowatts (kW) during plasma generation. In certain embodiments, electrodes or antennas used for parallel plate or capacitively coupled plasma generation may be used to generate a continuous supply of radicals through plasma excitation, rather than using inductively coupled plasma generation. Regardless of the mechanism used to ignite and sustain the plasma within plasma region 424, the plasma excitation may be utilized to continuously generate radical species during film deposition. In certain embodiments, hydrogen radicals are generated at approximately steady state conditions during steady state film deposition, but transients may occur at the beginning and end of film deposition.
When hydrogen gas or other source gas is supplied to the remote plasma source 402, a supply of hydrogen radicals may be continuously generated in the plasma region 424. Excited hydrogen radicals may be generated in the remote plasma source 402. If not re-excited or re-supplied with energy or recombined with other radicals, the excited hydrogen radicals lose their energy or relax. Thus, the excited hydrogen radicals may relax to form hydrogen radicals in a substantially low energy state or ground state.
One or more additional may be usedThe gas dilutes the hydrogen or other source gas. The one or more additional gases may be supplied to the remote plasma source 402. In certain embodiments, hydrogen or other source gas is mixed with one or more additional gases to form a gas mixture, wherein the one or more additional gases may comprise a carrier gas. Non-limiting examples of the additional gas may include helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), and nitrogen (N) 2 ). The one or more additional gases may support or stabilize a steady state plasma state within the remote plasma source 402, or facilitate a transient plasma ignition or extinction procedure. In some embodiments, diluting hydrogen or other source gas with, for example, helium, may facilitate higher total pressures without concomitant plasma break down (plasma break down). In other words, a diluted gas mixture of hydrogen and helium may facilitate a higher total gas pressure without increasing the plasma power supplied to the remote plasma source 402. As shown in fig. 4, a source gas supply 426 is fluidly coupled to the remote plasma source 402 to supply hydrogen gas or source gas. Further, an additional gas supply 428 is fluidly coupled to the remote plasma source 402 to supply one or more additional gases. The one or more additional gases may also comprise the co-reactant gases described above. While the embodiment of fig. 4 depicts the gas mixture of the source gas and the one or more additional gases being introduced via separate gas outlets, it should be understood that the gas mixture may be introduced directly into the remote plasma source 402. That is, the premixed diluent gas mixture may be supplied to the remote plasma source 402 via a single gas outlet.
Gases (e.g., excited hydrogen and helium radicals and relaxed gases/radicals) flow from the remote plasma source 402 and into the reaction chamber 404 via the multi-port gas distributor 406. The gases within multiport gas distributor 406 and within reaction chamber 404 are generally not subjected to continuous plasma excitation therein. In certain embodiments, multiport gas distributor 406 comprises an ion filter and/or a photon filter. Filtering the ions and/or photons may reduce damage to the substrate, undesired molecular re-excitation, and/or selective cleavage or decomposition of the silicon-containing precursor within the reaction chamber 404. The multi-port gas distributor 406 may have a plurality of gas ports 434 to diffuse the gas flow into the reaction chamber 404. In certain embodiments, the plurality of gas ports 434 may be spaced apart from one another. In certain embodiments, the plurality of gas ports 434 may be arranged in an array of regularly spaced channels or through holes that extend through a plate separating the remote plasma source 402 from the reaction chamber 404. The plurality of gas ports 434 may smoothly disperse and diffuse radicals exiting from the remote plasma source 402 into the reaction chamber 404.
A typical remote plasma source is spaced relatively far from the reaction vessel. Thus, radical extinction and recombination (e.g., by wall collision events) will result in a substantial reduction of the active species. In contrast, in certain embodiments, the dimensions of the plurality of gas ports 434 may be configured based on the mean free path or gas flow residence time under typical processing conditions to assist free radicals in freely entering the reaction chamber 404. In certain embodiments, the openings of the plurality of gas ports 434 may occupy between about 5% and about 20% of the exposed surface area of the multi-port gas distributor 406. In certain embodiments, the plurality of gas ports 434 may each have a ratio of axial length to diameter of between about 3:1 and 10:1, or between about 6:1 and 8:1. Such aspect ratios may reduce the wall collision frequency of radical species passing through the plurality of gas ports 434 and at the same time provide sufficient time for most excited state radical species to relax to ground state radical species. In certain embodiments, the dimensions of the plurality of gas ports 434 may be configured such that the residence time of the gas through the multi-port gas distributor 406 is greater than the energy relaxation time typical of excited state radical species. The excited radical species of the hydrogen source gas can be represented by H in FIG. 4 * The ground state radical species of the hydrogen source gas are represented by H in fig. 4.
In certain embodiments, the excited-state radical species exiting the plurality of gas ports 434 may flow into the relaxation region 438, the relaxation region 438 being contained within the interior of the reaction chamber 404. The relaxation zone 438 is located upstream of the chemical vapor deposition zone 408 but downstream of the multiport gas distributor 406. Substantially all or at least 90% of the excited-state radical species exiting multiport gas distributor 406 are converted to relaxed-state radical species in relaxation region 438. In other words, substantially all of the excited state radical species (e.g., excited hydrogen radicals) that enter the relaxation region 438 become de-excited or converted to relaxed state radical species (e.g., ground state hydrogen radicals) before exiting the relaxation region 438. In certain embodiments, the process conditions or geometry of the relaxation region 438 may be configured such that the residence time of the radical species flowing through the relaxation region 438 (e.g., the time determined by the mean free path and the mean molecular velocity) causes the relaxed state radical species to flow out of the relaxation region 438.
One or more silicon-containing precursors and/or one or more co-reactants may be introduced into the chemical vapor deposition region 408 as the radical species are transported from the multi-port gas distributor 406 to the relaxation region 438. One or more silicon-containing precursors may be introduced through a gas distributor or gas outlet 442, wherein the gas outlet 442 may be fluidly coupled to a precursor supply 440. The relaxation region 438 may be contained within a space between the multiport gas distributor 406 and the gas outlets 442. The gas outlets 442 may include openings that are spaced apart from one another such that one or more silicon-containing precursor streams may be introduced in a direction parallel to the gas mixture flowing from the relaxation region 438. The gas outlets 442 may be located downstream of the multiport gas distributor 406 and the relaxation zone 438. The gas outlets 442 may be located upstream of the chemical vapor deposition region 408 and the substrate 412. The chemical vapor deposition region 408 is located in the interior of the reaction chamber 404 and between the gas outlet 442 and the substrate 412.
Substantially all of the one or more silicon-containing precursor streams are prevented from mixing with the excited-state radical species adjacent to the multiport gas distributor 406. The radical species in the relaxed or ground state are mixed with one or more silicon-containing precursors in a region adjacent to the substrate 412. The chemical vapor deposition region 408 comprises a region adjacent to the substrate 412 in which a relaxed state or ground state radical species is mixed with one or more silicon-containing precursors. During CVD formation of the silicon carbide film, the relaxed or ground state radical species are mixed with one or more silicon-containing precursors in the gas phase.
In certain embodiments, the co-reactant may be introduced from the gas outlet 442 and flowed with one or more silicon-containing precursors. The co-reactant may comprise a deposition additive or a non-deposition additive as described below. The co-reactant may be introduced downstream of the remote plasma source 402. The co-reactant may be supplied from a precursor supply 440 or other source (not shown) fluidly coupled to a gas outlet 442. The co-reactant may be a carbon-containing precursor or a second silicon-containing precursor that does not contain Si-H or Si-Si bonds, as described below. In certain embodiments, the co-reactant may be introduced from the multi-port gas distributor 406 and flowed into the reaction chamber 404 along with the radical species generated in the remote plasma source 402. This may include radicals and/or ions of the co-reactant gas provided in the remote plasma source 402. The co-reactants may be supplied from an additional gas supply 428.
The gas outlets 442 may be separated from the multi-port gas distributor 406 a sufficient distance to prevent back diffusion or back flow of one or more silicon-containing precursors. In certain embodiments, the gas outlets 442 may be separated from the plurality of gas ports 434 by the following distances: a distance of between about 0.5 inches to about 5 inches, or between about 1.5 inches to about 4.5 inches, or between about 1.5 inches to about 3 inches.
Process gas may be removed from the reaction chamber 404 via an outlet 448, the outlet 448 configured to be fluidly coupled to a pump (not shown). Thus, excess silicon-containing precursor, co-reactant, radical species, and dilution and displacement or purge gas may be removed from the reaction chamber 404. In certain embodiments, the system controller 450 is in operative communication with the plasma processing apparatus 400. In certain embodiments, the system controller 450 includes a processor system 452 (e.g., a microprocessor) configured to execute instructions stored in a data system 454 (e.g., memory). In certain embodiments, the system controller 450 may communicate with the plasma generator controller 422 to control plasma parameters and/or conditions. In certain embodiments, the system controller 450 may be in communication with the base 414 to control the base height and temperature. In some embodiments, the system controller 450 may control other processing conditions such as RF power settings, frequency settings, duty cycles, pulse times, pressure within the reaction chamber 404, pressure within the remote plasma source 402, gas flow rates from the source gas supply 426 and additional gas supply 428, gas flow rates from the precursor supply 440 and other sources, temperature of the susceptor 414, and temperature of the reaction chamber 404, among others.
The aspects of the controller 450 of fig. 4 described below also apply to the controller 340 of fig. 3. The controller 450 may contain instructions for controlling the process conditions of the operation of the plasma processing apparatus 400. The controller 450 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller board, etc. The instructions for implementing the appropriate control operations are executed on the processor. These instructions may be stored in a memory device associated with controller 450 or they may be provided over a network.
In certain embodiments, the controller 450 controls all or most of the activities of the plasma processing apparatus 400 described herein. For example, the controller 450 may control all or most of the activities of the plasma processing apparatus 400 associated with depositing silicon carbide films and optionally other operations in a manufacturing flow including silicon carbide films. The controller 450 can execute system control software including sets of instructions for controlling timing, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power level, substrate position, and/or other parameters. Other computer programs, scripts, or programs stored on a memory device associated with controller 450 may be employed in some embodiments. To provide relatively mild reaction conditions in the environment adjacent to substrate 412, parameters such as RF power level, gas flow rate to plasma region 424, gas flow rate to chemical vapor deposition region 408, and timing of plasma ignition may be adjusted and maintained by controller 450. Additionally, adjusting the substrate position may further reduce the presence of energetic radical species in the environment adjacent to the substrate 412. In a multi-station reactor, the controller 450 may include different or the same instructions for different plant stations, thereby enabling the plant stations to operate independently or simultaneously.
In some implementations, the controller 450 may include instructions for performing, for example, the following operations: the silicon carbide film is deposited on the substrate 412 by flowing one or more silicon-containing precursors into the reaction chamber 404 through the gas outlet 442, providing a source gas into the remote plasma source 402, generating one or more radical species of the source gas in the remote plasma source 402, introducing the one or more radical species in a substantially low energy state from the remote plasma source 402 into the reaction chamber 404 to react with the one or more silicon-containing precursors. The one or more radical species in the reaction chamber 404 in the environment adjacent to the substrate 412 may be hydrogen radicals in the ground state. In some implementations, the controller 450 may include instructions to flow the co-reactant into the reaction chamber 404 with one or more silicon-containing precursors. The coreactant may comprise a non-deposition additive or a deposition additive.
In some embodiments, the apparatus 400 may include a user interface associated with the controller 450. The user interface may include a display screen, a graphical software display of the apparatus 400 and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, etc.
The computer program code for controlling the above operations may be written in any conventional computer readable programming language: such as assembly language, C, C ++, pascal, fortran, or others. The compiled object code or script is executed by the processor to perform the tasks identified in the program.
The signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signal used to control the process is an output on an analog and digital output connection of the processing system.
Generally, the methods described herein can be performed on a system that includes a semiconductor processing apparatus, such as one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer pedestal, gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing semiconductor wafers or substrates. In general, the electronics may be referred to as a "controller" that may control various elements or sub-components of one or more systems. Depending on the process requirements and/or type of system, the controller may be programmed to control any of the processes disclosed herein, including controlling process gas delivery, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer in and out tools and other transfer tools, and/or load locks connected or interfaced with a particular system.
Broadly speaking, a controller may be defined as an electronic device having various integrated circuits, logic, memory and/or software that receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and the like. An integrated circuit may include a chip in the form of firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers that execute program instructions (e.g., software). The program instructions may be instructions that communicate with the controller, define operating parameters for performing a particular process on or for a semiconductor wafer or system in a variety of separately provided forms (or program files). In some embodiments, the operating parameters may be part of a recipe (recipe) defined by a process engineer to complete one or more process steps during the fabrication of one or more (seed) layers, materials (e.g., silicon carbide), surfaces, circuits, and/or dies of a wafer.
In some embodiments, the controller may be part of or coupled to a computer that is integrated with the system, coupled to the system, or connected to the system via a network, or a combination of these. For example, the controller may be at the "cloud" or all or a portion of a factory (fab) host system, which may allow remote access to wafer processing. The computer may enable remote access to the system to monitor the current progress of the manufacturing operation, check the history of past manufacturing operations, check trends or performance criteria of multiple manufacturing operations, change parameters of the current process, set process steps to follow the current process, or start a new process. In some examples, a remote computer (e.g., a server) may provide the process recipe to the system over a network, which may include a local network or the internet. The remote computer may include a user interface that allows parameters and/or settings to be entered or programmed, which are then communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data that specify parameters for each processing step to be performed during one or more operations. It should be appreciated that the parameters may be specific to the type of process to be performed as well as the type of tool to which the controller is configured to connect or control. Thus, as described above, the controllers may be distributed, for example, by including one or more discrete controllers connected together by a network and working toward a common target (e.g., the processes and controls described herein). Examples of distributed controllers for these purposes may be one or more integrated circuits within a room that communicate with one or more remote integrated circuits (e.g., at a platform level or as part of a remote computer) that combine to control processes within the room.
In addition to the silicon carbide deposition and processing described herein, the example systems may include a plasma etching chamber or module, a deposition chamber or module, a spin-clean chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etching chamber or module, a Physical Vapor Deposition (PVD) chamber or module, a Chemical Vapor Deposition (CVD) chamber or module, an Atomic Layer Deposition (ALD) chamber or module, an Atomic Layer Etching (ALE) chamber or module, an ion implantation chamber or module, an orbital chamber or module, and any other semiconductor processing system that may be associated with or used in the preparation and/or manufacture of semiconductor wafers.
As described above, the controller may be in communication with one or more other tool circuits or modules, other tool assemblies, cluster tools, other tool interfaces, adjacent tools, adjoining tools, tools located throughout the fab, a host, another controller, or tools used in transporting containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing fab, depending on one or more process steps to be performed by the tool.
The apparatus/processes described above may be used in conjunction with lithographic patterning tools or processes, for example, for the preparation or fabrication of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, although not necessarily, these tools/processes will be used or operated together in a common manufacturing facility. Photolithographic patterning of the film typically involves some or all of the following operations, each enabling a number of possible tools: (1) Applying a photoresist on a workpiece, i.e., a substrate, using a spin coating or spray tool; (2) Curing the photoresist using a hot plate or oven or a UV curing tool; (3) Exposing the photoresist to visible or ultraviolet or x-ray lamps using a tool such as a wafer stepper; (4) Developing the resist to selectively remove the resist and thereby pattern it using a tool such as a wet bench; (5) Transferring the resist pattern onto the underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as a radio frequency or microwave plasma resist stripper.
Coreactants for improved step coverage
ALD techniques typically achieve high step coverage, where step coverage may be equal to or greater than 90%, equal to or greater than 95%, equal to or greater than 99%, or even 100%. However, silicon carbide film deposition using ALD presents a number of challenges, including thermodynamic challenges that can make ALD of silicon carbide films difficult to achieve. Furthermore, ALD deposition rates are slower than typical CVD techniques, which may not be desirable in a manufacturing process. The present invention relates to deposition of silicon carbide films using remote plasma CVD. Remote plasma CVD techniques may be utilized to deposit doped or undoped silicon carbide films that may achieve step coverage comparable to ALD techniques.
As described above, the deposition reaction for depositing the silicon carbide film may contain co-reactants in addition to the silicon-containing precursor and the radical species. The introduction of co-reactants can be used to improve step coverage of silicon carbide films. The co-reactant may be flowed into the reaction chamber with the silicon-containing precursor, wherein the co-reactant may be flowed downstream of the remote plasma source. For example, the gas outlet for introducing the silicon-containing precursor and co-reactant may be located downstream of the remote plasma source. The remote plasma source is considered to be upstream of the substrate and the environment adjacent to the substrate. In some embodiments, the gas outlet for introducing the silicon-containing precursor and co-reactant may be located downstream of the remote plasma source and upstream of the substrate and the environment adjacent to the substrate.
In some embodiments, the co-reactant may be a deposition additive or a non-deposition additive. As used herein, a non-deposition additive is an additive of a deposition reaction that does not self-deposit in the absence of a silicon-containing precursor that participates in a remote plasma CVD reaction. The deposition additive is an additive of the deposition reaction that will deposit on its own even in the absence of silicon-containing precursors that participate in the remote plasma CVD reaction.
In addition to the silicon-containing precursor, a non-deposition additive or a deposition additive may be introduced as a second precursor. The second precursor has a chemistry for improving step coverage of the silicon carbide film. Step coverage of the deposited silicon carbide film may be measured relative to one or more features of the substrate. As used herein, "feature" may refer to a non-planar structure on a substrate, which is typically a surface modified during semiconductor device processing operations. Examples of features include trenches, vias, liners, pillars, domes, and the like. Features typically have an aspect ratio (depth or height to width). In some embodiments, the silicon carbide film has a step coverage of at least 90%, at least 95%, or at least 99%.
Non-deposition additives
In some embodiments, the non-deposition additive is a hydrocarbon molecule. For example, the hydrocarbon molecule may be a short chain hydrocarbon molecule having at least one double bond or at least one triple bond. In some embodiments, the hydrocarbon molecule comprises a carbon chain of between 3 carbon atoms and 7 carbon atoms. The hydrocarbon molecule may contain one or more unsaturated carbon bonds, such as one or more carbon-to-carbon double or triple bonds. Thus, the hydrocarbon molecule may comprise an alkene or alkyne group. Examples of suitable hydrocarbon molecules include propylene, ethylene, butene, pentene, butadiene, pentadiene (e.g., 1, 4-pentadiene), hexadiene, heptadiene, toluene, and benzene. Other examples of suitable hydrocarbon molecules include acetylene, propyne, butyne, pentyne (e.g., 1-pentyne), and hexyne (e.g., 2-hexyne). The non-deposition additive may also be referred to as a carbon-containing precursor or a non-deposition carbon-containing precursor.
The non-deposition additive is flowed with one or more silicon-containing precursors as described above. Each of the silicon-containing precursors may comprise one or more Si-H bonds and/or Si-Si bonds. Further, each of the silicon-containing precursors may include one or more Si-C bonds, si-N bonds, and/or Si-O bonds, be free of C-O bonds, and be free of C-N bonds. Examples of silicon-containing precursors may include, but are not limited to, alkyl carbosilanes, siloxanes, or silazanes. The non-deposition additive may be introduced into the reaction chamber downstream of one or more free radical species. Radical species may be generated in a remote plasma source upstream of a gas outlet where non-deposition additives are introduced. The radical species may comprise hydrogen radicals, wherein the hydrogen radicals are in a substantially low energy state or ground state upon mixing or interaction with the non-deposition additive.
Without being limited by any theory, hydrogen radicals in a substantially low energy state or ground state may interact with non-deposition additives. It is believed that hydrogen radicals in a substantially low energy state or ground state may interact with non-deposition additives to form species that cannot be deposited at a suitable temperature (e.g., greater than about 50 ℃ or greater than about 25 ℃). The hydrogen radicals may interact with alkyne or alkene groups in hydrocarbon molecules of non-deposition additives to form activated alkanes (e.g., methane). In some cases, the hydrocarbon molecules break into short chain hydrocarbon molecules or radicals. Such materials may be too light and may have an adhesion coefficient that is too low to self-deposit. In other words, the non-deposition additive may act as a passive bystander in the deposition reaction without significantly contributing to the composition of the silicon carbide film. Without being limited by any theory, the activated alkanes may interact with the silicon-containing precursor to form new species having a lower adhesion coefficient than the original silicon-containing precursor. Hydrocarbon molecules, as well as by-products of any reaction with hydrogen radicals in a substantially low energy state or ground state, are not incorporated into the silicon carbide film in significant amounts. As used herein, "substantial" may refer to, for the case where additives are incorporated into the silicon carbide film: the atomic concentration of carbon or silicon varies by equal to or greater than about 5% compared to silicon carbide film deposition without the additive. In some embodiments, the percentage of c—c bonds in the silicon carbide film is equal to or less than about 2%, equal to or less than about 1%, equal to or less than about 0.5%, or even 0%.
In some embodiments, the flow rate of the non-deposited material may be controlled. The flow rate of non-deposited species can affect deposition conditions such as the deposition rate and step coverage of the silicon carbide film. In some embodiments, the flow rate of the non-deposition species is between about 1sccm to about 50sccm, or between about 5sccm to about 25 sccm.
Fig. 5A shows a TEM image of a silicon carbide film deposited on a substrate feature without the use of a carbon-containing non-deposition additive. The silicon carbide film is deposited using a first precursor comprising a silicon-containing species without using a second precursor comprising a carbon-containing species. Fig. 5B shows a TEM image of a silicon carbide film deposited on a substrate feature using a carbon-containing non-deposition additive. The silicon carbide film is deposited using a first precursor comprising a silicon-containing species and a second precursor comprising a carbon-containing species.
Table 1 summarizes the differences in silicon carbide film deposition between fig. 5A and 5B for step coverage. The introduction of the second precursor (especially a carbon-containing precursor such as propylene) significantly improves the step coverage of the silicon carbide film. For example, the step coverage of the silicon carbide film is at least 95% or at least 99%. In addition, in the introduction of the carbon-containing precursor In this case, the film composition of the silicon carbide film is not significantly changed. In the case of the introduction of carbon-containing precursors, the film quality and film density are also substantially maintained. For example, the film density may be equal to or greater than about 2.0g/cm 3
TABLE 1
Deposition additives
In some embodiments, the deposition additive is a second silicon-containing precursor that flows with the first silicon-containing precursor. The first silicon-containing precursor has one or more Si-H bonds and/or Si-Si bonds. The second silicon-containing precursor has no Si-H bonds and no Si-Si bonds. In some embodiments, the second silicon-containing precursor has one or more si—c bonds. In some embodiments, the second silicon-containing precursor is a silicone precursor comprising an alkylsilane. Examples of organosilicon precursors that contain alkylsilanes without any Si-H bonds or Si-Si bonds include, but are not limited to, tetramethylsilane (4 MS), tetraethylsilane, tetrapropylsilane, and hexamethyldisilylpentane. In some embodiments, the second silicon-containing precursor is a silicone precursor comprising silazane. Examples of organosilicon precursors that contain silazanes without any Si-H bonds or Si-Si bonds include, but are not limited to, hexamethyldisilazane. In some embodiments, the second silicon-containing precursor is a silicone precursor comprising an alkylsilyl group. Examples of organosilicon precursors that contain alkylsilyl groups without any Si-H bonds or Si-Si bonds include, but are not limited to, bistrimethylsilylmethane.
The deposition additive is flowed with one or more of the first silicon-containing precursors described above. Each of the first silicon-containing precursors may comprise one or more Si-H bonds and/or Si-Si bonds. Further, each of the first silicon-containing precursors may comprise one or more Si-C bonds, si-N bonds, and/or Si-O bonds, be free of C-O bonds, and be free of C-N bonds. Examples of the first silicon-containing precursor may include, but are not limited to, an alkyl carbosilane, a siloxane, or a silazane. Deposition additives may be introduced into the reaction chamber downstream of one or more free radical species. Radical species may be generated in a remote plasma source upstream of a gas outlet where deposition additives are introduced. The radical species may comprise hydrogen radicals, wherein these hydrogen radicals are in a substantially low energy state or ground state upon mixing or interaction with the deposition additive (second silicon-containing precursor).
Without being limited by any theory, one of the more kinetically favored reaction mechanisms in the deposition reaction comprises a hydrogen abstraction reaction (hydrogen abstraction) that involves selective cleavage of si—h bonds in the first silicon-containing precursor. One of the kinetically less favored reaction mechanisms in the deposition reaction includes hydrogen substitution (hydrogen substitution), which involves substituting hydrogen for the alkyl group in the second silicon-containing precursor. The metathesis results in hydrocarbon radicals that can react with the activated species of the first silicon-containing precursor. By increasing the partial pressure of the second silicon-containing precursor, the reaction equilibrium increases toward the product of the hydrogen substitution reaction mechanism. It is believed that hydrogen radicals in a substantially low energy state or ground state may interact with the deposition additive to form a species that is capable of deposition regardless of temperature, even at temperatures greater than about 50 c or greater than about 25 c. Interaction with the hydrogen radicals may result in an activated first silicon-containing precursor and/or an activated second silicon-containing precursor (i.e., an activated additive silicon-containing precursor), which may react with each other. Without being bound by any theory, one possible mechanism involves: the first silicon-containing precursor is activated by hydrogen radicals and the activated first silicon-containing precursor forms silicon-based radicals that can react with the second silicon-containing precursor to form new deposition species and hydrocarbon radicals. The new material has a lower sticking coefficient than the original silicon-containing precursor. The deposition additive therefore does not act as a passive bystander, but may contribute significantly to the composition of the silicon carbide film. Deposition additives and byproducts of any reaction with hydrogen radicals in a substantially low energy state or ground state may be incorporated into the silicon carbide film in significant amounts.
In some embodiments, the flow rate of the deposition material may be controlled. The flow rate of the deposition species may affect deposition conditions such as the deposition rate of the silicon carbide film and step coverage. In some embodiments, the flow rate of the deposition species is between about 25sccm and about 200sccm, or between about 50sccm and about 100 sccm. In some embodiments, the flow rate of the deposition species may be at least twice the flow rate of the original silicon-containing precursor.
Fig. 6A shows a TEM image of a silicon carbide film deposited on a substrate feature without the use of a silicon-containing deposition additive. The silicon carbide film is deposited using a first precursor comprising a silicon-containing species having one or more Si-H and/or Si-Si bonds, without using a second precursor comprising a silicon-containing species that does not have Si-H or Si-Si bonds. Fig. 6B shows a TEM image of a silicon carbide film deposited on a substrate feature using a silicon-containing deposition additive. The silicon carbide film is deposited using a first precursor comprising a silicon-containing species having one or more Si-H and/or Si-Si bonds, and a second precursor comprising a silicon-containing species having no Si-H or Si-Si bonds.
Table 2 summarizes the differences in silicon carbide film deposition between fig. 6A and 6B for step coverage. The introduction of a second precursor, especially a silicone precursor without Si-H or Si-Si bonds (such as tetramethylsilane), significantly improves the step coverage of the silicon carbide film. For example, the step coverage of the silicon carbide film is at least 95% or at least 99%. In the case of introducing the second silicon-containing precursor, the film composition of the silicon carbide film is made to change slightly but not significantly. In the case of introducing the second silicon-containing precursor, the film quality and film density are also substantially maintained. For example, the film density may be equal to or greater than about 2.0g/cm 3
TABLE 2
Structure and properties of the deposited film
The deposited film includes silicon, carbon, and in some cases oxygen, nitrogen, and/or one or more other elements. In some embodimentsIn one embodiment, the atomic concentration of silicon is between about 15% and 45% (or about 25% and 40%), the atomic concentration of carbon is between about 10% and 50%, the atomic concentration of oxygen is between about 0% and 45%, and the atomic concentration of nitrogen is between about 0% and 45%. In one example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 25%, and the atomic concentration of carbon is about 45%. In another example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 45%, and the atomic concentration of carbon is about 25%. In another example, the film contains about 10-15% carbon and about 30-40% oxygen, both based on atomic concentration. In all cases, the membrane contained some hydrogen. However, it is understood that the relative atomic concentration of hydrogen is small, e.g., equal to or less than about 5%. It will be appreciated that the relative atomic concentrations may vary depending on the choice of precursor. The silicon atoms will form bonds with carbon and optionally with nitrogen and/or oxygen atoms. In some embodiments, the deposited film contains more Si-O bonds than Si-C bonds. This can provide a relatively porous film with a lower dielectric constant. In certain examples, the deposited film contains a ratio of Si-O bonds to Si-C bonds between about 0.5:1 and 3:1. In some embodiments, the deposited film contains more Si-N bonds than Si-C bonds. In certain examples, the deposited film contains a ratio of Si-N bonds to Si-C bonds between about 0.5:1 and 3:1. In some embodiments, the film density is between about 2 and 2.7g/cm 3 Between them.
In some embodiments, the internal structure of the precursor is maintained in the deposited film. Such a structure may retain all or a substantial portion of the Si-C bonds, and Si-O bonds and/or Si-N bonds (if present) in the precursor, while linking or crosslinking individual precursor moieties by bonds present at the sites of the Si-H bonds and/or Si-Si bonds of the precursor molecule and/or by additional condensation reactions on the growth surface (if sufficient thermal energy is provided).
The process conditions described previously herein can provide a highly conformal film structure. Relatively mild process conditions may minimize the extent of ion bombardment at the substrate surface, so that deposition lacks directionality. Furthermore, relatively mild process conditions may reduce the number of radicals having a high sticking coefficient, which may have a tendency to adhere to the sidewalls of previously deposited layers or films. In certain embodiments, for about 2:1 to 10:1, the silicon carbide film may be deposited at a conformality of between about 25% and 100%, more typically between about 50% and 100%, and even more typically between about 80% and 100%. The conformality may be calculated by comparing the average thickness of the deposited film at the bottom, sidewall, or top of one feature with the average thickness of the deposited film at the bottom, sidewall, or top of one feature. For example, the conformality may be calculated by dividing the average thickness of the deposited film on the sidewalls of the feature by the average thickness of the deposited film on top of the feature and multiplying by 100 to obtain a percentage. For some applications, a conformality of between about 85% and 95% is sufficient. Having a ratio of between about 2:1 and about 4: in some examples of depositing silicon carbide on features of aspect ratio between 1, the conformality is at least about 90%. Some BEOL (back end of line) processes fall into this category. In a composition having a molecular weight between about 4:1 and about 6: in some examples of depositing silicon carbide on features of aspect ratio between 1, the conformality is at least about 80%. Some underlayer deposition processes fall into this category. Having a ratio of between about 7:1 and about 10: in some examples of depositing silicon carbide on features of an aspect ratio between 1 (and even higher), the conformality is at least about 90%. Some DRAM (dynamic random access memory) manufacturing processes fall into this category.
The process conditions may also provide a film structure with high breakdown voltage and low leakage current. By incorporating a limited amount of oxygen or nitrogen into the SiC-type material, si-H bonds and/or Si-CH bonds can be blocked by oxygen or nitrogen 2 The leakage path provided by the Si bond. The conduction patterns in Si-O and Si-N may be different at low electric fields. This may provide improved electrical characteristics while maintaining a relatively low dielectric constant. In various embodiments, the film has an effective dielectric constant of about 5 or less, or about 4.0 or less, in some cases about 3.5 or less, in some cases about 3.0 or less, and even in some embodiments about 2.5 or less. The effective dielectric constant may depend on bond and density. In some embodimentsIn the scheme, siOC films are made to have a dielectric constant of 6 or higher, especially when the carbon content is relatively high. If leakage current is an important consideration, siOC films require dielectric constants less than 5. The lower the dielectric constant, the poorer the sealing and heat resistance properties. In certain embodiments where low sealability and diffusion limitations, excellent etch resistance, thermal stability, etc., are desired for certain applications, the silicon carbide films can be made dense and highly crosslinked. This can be achieved, for example, by: a) Depositing a thin film at a relatively high temperature, and/or b) providing relatively high radicals: ratio of precursors. In some embodiments, the silicon carbide film may be relatively thin and still function as an effective sealing and diffusion barrier.
In some embodiments, the deposited film may be porous. As previously discussed herein, the silicon-containing precursor may comprise a cyclic siloxane and a cage siloxane. These precursors, as well as others with significant internal open space, can introduce significant porosity into the structure of the deposited film. The porosity in the deposited film may further reduce the dielectric constant. In some embodiments, the porosity of the deposited silicon carbide film is between about 20% and 50%. The pore size of the porous membrane may follow the pore size of the annular or cage precursor. In some embodiments, the average hole size of the film is between about 5 angstroms and 20 angstroms, such as about 16 angstroms.
Application of
The present disclosure may be further understood by reference to the following application for high quality silicon carbide films, the application of which is meant to be purely exemplary. The invention is not limited in scope by the specific application, which is merely a brief description of aspects of the present disclosure.
In some embodiments, a silicon carbide film may be deposited on the exposed copper. In some embodiments of depositing a silicon carbide film, the reaction conditions adjacent to the substrate may be free of an oxidizing agent (e.g., O 2 ,O 3 And CO 2 ) Including their free radicals. Thus, the silicon carbide film may be deposited directly on the exposed copper without copper oxide (e.g., to produce copper oxide). Such a film may act as an etch stop A stop layer, which may also act as a copper diffusion barrier. The presence of the silicon carbide film may provide a sufficiently low dielectric constant with excellent leakage characteristics to act as a diffusion barrier. The silicon carbide film itself or as a bilayer stack (e.g., siCO/SiNC bilayer deposited over exposed copper) may be an etch stop and/or diffusion barrier. In some embodiments, the silicon carbide film may be disposed between adjacent metallization layers that are typically produced by a damascene process. The silicon carbide film is resistant to etching and may be sufficiently dense to minimize diffusion of copper ions into adjacent regions of the dielectric material. In some embodiments, nitrogen may be incorporated into the film by using nitrogen-containing precursors or plasma-activated nitrogen-containing radicals (e.g., elemental nitrogen radicals or amine radicals).
In some embodiments, as shown in fig. 1B, a silicon carbide film 111 may be conformally deposited on features 112 of substrate 110. Features 112 may be isolated or dense features, where features 112 may have relatively small Critical Dimensions (CDs). In some embodiments, the features may have a CD of equal to or less than about 20nm, equal to or less than about 10nm, or equal to or less than about 5 nm. The aspect ratio of the height to the width of the features 112 may be greater than 2:1, greater than 5:1, greater than 10:1, or greater than 20:1. The step coverage of the silicon carbide film 111 deposited on the features 112 is at least 90%, at least 95%, or at least 99%.
In some embodiments, the silicon carbide film may be deposited as a vertical structure adjacent to a metal or semiconductor structure. The deposition of silicon carbide provides excellent step coverage along the sidewalls of the metal or semiconductor structure to create a vertical structure. In certain embodiments, the vertical structure may be referred to as a spacer or liner.
Fig. 1C shows a cross-section of a silicon carbide liner deposited on a sidewall of a gate electrode structure of a transistor. As shown in fig. 1C, the transistor may be a CMOS transistor having a silicon substrate 120, the silicon substrate 120 having a source 122 and a drain 123. A gate dielectric 124 may be deposited on the silicon substrate 120 and a gate electrode 125 may be deposited on the gate dielectric 124 to form a transistor. Silicon carbide spacers or pads 121 may be deposited on the sidewalls of gate electrode 125 and gate dielectric 124.
In another example, fig. 1D shows a cross section of silicon carbide deposited on the sidewalls of exposed copper lines in an air gap metallization layer. Air gaps 130 may be introduced into the integrated circuit layer between copper lines 132, thereby reducing the effective k value of the layer. Silicon carbide liner 131 may be deposited on the sidewalls of copper lines 132 and non-conformal dielectric layer 133 may be deposited over air gaps 130, liner 131, and copper lines 132. Examples of such air gap metallization layers can be described in U.S. patent application publication No. 2004/0232252 to Fei Wang et al, which is incorporated herein by reference in its entirety and for all purposes.
In some embodiments, a silicon carbide film may be deposited on the sidewalls of the patterned porous dielectric material. The ultra-low k dielectric material may be made of a porous structure. The holes in such materials may provide an entry region for metal during deposition of subsequent layers, including deposition of diffusion barrier layers containing metal such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may provide a short between adjacent copper metallization lines.
Fig. 1E shows a cross section of a silicon carbide film as a pore sealant for a porous dielectric material. The porous dielectric layer 142 may have a plurality of trenches or vias cut into the porous dielectric layer 142 to form the pores 140. A silicon carbide film 141 may be deposited along the holes 140 to effectively seal the holes 140. Sealing the holes 140 with the silicon carbide film 141 may avoid damage to the porous dielectric layer 142 that would otherwise be caused by other sealing techniques using plasma. The silicon carbide film 141 may be sufficiently dense as a pore sealant and may include non-cyclic silicon-containing precursors such as PMDSO and TMDSO. In some embodiments, the etched dielectric material, such as porous dielectric layer 142, may first be treated by a "k-recovery" process that exposes porous dielectric layer 142 to UV radiation and a reducing agent. This recovery process is further described in commonly owned U.S. patent application publication No. 2011/011533 to Varadarajan et al, which is incorporated herein by reference in its entirety for all purposes. In another "k-recovery" process, the porous dielectric layer 142 may be exposed to UV radiation and chemical silylating agents. This recovery process is further described in commonly owned U.S. patent application publication No. 2011/017778 to varadajan et al, which is incorporated herein by reference in its entirety for all purposes. After the pores 140 are exposed to the recovery process, thereby rendering the surface more hydrophilic and providing a monolayer of material, a layer of conformally deposited silicon carbide film 141 may be deposited to effectively seal the pores of the porous dielectric layer 142.
In some embodiments, the silicon carbide film may be deposited as an ultra low k dielectric material itself. Ultra-low k dielectrics are generally defined as those materials having a dielectric constant lower than a dielectric constant of 2.5. In such a configuration, the ultra low k dielectric material of silicon carbide may be a porous dielectric layer. The pores of the dielectric layer may be introduced by using cyclic or caged precursor molecules including cyclic siloxanes and silsesquioxanes. In one example, the porosity of the ultra-low k dielectric layer of silicon carbide may be between about 20% and 50%. In addition, the ultra-low k dielectric layer may have an average pore size of less than about 100 angstroms, such as an average pore size between about 5 angstroms and 20 angstroms. For example, the cyclosiloxane ring may have a radius of about 6.7 angstroms. Although increasing the number and size of holes may decrease the dielectric constant, the mechanical integrity of the dielectric layer may be compromised if there are too many holes.
Conclusion(s)
In the above description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to obscure the present invention. Although the disclosed embodiments are described in connection with particular embodiments, it should be understood that they are not intended to limit the disclosed embodiments.
Although the foregoing embodiments have been described in some detail for purposes of clarity and understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatuses of the provided embodiments. Accordingly, the described examples should be considered as illustrative and not restrictive, and the embodiments should not be limited to the details given herein.

Claims (19)

1. A method of depositing a silicon carbide film on a substrate, the method comprising:
providing a substrate in a reaction chamber;
flowing a silicon-containing precursor into the reaction chamber and toward the substrate, wherein the silicon-containing precursor (i) has one or more Si-H bonds and/or Si-Si bonds, (ii) has one or more Si-C bonds, si-N bonds, and/or Si-O bonds, (iii) has no C-O bonds, and (iv) has no C-N bonds;
flowing a carbon-containing precursor into the reaction chamber with the silicon-containing precursor, wherein the carbon-containing precursor is a hydrocarbon molecule having one or more carbon-carbon double or triple bonds;
generating hydrogen radicals from a hydrogen source gas in a remote plasma source, the hydrogen radicals being generated upstream of the silicon-containing precursor and the carbon-containing precursor, wherein the silicon-containing precursor and the carbon-containing precursor flow into the reaction chamber through one or more gas outlets downstream of the remote plasma source; and
Introducing the hydrogen radicals into the reaction chamber and toward the substrate, wherein the hydrogen radicals are in a ground state to react with the silicon-containing precursor and the carbon-containing precursor to form a doped or undoped silicon carbide film on the substrate, wherein the doped or undoped silicon carbide film has a conformality of at least 90%.
2. The method of claim 1, wherein all or substantially all of the radicals of hydrogen in the environment adjacent the substrate are radicals of hydrogen in the ground state.
3. The method of claim 1, wherein the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN).
4. The method of claim 3, wherein the hydrocarbon molecule comprises propylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, propyne, butyne, pentyne, or hexyne.
5. The method of claim 1, wherein the carbon-containing precursor interacts with the silicon-containing precursor as a non-deposited species in the doped or undoped silicon carbide film.
6. The method of any one of claims 1-5, wherein the silicon-containing precursor and the carbon-containing precursor flow into the reaction chamber simultaneously along the same flow path.
7. The method of any one of claims 1-5, wherein the doped or undoped silicon carbide film has a conformality of at least 95%.
8. The method of any one of claims 1-5, wherein the silicon-containing precursor comprises an alkyl carbosilane, siloxane, or silazane.
9. A method of depositing a silicon carbide film on a substrate, the method comprising:
providing a substrate in a reaction chamber;
flowing a first organosilicon precursor into the reaction chamber, wherein the first organosilicon precursor has (i) one or more Si-H bonds and/or Si-Si bonds, and (ii) one or more Si-C bonds, si-N bonds, and/or Si-O bonds;
flowing a second organosilicon precursor into the reaction chamber, wherein the second organosilicon precursor (i) does not contain Si-H bonds, and (ii) does not contain Si-Si bonds;
generating hydrogen radicals from a hydrogen source gas in a remote plasma source, the hydrogen radicals being generated upstream of the first and second organosilicon precursors; and
the radicals of hydrogen are introduced into the reaction chamber and directed toward the substrate, wherein the radicals of hydrogen are in a ground state to react with the first and second organosilicon precursors to form a doped or undoped silicon carbide film on the substrate.
10. The method of claim 9, wherein all or substantially all of the radicals of hydrogen are radicals of hydrogen in the ground state.
11. The method of claim 9, wherein the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxycarbide (SiCO), silicon carbonitride (SiCN), or silicon oxycarbonitride (SiOCN).
12. The method of claim 9, wherein the flow rate of the second organosilicon precursor is at least twice the flow rate of the first organosilicon precursor.
13. The method of claim 9, wherein the flow rate of the second silicone precursor is between about 25sccm and about 200 sccm.
14. The method of any one of claims 9-13, wherein the doped or undoped silicon carbide film has a conformality of at least 95%.
15. The method of any one of claims 9-13, wherein the second organosilicon precursor comprises tetraethylsilane, tetrapropylsilane, hexamethyldisilazane, or bistrimethylsilylmethane.
16. The method of any of claims 9-13, wherein the second organosilicon precursor interacts with the first organosilicon precursor as a deposition species in the doped or undoped silicon carbide film.
17. The method of any one of claims 9-13, wherein the first organosilicon precursor and the second organosilicon precursor flow simultaneously into the reaction chamber along the same flow path.
18. The method of any of claims 9-13, wherein each of the first and second organosilicon precursors flows downstream of the remote plasma source.
19. The method of any one of claims 9-13, further comprising:
flowing CO-reactant from the remote plasma source and upstream of the first and second organosilicon precursors to provide free radicals and/or ions of the CO-reactant, wherein the CO-reactant comprises carbon dioxide (CO 2 ) Carbon monoxide (CO), water (H) 2 O), methanol (CH) 3 OH), oxygen (O) 2 ) Ozone (O) 3 ) Nitrogen (N) 2 ) Nitrous oxide (N) 2 O), ammonia (NH) 3 ) Or a mixture thereof.
CN201980049405.5A 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interactions Active CN112469846B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311302407.5A CN117660941A (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interactions

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/044,357 US20180347035A1 (en) 2012-06-12 2018-07-24 Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US16/044,357 2018-07-24
PCT/US2019/042821 WO2020023385A1 (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311302407.5A Division CN117660941A (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interactions

Publications (2)

Publication Number Publication Date
CN112469846A CN112469846A (en) 2021-03-09
CN112469846B true CN112469846B (en) 2023-10-27

Family

ID=69181953

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201980049405.5A Active CN112469846B (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interactions
CN202311302407.5A Pending CN117660941A (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interactions

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202311302407.5A Pending CN117660941A (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interactions

Country Status (4)

Country Link
KR (2) KR20230088843A (en)
CN (2) CN112469846B (en)
TW (1) TW202018115A (en)
WO (1) WO2020023385A1 (en)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1609156A (en) * 2003-08-05 2005-04-27 Cmp罗姆和哈斯电子材料控股公司 Composition for polishing semiconductor layers
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7915166B1 (en) * 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
CN102171796A (en) * 2008-10-01 2011-08-31 应用材料股份有限公司 Methods for forming silicon nitride based film or silicon carbon based film
TW201405659A (en) * 2012-06-12 2014-02-01 Novellus Systems Inc Remote plasma based deposition of SiOC class of films
CN104561934A (en) * 2013-10-24 2015-04-29 朗姆研究公司 Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
CN107667187A (en) * 2015-03-30 2018-02-06 乔治洛德方法研究和开发液化空气有限公司 For forming the siliceous and film of oxygen vapour deposition method
CN107833825A (en) * 2016-09-09 2018-03-23 诺发系统公司 Adulterate the deposition based on remote plasma of the silicon carbide film of oxygen

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6699784B2 (en) * 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
JP5476161B2 (en) * 2010-03-02 2014-04-23 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
WO2011109148A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8329599B2 (en) * 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US20180347035A1 (en) * 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8927442B1 (en) * 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP6803368B2 (en) * 2015-07-09 2020-12-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Alkylamino-substituted halocarbosilane precursor
US9786491B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1609156A (en) * 2003-08-05 2005-04-27 Cmp罗姆和哈斯电子材料控股公司 Composition for polishing semiconductor layers
US7915166B1 (en) * 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
CN102171796A (en) * 2008-10-01 2011-08-31 应用材料股份有限公司 Methods for forming silicon nitride based film or silicon carbon based film
TW201405659A (en) * 2012-06-12 2014-02-01 Novellus Systems Inc Remote plasma based deposition of SiOC class of films
CN104561934A (en) * 2013-10-24 2015-04-29 朗姆研究公司 Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
CN107667187A (en) * 2015-03-30 2018-02-06 乔治洛德方法研究和开发液化空气有限公司 For forming the siliceous and film of oxygen vapour deposition method
CN107833825A (en) * 2016-09-09 2018-03-23 诺发系统公司 Adulterate the deposition based on remote plasma of the silicon carbide film of oxygen

Also Published As

Publication number Publication date
KR20210024673A (en) 2021-03-05
KR102542281B1 (en) 2023-06-13
TW202018115A (en) 2020-05-16
WO2020023385A1 (en) 2020-01-30
CN117660941A (en) 2024-03-08
KR20230088843A (en) 2023-06-20
CN112469846A (en) 2021-03-09

Similar Documents

Publication Publication Date Title
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US11894227B2 (en) Conformal deposition of silicon carbide films
CN109791871B (en) Deposition of graded or multi-layered silicon carbide films based on remote plasma
CN110313051B (en) Densification of silicon carbide films using remote plasma treatment
US20220220611A1 (en) Films of desired composition and film properties
KR102515238B1 (en) Conformal deposition of silicon carbide films
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
KR102492447B1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
CN112469846B (en) Conformal deposition of silicon carbide films using heterogeneous precursor interactions
TWI837151B (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
KR102615163B1 (en) Remote plasma-based deposition of silicon carbide films using silicon-containing precursors and carbon-containing precursors
US20240145234A1 (en) Conformal deposition of silicon carbide films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant