KR102515238B1 - Conformal deposition of silicon carbide films - Google Patents

Conformal deposition of silicon carbide films Download PDF

Info

Publication number
KR102515238B1
KR102515238B1 KR1020220019130A KR20220019130A KR102515238B1 KR 102515238 B1 KR102515238 B1 KR 102515238B1 KR 1020220019130 A KR1020220019130 A KR 1020220019130A KR 20220019130 A KR20220019130 A KR 20220019130A KR 102515238 B1 KR102515238 B1 KR 102515238B1
Authority
KR
South Korea
Prior art keywords
silicon
doped
nitrogen
oxygen
carbon
Prior art date
Application number
KR1020220019130A
Other languages
Korean (ko)
Other versions
KR20220024372A (en
Inventor
바드리 엔. 바라다라잔
바오 공
제 구이
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/616,435 external-priority patent/US10325773B2/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20220024372A publication Critical patent/KR20220024372A/en
Application granted granted Critical
Publication of KR102515238B1 publication Critical patent/KR102515238B1/en
Priority to GBGB2318385.8A priority Critical patent/GB202318385D0/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Analytical Chemistry (AREA)

Abstract

실리콘 카바이드 막들을 제공하기 위한 방법들 및 시스템들이 개시된다. 실리콘 카바이드층은 하나 이상의 실리콘-수소 결합들 및/또는 실리콘-실리콘 결합들을 갖는 하나 이상의 실리콘-함유 전구체들을 채용하는 프로세스 조건들 하에서 제공될 수 있다. 실리콘-함유 전구체들은 또한 하나 이상의 실리콘-산소 결합들 및/또는 실리콘-탄소 결합들을 가질 수도 있다. 실질적으로 저에너지 상태의 하나 이상의 라디칼 종은 실리콘 카바이드 막을 형성하기 위해 실리콘-함유 전구체들과 반응할 수 있다. 하나 이상의 라디칼 종은 리모트 플라즈마 소스에서 형성될 수 있다.Methods and systems for providing silicon carbide films are disclosed. The silicon carbide layer may be provided under process conditions employing one or more silicon-containing precursors having one or more silicon-hydrogen bonds and/or silicon-silicon bonds. Silicon-containing precursors may also have one or more silicon-oxygen bonds and/or silicon-carbon bonds. One or more radical species in a substantially low energy state may react with silicon-containing precursors to form a silicon carbide film. One or more radical species may be formed in the remote plasma source.

Description

실리콘 카바이드 막들의 컨포멀한 증착{CONFORMAL DEPOSITION OF SILICON CARBIDE FILMS}Conformal deposition of silicon carbide films {CONFORMAL DEPOSITION OF SILICON CARBIDE FILMS}

본 개시는 일반적으로 실리콘 카바이드 막들의 형성에 관한 것이다.This disclosure relates generally to the formation of silicon carbide films.

실리콘 카바이드 (SiC) 류의 박막들은 고유한 물리적, 화학적, 그리고 기계적 속성들을 갖고, 다양한 애플리케이션들, 특히 집적 회로 애플리케이션들에 사용된다. SiC류 박막들은, 또한 실리콘 옥시카바이드 (SiOC) 로 공지된 산소 도핑된 SiC, 또한 실리콘 니트리카바이드 (SiNC) 로 공지된 질소 도핑된 SiC, 또한 실리콘 옥시니트리카바이드 (SiONC) 로 공지된 산소 및 질소 도핑된 SiC, 및 도핑되지 않은 실리콘 카바이드를 포함한다.BACKGROUND OF THE INVENTION Thin films of the silicon carbide (SiC) family have unique physical, chemical, and mechanical properties and are used in a variety of applications, particularly integrated circuit applications. SiC type thin films are composed of oxygen doped SiC, also known as silicon oxycarbide (SiOC), nitrogen doped SiC, also known as silicon nitriccarbide (SiNC), oxygen doped SiC, also known as silicon oxynitricarbide (SiONC), and Nitrogen-doped SiC, and undoped silicon carbide.

실리콘 카바이드 막들을 준비하기 위한 방법들 및 시스템들이 제공된다. 실질적으로 컨포멀한 실리콘 카바이드층은 하나 이상의 실리콘-수소 결합들 및/또는 실리콘-실리콘 결합들을 갖는 실리콘-함유 전구체들을 채용하는 프로세스를 사용하여 제공될 수 있다. 실리콘-함유 전구체들은 또한 하나 이상의 실리콘-산소 결합들, 실리콘-질소 결합들, 및/또는 실리콘-탄소 결합들을 가질 수도 있다. 전구체는, 전구체 내의 하나 이상의 실리콘-산소 결합들, 실리콘-질소 결합들, 및/또는 실리콘-탄소 결합들을 보존하는 동안, 실리콘-수소 결합(들)을 파괴시킴으로써, 예를 들어, 전구체로부터 수소 원자를 스트립핑함으로써, 또는 전구체 내에 존재한다면, 실리콘-실리콘 결합(들)을 파괴시킴으로써 반응성이 될 수 있다. 발생되는 막은 하나 이상의 실리콘-산소 결합들 및/또는 실리콘-탄소 결합들을 함유할 수도 있다. 전구체는 수소 원자 또는 다른 원자가 추출되는 라디칼 종 또는 다른 반응성 종으로 변환함으로써 반응성이지만 실질적으로 완전한 형태로 변환될 수도 있다. 수소 또는 다른 원자는 예를 들어, 전구체를 라디칼 종에 노출시킴으로써 추출될 수도 있다. 특정한 실시예들에서, 실질적으로 저에너지 상태의 하나 이상의 라디칼 종은 실리콘 카바이드를 형성하기 위해 실리콘-함유 전구체(들)와 반응할 수 있다. 하나 이상의 라디칼 종은 리모트 플라즈마 소스에서 형성될 수 있다. 실리콘 카바이드는 이로 제한되는 것은 아니지만, 라이너들, 스페이서들, 에칭 정지부들, 구리 확산 배리어들, 기공 시일제들, 및 초저-k 유전체층들로서 역할을 하도록 광범위한 애플리케이션들에서 사용될 수 있다.Methods and systems for preparing silicon carbide films are provided. A substantially conformal silicon carbide layer may be provided using a process employing silicon-containing precursors having one or more silicon-hydrogen bonds and/or silicon-silicon bonds. Silicon-containing precursors may also have one or more silicon-oxygen bonds, silicon-nitrogen bonds, and/or silicon-carbon bonds. The precursor may be formed by breaking silicon-hydrogen bond(s) while preserving one or more silicon-oxygen bonds, silicon-nitrogen bonds, and/or silicon-carbon bonds within the precursor, for example, hydrogen atoms from the precursor. or, if present in the precursor, by breaking the silicon-silicon bond(s). The resulting film may contain one or more silicon-oxygen bonds and/or silicon-carbon bonds. A precursor may also be converted to a reactive but substantially complete form by conversion to a radical species or other reactive species from which hydrogen atoms or other atoms are extracted. Hydrogen or other atoms may be extracted, for example, by exposing the precursor to radical species. In certain embodiments, one or more radical species in a substantially low energy state can react with the silicon-containing precursor(s) to form silicon carbide. One or more radical species may be formed in the remote plasma source. Silicon carbide can be used in a wide range of applications to serve as, but is not limited to, liners, spacers, etch stops, copper diffusion barriers, pore sealants, and ultra low-k dielectric layers.

본 개시의 특정한 양태들은 기판 상에 실리콘 카바이드 막을 증착하는 방법에 관련되고, 방법은 이하의 동작들: (a) 반응 챔버에 기판을 제공하는 단계; (b) 기판에 실리콘-함유 전구체를 제공하는 단계로서, 실리콘-함유 전구체는 (i) 하나 이상의 실리콘-수소 결합들 및/또는 실리콘-실리콘 결합들을 갖고, (ii) 탄소-산소 결합들은 갖지 않고, 그리고 (iii) 탄소-질소 결합들을 갖지 않는, 실리콘-함유 전구체를 제공하는 단계; 및 (c) 실리콘-함유 전구체의 실리콘-수소 결합들 또는 실리콘-실리콘 결합들은 파괴시키지만 실리콘-함유 전구체의 실리콘-탄소 결합들은 보존하는 조건들 하에서, 기판 상에 실리콘 카바이드 막을 형성하기 위해 실리콘-함유 전구체와 반응하도록 소스 가스로부터 실질적으로 저 에너지 상태의 하나 이상의 라디칼 종을 도입하는 단계를 특징으로 할 수도 있다. 예들로서, 실리콘 카바이드 막은 산소 도핑된 실리콘 카바이드, 질소 도핑된 실리콘 카바이드, 또는 도핑되지 않은 실리콘 카바이드를 포함할 수도 있다.Certain aspects of the present disclosure relate to a method of depositing a silicon carbide film on a substrate, the method comprising the following operations: (a) providing a substrate to a reaction chamber; (b) providing a silicon-containing precursor to the substrate, wherein the silicon-containing precursor (i) has one or more silicon-hydrogen bonds and/or silicon-silicon bonds and (ii) does not have carbon-oxygen bonds , and (iii) providing a silicon-containing precursor having no carbon-nitrogen bonds; and (c) a silicon-containing precursor to form a silicon carbide film on the substrate under conditions that destroy silicon-hydrogen bonds or silicon-silicon bonds of the silicon-containing precursor but preserve silicon-carbon bonds of the silicon-containing precursor. It may be characterized by introducing one or more radical species in a substantially lower energy state from the source gas to react with the precursor. As examples, the silicon carbide film may include oxygen doped silicon carbide, nitrogen doped silicon carbide, or undoped silicon carbide.

일부 경우들에서, 실리콘 함유 전구체는 알콕시기들 (-C-O-R) 및 아민기들 (-C-NR1R2) 을 갖지 않고, 여기서 R은 유기 모이어티 (organic moiety) 이고, R1 및 R2는 각각 독립적으로 수소 또는 유기 모이어티이다. 특정한 구현예들에서, 단계 (b) 및 단계 (c) 동안, 탄소-산소 결합 또는 탄소-질소 결합을 함유하는 화합물은 기판에 제공되지 않는다. 또한, 특정한 구현예들에서, 실리콘 카바이드 막은 ALD (atomic layer deposition) 를 수행하지 않고 형성된다.In some cases, the silicon-containing precursor does not have alkoxy groups (-COR) and amine groups (-C-NR 1 R 2 ), where R is an organic moiety, and R 1 and R 2 are each independently hydrogen or an organic moiety. In certain embodiments, during steps (b) and (c), no compound containing carbon-oxygen bonds or carbon-nitrogen bonds is provided to the substrate. Also, in certain implementations, the silicon carbide film is formed without performing atomic layer deposition (ALD).

특정한 실시예들에서, 실리콘-함유 전구체는 헵타메틸사이클로테트라실록산 (heptamethylcyclotetrasiloxane) 및 테트라메틸사이클로테트라실록산 (tetramethylcyclotetrasiloxane) 과 같은 환형 실록산이다. 특정한 실시예들에서, 실리콘 함유 전구체는 디실록산 및 트리실록산과 같은 선형 실록산이다. 특정한 실시예들에서, 실리콘-함유 전구체는 디실란 또는 트리실란과 같은 알킬 실란이다. 특정한 실시예들에서, 실리콘-함유 전구체는 실라잔이다.In certain embodiments, the silicon-containing precursor is a cyclic siloxane such as heptamethylcyclotetrasiloxane and tetramethylcyclotetrasiloxane. In certain embodiments, the silicon-containing precursor is a linear siloxane such as disiloxane and trisiloxane. In certain embodiments, the silicon-containing precursor is an alkyl silane such as disilane or trisilane. In certain embodiments, the silicon-containing precursor is a silazane.

특정한 실시예들에서, 라디칼 종은 수소 라디칼들, 산소 라디칼, 및/또는 질소 라디칼들을 포함한다. 일부 구현예들에서, 하나 이상의 라디칼 종을 도입하는 단계는 소스 가스를, RF 전력 소스 또는 마이크로파 전력 소스로부터 생성될 수도 있는, 리모트 플라즈마에 노출시키는 단계를 수반한다.In certain embodiments, a radical species includes hydrogen radicals, oxygen radicals, and/or nitrogen radicals. In some implementations, introducing the one or more radical species involves exposing the source gas to a remote plasma, which may be generated from an RF power source or a microwave power source.

특정한 실시예들에서, 실리콘 카바이드 막 내에서 실리콘-산소 결합들 또는 실리콘-질소 결합들 대 실리콘-탄소 결합들의 비는 약 3:1 내지 약 0.5:1이다. 일부 경우들에서, 기판 상의 실리콘 카바이드 막은 적어도 약 90 %의 컨포멀성 (conformality) 을 갖는다. 일부 애플리케이션들에서, 기판은 게이트 전극을 갖는 트랜지스터를 포함하고, 방법은 게이트 전극의 하나 이상의 측벽들 상에 실리콘 카바이드 막을 형성하는 단계를 더 포함한다. 일부 애플리케이션들에서, 실리콘 카바이드 막은 초저-k 유전체 박막을 포함한다.In certain embodiments, the ratio of silicon-oxygen bonds or silicon-nitrogen bonds to silicon-carbon bonds in the silicon carbide film is from about 3:1 to about 0.5:1. In some cases, the silicon carbide film on the substrate has a conformality of at least about 90%. In some applications, the substrate includes a transistor having a gate electrode, and the method further includes forming a silicon carbide film on one or more sidewalls of the gate electrode. In some applications, silicon carbide films include ultra-low-k dielectric thin films.

본 개시의 특정한 양태들은 기판 상에 실리콘 카바이드 막을 증착하기 위한 장치에 관련되고, 장치는 이하의 피처들: 실리콘 카바이드 막 증착 동안 기판을 홀딩하도록 구성된 반응 챔버; 반응 챔버에 커플링되고 반응 챔버 외부에서 플라즈마를 생성하도록 구성된 플라즈마 챔버; 반응 챔버에 커플링된 2 이상의 가스 유입부들; 및 제어기를 특징으로 할 수도 있다. 제어기는 이하의 동작들: (a) 가스 유입부들 중 하나를 통해 반응 챔버 내로 실리콘-함유 전구체를 흘리는 동작으로서, 실리콘-함유 전구체는 (i) 하나 이상의 실리콘-수소 결합들 및/또는 실리콘-실리콘 결합들을 갖고, (ii) 탄소-수소 결합들은 갖지 않고, 그리고 (iii) 탄소-질소 결합들은 갖지 않는, 실리콘-함유 전구체를 흘리는 동작; (b) 플라즈마 챔버 내의 플라즈마로부터 실질적으로 저 에너지 상태의 하나 이상의 라디칼 종을 제공하는 동작; 및 (c) 기판 상에 실리콘 카바이드 막을 형성하기 위해 실리콘-함유 전구체와 반응하도록 가스 유입부들 중 또 다른 하나의 가스 유입부를 통해 반응 챔버 내로 하나 이상의 라디칼 종을 흘리는 동작을 수행하기 위한 인스트럭션들을 포함할 수도 있다.Certain aspects of the present disclosure relate to an apparatus for depositing a silicon carbide film on a substrate, the apparatus comprising the following features: a reaction chamber configured to hold a substrate during silicon carbide film deposition; a plasma chamber coupled to the reaction chamber and configured to generate a plasma outside the reaction chamber; two or more gas inlets coupled to the reaction chamber; and a controller. The controller performs the following operations: (a) flowing a silicon-containing precursor into the reaction chamber through one of the gas inlets, wherein the silicon-containing precursor has (i) one or more silicon-hydrogen bonds and/or silicon-silicon flowing a silicon-containing precursor that has bonds, (ii) does not have carbon-hydrogen bonds, and (iii) does not have carbon-nitrogen bonds; (b) providing one or more radical species in a substantially low energy state from a plasma within the plasma chamber; and (c) flowing one or more radical species into the reaction chamber through another one of the gas inlets to react with the silicon-containing precursor to form a silicon carbide film on the substrate. may be

일부 설계들에서, 장치는 반응 챔버와 플라즈마 챔버 사이에 약 1 ㎝ 내지 약 30 ㎝의 거리를 갖는다. 다양한 구현예들에서, 플라즈마 챔버는 RF 전력 소스 또는 마이크로파 전력 소스를 갖는다.In some designs, the device has a distance between about 1 cm and about 30 cm between the reaction chamber and the plasma chamber. In various implementations, the plasma chamber has an RF power source or a microwave power source.

특정한 실시예들에서, 제어기는 동작 (a) 내지 (c) 동안 탄소-산소 결합 또는 탄소-질소 결합을 함유하는 화합물을 제공하지 않기 위한 인스트럭션들을 더 포함한다. 특정한 실시예들에서, 제어기 인스트럭션들은 ALD를 사용하여 실리콘 카바이드 막을 증착하기 위한 인스트럭션들을 포함하지 않는다.In certain embodiments, the controller further includes instructions for not providing a compound containing a carbon-oxygen bond or a carbon-nitrogen bond during operations (a) through (c). In certain embodiments, the controller instructions do not include instructions for depositing a silicon carbide film using ALD.

일부 구현예들에서, 실리콘 함유 전구체는 알콕시기들 (-C-O-R) 및 아민기들 (-C-NR1R2) 을 갖지 않고, 여기서 R은 유기 모이어티이고, R1 및 R2는 각각 독립적으로 수소 또는 유기 모이어티이다. 일부 애플리케이션들에서, 실리콘-함유 전구체는 실록산이다. 일부 구현예들에서, 실리콘-함유 전구체는 알킬 실란이다. 일부 구현예들에서, 실리콘-함유 전구체는 실라잔이다.In some embodiments, the silicon-containing precursor does not have alkoxy groups (-COR) and amine groups (-C-NR 1 R 2 ), where R is an organic moiety, and R 1 and R 2 are each independently is a hydrogen or organic moiety. In some applications, the silicon-containing precursor is a siloxane. In some embodiments, the silicon-containing precursor is an alkyl silane. In some embodiments, the silicon-containing precursor is a silazane.

일부 장치에서, 하나 이상의 라디칼 종은 수소, 질소, 및 암모니아로 구성된 그룹으로부터 선택된 가스로부터 생성된다. 예로서, 하나 이상의 라디칼 종은 수소 원자들이다. 일부 애플리케이션들에서, 실리콘 카바이드 막 내에서 실리콘-산소 결합들 또는 실리콘-질소 결합들 대 실리콘-탄소 결합들의 비는 약 3:1 내지 약 0.5:1이다. 일부 애플리케이션들에서, 실리콘 카바이드 막은 초저-k 유전체 박막이다.In some devices, one or more radical species are produced from a gas selected from the group consisting of hydrogen, nitrogen, and ammonia. By way of example, one or more radical species are hydrogen atoms. In some applications, the ratio of silicon-oxygen bonds or silicon-nitrogen bonds to silicon-carbon bonds in the silicon carbide film is from about 3:1 to about 0.5:1. In some applications, silicon carbide films are ultra-low-k dielectric thin films.

특정한 실시예들에서, 제어기는 약 250 ℃ 내지 약 400 ℃의 온도로 반응 챔버를 유지하도록 구성된다. 특정한 실시예들에서, 제어기는 약 0.2 Torr 내지 약 40 Torr의 압력으로 반응 챔버를 유지하도록 구성된다.In certain embodiments, the controller is configured to maintain the reaction chamber at a temperature between about 250 °C and about 400 °C. In certain embodiments, the controller is configured to maintain the reaction chamber at a pressure between about 0.2 Torr and about 40 Torr.

이들 및 다른 실시예들은 도면들을 참조하여 이하에 더 기술된다.These and other embodiments are described further below with reference to the drawings.

도 1a는 기판 위에 증착된 SiC 막의 예의 단면을 예시한다.
도 1b는 트랜지스터의 게이트 전극 구조체의 측벽들 상의 SiC 수직 구조들을 예시한다.
도 1c는 에어 갭 타입 금속화층 내의 구리 라인들의 노출된 측벽들 상의 SiC 수직 구조들을 예시한다.
도 1d는 다공성 유전체 재료들에 대한 SiC 기공 시일제들을 예시한다.
도 2는 대표적인 케이징된 실록산 전구체들의 예들을 예시한다.
도 3은 리모트 플라즈마 소스를 갖는 프로세싱 장치의 개략도를 예시한다.
도 4는 유사한 조건들 하에서 생성된 산소 도핑된 실리콘 카바이드를 갖지만 2개의 상이한 전구체들, 하나는 알콕시기를 함유하고, 다른 하나는 알콕시기를 갖지 않는 피처들을 비교하는 2개의 마이크로그래프를 제공한다.
1A illustrates a cross-section of an example of a SiC film deposited over a substrate.
1B illustrates SiC vertical structures on sidewalls of a gate electrode structure of a transistor.
1C illustrates SiC vertical structures on exposed sidewalls of copper lines in an air gap type metallization layer.
1D illustrates SiC pore sealants for porous dielectric materials.
2 illustrates examples of representative caged siloxane precursors.
3 illustrates a schematic diagram of a processing apparatus having a remote plasma source.
4 provides two micrographs comparing features with oxygen doped silicon carbide produced under similar conditions but from two different precursors, one containing alkoxy groups and the other without alkoxy groups.

이하의 개시는, 관련된 개념들의 설명을 돕기 위해 다양한 상세들을 제공하고, 이들 상세들의 일부 또는 전부 없이도 실시될 수도 있다. 일부 개념들이 구체적인 실시예들과 함께 기술될 것이지만, 이들 실시예들은 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다. 일부 예들에서, 공지의 프로세스 동작들, 구조들, 및 다른 피처들은 기술된 개념들의 이해를 용이하게 하기 위해 상세히 기술되지 않았다.The following disclosure provides various details to help explain related concepts, and may be practiced without some or all of these details. Although some concepts will be described with specific embodiments, it will be understood that these embodiments are not intended to be limiting. In some instances, well known process operations, structures, and other features have not been described in detail in order to facilitate an understanding of the described concepts.

본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위의 집적 회로 제조의 많은 스테이지들 중 임의의 스테이지 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술은 본 발명이 웨이퍼 상에서 구현된다고 가정한다. 그러나, 본 발명은 이로 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계 디바이스들 등과 같은 다양한 물품들을 포함한다.In this specification, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate", and "partially fabricated integrated circuit" are used interchangeably. Those skilled in the art will understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of fabrication of an integrated circuit thereon. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm, or 300 mm, or 450 mm. The detailed description below assumes that the invention is implemented on a wafer. However, the present invention is not limited thereto. A workpiece may be of various shapes, sizes and materials. In addition to semiconductor wafers, other workpieces that may take advantage of the present invention include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micro-mechanical devices, and the like. do.

서론Introduction

반도체 디바이스들의 제작은 통상적으로 집적 회로 제조 프로세스에서 기판 상에 하나 이상의 박막들을 증착하는 단계를 수반한다. 제조 프로세스의 일부 양태들에서, SiC, SiON, SiONC, 및 SiCN과 같은 류의 박막들은 ALD (atomic layer deposition), CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), 또는 임의의 다른 적합한 증착 방법을 사용하여 증착된다. 본 명세서에서 사용된 바와 같이, 용어 실리콘 카바이드는 산소 도핑된 실리콘 카바이드, 질소 도핑된 실리콘 카바이드, 및 질소 및 산소 도핑된 실리콘 카바이드와 같은 도핑된 실리콘 카바이드들을 포함한다. 도핑된 실리콘 카바이드는 광범위한 도펀트 농도들을 가질 수도 있다. 많은 도핑된 실리콘 카바이드들이 최대 약 50 원자%의 도펀트 원자들을 갖고, 이들 원자들은 산소, 질소, 또는 또 다른 원소의 원자들이다. 도핑 레벨은 목표된 막 속성들을 제공한다. 일 예에서, 프로세스는 약 10 내지 15 원자% 탄소 및 약 40 원자% 산소를 갖는 SiOC 막들을 만들 수 있다. 또 다른 예에서, 막은 약 30 내지 35 원자% 탄소 및 약 25 내지 30 원자% 산소를 함유한다. 물론, 실리콘 카바이드 막의 전기적 속성들은 상이할 것이다. 통상적으로, 양호한 전기적 속성들을 위해, 탄소 함량은 상대적으로 낮다.Fabrication of semiconductor devices typically involves depositing one or more thin films on a substrate in an integrated circuit fabrication process. In some aspects of the fabrication process, thin films of the class SiC, SiON, SiONC, and SiCN are formed by atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), or any other It is deposited using a suitable deposition method. As used herein, the term silicon carbide includes doped silicon carbides such as oxygen doped silicon carbide, nitrogen doped silicon carbide, and nitrogen and oxygen doped silicon carbide. Doped silicon carbide may have a wide range of dopant concentrations. Many doped silicon carbides have up to about 50 atomic percent of dopant atoms, which atoms are oxygen, nitrogen, or atoms of another element. The doping level provides the desired film properties. In one example, the process can make SiOC films having about 10-15 atomic percent carbon and about 40 atomic percent oxygen. In another example, the film contains about 30 to 35 atomic percent carbon and about 25 to 30 atomic percent oxygen. Of course, the electrical properties of silicon carbide films will be different. Typically, for good electrical properties, the carbon content is relatively low.

SiC를 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. SiC를 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-산소 (Si-O) 결합들, 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. SiNC를 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-질소(Si-N) 결합들, 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. SiONC를 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들, 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-질소(Si-N) 결합들, 실리콘-산소 (Si-O) 결합들, 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. 현재 PECVD 프로세스들은 플라즈마가 프로세싱된 기판에 바로 인접하게 제공되는, 시츄 플라즈마 프로세싱을 사용할 수도 있다.Precursor molecules for depositing SiC include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-carbon (Si-C) bonds. do. Precursor molecules for depositing SiC are silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-oxygen (Si-O) bonds, and/or silicon-carbon (Si-C) includes silicon-containing molecules having bonds. Precursor molecules for depositing SiNC may be silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-nitrogen (Si-N) bonds, and/or silicon-carbon (Si-C) includes silicon-containing molecules having bonds. Precursor molecules for depositing SiONC are silicon-hydrogen (Si-H) bonds, and/or silicon-silicon (Si-Si) bonds, and silicon-nitrogen (Si-N) bonds, silicon-oxygen (Si-Si -O) bonds, and/or silicon-containing molecules having silicon-carbon (Si-C) bonds. Current PECVD processes may use in situ plasma processing, in which plasma is provided immediately adjacent to the processed substrate.

고품질 실리콘 카바이드 박막들을 증착하는 것은 우수한 단차 커버리지, 저 유전 상수들, 고 항복 전압들, 저 누설 전류들, 고 다공성, 및/또는 금속 표면들을 옥사이드화하지 않는 노출된 금속 표면들 위의 커버리지를 갖는 막들을 제공하는 것과 같은 특정한 과제들을 가질 수 있다는 것을 알았다.Depositing high quality silicon carbide thin films has good step coverage, low dielectric constants, high breakdown voltages, low leakage currents, high porosity, and/or coverage over exposed metal surfaces that does not oxidize metal surfaces. It has been found that it can have specific challenges, such as providing membranes.

본 개시는 어떠한 특정한 이론에도 제한되지 않지만, 통상적인 PECVD 프로세스들의 플라즈마 조건들은 바람직하지 않은 효과들을 생성하는 방식으로 실리콘-함유 전구체 분자들을 프래그먼트화 (fragment) 한다고 생각된다. 예를 들어, PECVD는 매우 반응성의 라디칼들 또는 고 부착 계수들을 갖는 다른 프래그먼트 타입들을 생성하도록 전구체 분자들에서 Si-O 결합들 및/또는 Si-C 결합들을 파괴시킬 수도 있다. 프래그먼트들 및 발생되는 실리콘 카바이드 막은 "댕글링" 결합하는 실리콘, 탄소, 및/또는 산소 원자들을 포함할 수 있고, "댕글링" 결합은 실리콘, 탄소, 및/또는 산소 원자들이 반응성의 쌍을 이루지 않은 원자가 전자들을 갖는다는 것을 의미한다. 고 부착 계수들의 전구체 분자들 및 이들의 프래그먼트들은, 반응성 전구체 프래그먼트들이 리세스된 피처들의 측벽들의 상부 영역들 및 다른 구조체들에 불균형하게 부착할 수도 있기 때문에, 불량한 단차 커버리지를 갖는 실리콘 카바이드 막들을 증착할 수 있다.While this disclosure is not limited to any particular theory, it is believed that the plasma conditions of typical PECVD processes fragment the silicon-containing precursor molecules in a way that creates undesirable effects. For example, PECVD may break Si-O bonds and/or Si-C bonds in precursor molecules to produce highly reactive radicals or other fragment types with high sticking coefficients. The fragments and the resulting silicon carbide film can include “dangling” bonds of silicon, carbon, and/or oxygen atoms, wherein the “dangling” bonds do not form reactive pairs of silicon, carbon, and/or oxygen atoms. This means that atoms with no valence have electrons. Precursor molecules of high adhesion coefficients and their fragments deposit silicon carbide films with poor step coverage because reactive precursor fragments may adhere disproportionately to the upper regions of the sidewalls of recessed features and other structures. can do.

댕글링 결합들은 증착된 SiOC 또는 SiONC 막 내에 실라놀기들 (Si-OH) 을 생성할 수 있다. 그 결과, 막들은 유해하게 높은 유전 상수들을 가질 수도 있다. 직접 플라즈마 조건들은 증착된 막으로부터 탄소를 추출하는 경향이 있기 때문에 막 품질이 또한 악화될 수도 있다.Dangling bonds can create silanol groups (Si-OH) in the deposited SiOC or SiONC film. As a result, the films may have detrimentally high dielectric constants. Film quality may also suffer because direct plasma conditions tend to extract carbon from the deposited film.

게다가, 댕글링 결합들은 증착된 실리콘 카바이드 막들 내에 실리콘-수소 결합 (Si-H) 을 생성할 수 있다. 파괴된 Si-C 결합들은 직접 플라즈마 증착 조건들 하에서 Si-H로 교체될 수 있다. 실리콘 카바이드 막들 내의 Si-H 결합들의 존재는 불량한 전기적 속성들을 갖는 막들을 생성할 수 있다. 예를 들어, Si-H 결합들의 존재는 항복 전압들을 감소시킬 수 있고 Si-H 결합들이 전자들의 누설 경로를 제공하기 때문에 누설 전류를 증가시킬 수 있다.Additionally, dangling bonds can create silicon-hydrogen bonds (Si-H) within deposited silicon carbide films. Broken Si-C bonds can be replaced with Si-H under direct plasma deposition conditions. The presence of Si-H bonds in silicon carbide films can create films with poor electrical properties. For example, the presence of Si-H bonds can reduce breakdown voltages and increase leakage current because Si-H bonds provide a leakage path for electrons.

또한, 댕글링 결합들은 실리콘 카바이드 막들 내에 제어되지 않은 화학적 또는 형태학적 구조체들을 야기할 수 있다. 일부 경우들에서, 이러한 구조체들은, 막이 허용가능하지 않은 고 유전 상수를 갖도록 저 다공성을 갖거나 다공성을 갖지 않는 치밀한 필라멘트들이다. 다공성의 결여는, 그렇지 않으면 초저-k 유전체 재료에 다공성을 제공할, 환형 실록산들 내의 Si-C 및/또는 Si-O 결합들을 파괴시키는 직접 플라즈마 조건들을 발생시킬 수 있다.Also, dangling bonds can cause uncontrolled chemical or morphological structures in silicon carbide films. In some cases, these structures are dense filaments with low or no porosity such that the film has an unacceptably high dielectric constant. The lack of porosity can create direct plasma conditions that break Si-C and/or Si-O bonds in the cyclic siloxanes, which would otherwise provide porosity in an ultra-low-k dielectric material.

때때로 PECVD에서 채용되는 직접 플라즈마 조건들은, 전구체 분자들을 분해하는 (break up) 에너지가 표면에서 많은 이온 충격들을 생성하는 저 주파수일 수 있기 때문에 증착시 지향성을 야기할 수 있다. 지향성 증착은 또한 불량한 단차 커버리지를 갖는 실리콘 카바이드 막들의 증착을 야기할 수 있다. 직접 플라즈마는 플라즈마가 증착 동안 기판 표면에 인접하게 체류하고, 때때로 플라즈마 시스에 의해서만 기판 표면으로부터 분리되는 플라즈마 (적절한 농도의 전자들 및 양이온들) 이다.Direct plasma conditions, which are sometimes employed in PECVD, can cause directivity in deposition because the energy to break up the precursor molecules can be low frequency which creates many ion bombardments at the surface. Directional deposition can also result in the deposition of silicon carbide films with poor step coverage. A direct plasma is a plasma (adequate concentrations of electrons and positive ions) in which the plasma resides adjacent to the substrate surface during deposition and is sometimes separated from the substrate surface only by a plasma sheath.

통상적인 PECVD 프로세스들은, 이러한 프로세스들이 금속을 옥사이드화할 수 있기 때문에 노출된 구리 또는 다른 금속 표면들 위에 실리콘 카바이드 막들을 증착하기에 때때로 부적절하다. PECVD 프로세스는 SiOC와 같은 산소 도핑된 실리콘 카바이드를 형성하기 위해 산소 (O2), 오존 (O3), 이산화탄소 (CO2), 또는 다른 산화 종과 같은 산화제들을 사용할 수도 있다.Conventional PECVD processes are sometimes inadequate for depositing silicon carbide films over exposed copper or other metal surfaces because these processes can oxidize the metal. The PECVD process may use oxidants such as oxygen (O 2 ), ozone (O 3 ), carbon dioxide (CO 2 ), or other oxidizing species to form oxygen doped silicon carbide such as SiOC.

증착 동안 기판 표면의 분위기Atmosphere of the substrate surface during deposition

도 1a는 기판 위에 증착된 실리콘 카바이드 막을 갖는 생성된 구조체의 단면을 예시한다. 막 (101) 은 기판 (100) 에 인접하여 상대적으로 마일드한 분위기를 생성하는 프로세스 조건들 하에서 형성될 수 있다. 기판 (100) 은 임의의 웨이퍼, 반도체 웨이퍼, 부분적으로 제조된 집적 회로, 인쇄 회로 기판, 디스플레이 스크린, 또는 다른 적절한 워크피스일 수 있다. 실리콘 카바이드 막 (101) 을 증착하기 위한 프로세스는, 생성될 도핑된 구조체의 타입에 따라, 실리콘-탄소 결합들, 실리콘-산소 결합들, 및/또는 실리콘-질소 결합들과 같은 다른 결합과 함께, 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합들을 갖는 하나 이상의 실리콘-함유 전구체들을 포함할 수 있다. 특정한 실시예들에서, 전구체들은 산소-탄소 결합들 또는 질소-탄소 결합들을 포함하지 않는다. 예로서, 전구체들은 알콕시기 또는 아민기들을 포함하지 않는다.1A illustrates a cross-section of the resulting structure with a silicon carbide film deposited over a substrate. Film 101 can be formed under process conditions that create a relatively mild atmosphere adjacent to substrate 100 . Substrate 100 can be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other suitable workpiece. The process for depositing the silicon carbide film 101, depending on the type of doped structure to be created, along with other bonds such as silicon-carbon bonds, silicon-oxygen bonds, and/or silicon-nitrogen bonds, It may include one or more silicon-containing precursors having one or more Si-H bonds and/or one or more Si-Si bonds. In certain embodiments, precursors do not contain oxygen-carbon bonds or nitrogen-carbon bonds. As an example, the precursors do not contain alkoxy groups or amine groups.

실리콘 카바이드 막들을 채용하는 특정한 애플리케이션들은 도 1b 내지 도 1d에 도시된다. 일부 실시예들에서, 실리콘-함유 전구체들은 실리콘-산소 함유 전구체들, 실리콘-질소함유 전구체들, 및/또는 실리콘-탄소 함유 전구체들을 포함할 수 있다. 실리콘-산소 함유 전구체들은 하나 이상의 Si-O 결합들을 포함할 수 있고, 실리콘-질소함유 전구체들은 하나 이상의 Si-N 결합들을 포함할 수 있고, 그리고 실리콘-탄소 함유 전구체들은 하나 이상의 Si-C 결합들을 포함할 수 있다. 일부 실시예들에서, 예를 들어, 실리콘-함유 전구체들은 단일 반응물질 A와 Si-O 및 Si-C 결합들, 또는 Si-N 및 Si-C 결합들을 포함할 수 있다. 일부 실시예들에서, 실리콘-함유 전구체들은 반응물질 B와 Si-O 결합들 또는 Si-N 결합들, 그리고 반응물질 C와 Si-C 결합들을 포함할 수 있다. 임의의 수의 적합한 반응물질들이 본 개시의 범위 내에서 채용될 수도 있다는 것이 이해될 것이다. 예시적인 실리콘-함유 전구체들의 화학적 구조체들은 이하에 더 상세히 논의된다.Particular applications employing silicon carbide films are shown in FIGS. 1B-1D. In some embodiments, silicon-containing precursors may include silicon-oxygen-containing precursors, silicon-nitrogen-containing precursors, and/or silicon-carbon containing precursors. Silicon-oxygen containing precursors can contain one or more Si-O bonds, silicon-nitrogen containing precursors can contain one or more Si-N bonds, and silicon-carbon containing precursors can contain one or more Si-C bonds. can include In some embodiments, for example, silicon-containing precursors may include a single reactant A and Si-O and Si-C bonds, or Si-N and Si-C bonds. In some embodiments, silicon-containing precursors can include reactant B and Si-O bonds or Si-N bonds, and reactant C and Si-C bonds. It will be appreciated that any number of suitable reactants may be employed within the scope of this disclosure. The chemical structures of exemplary silicon-containing precursors are discussed in more detail below.

실리콘-함유 전구체들은 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합들을 포함한다. 증착 프로세스 동안, Si-H 결합들 및/또는 Si-Si 결합들은 파괴되고, 증착된 실리콘 카바이드 막 (101) 내에서 실리콘-함유 전구체들 사이에 결합들을 형성하기 위한 반응성 사이트들로서 역할을 한다. 파괴된 결합들은 또한 증착 동안 또는 증착 후에 수행된 열 프로세싱 동안 교차 결합을 위한 사이트들로서 역할을 할 수 있다. 반응성 사이트들에서의 결합 및 교차 결합은 집합적으로 실리콘 카바이드 막 (101) 을 발생시키는 주 백본 또는 매트릭스를 형성할 수 있다.Silicon-containing precursors include one or more Si-H bonds and/or one or more Si-Si bonds. During the deposition process, Si-H bonds and/or Si-Si bonds are broken and serve as reactive sites for forming bonds between silicon-containing precursors within the deposited silicon carbide film 101 . Broken bonds can also serve as sites for cross-linking during thermal processing performed during or after deposition. Bonding and cross-linking at the reactive sites can collectively form the main backbone or matrix that gives rise to the silicon carbide film (101).

일부 실시예들에서, 프로세스 조건들은 증착될 때 실리콘 카바이드 막 (101) 층 내의 Si-C, 그리고 존재한다면, Si-O 결합들 및 Si-N 결합들을 실질적으로 보존할 수 있다. 따라서, 기판 (100) 에 인접한 반응 조건들은 Si-H 결합들 및/또는 Si-Si 결합들의 파괴, 예를 들어, 파괴된 Si-H 결합들로부터 수소의 추출을 제공하지만, 반응 조건들은 Si-O 결합들로부터 산소, Si-N 결합들로부터 질소, 또는 Si-C 결합들로부터 탄소의 추출을 제공하지 않는다. 일반적으로, 기술된 반응 조건들은 위크피스의 노출된 면 (실리콘 카바이드 막이 증착된 면) 에 존재한다. 이들은 워크피스 위 어느 정도 거리, 예를 들어, 워크피스 위 약 0.5 ㎛ 내지 약 150 ㎜에 더 존재할 수도 있다. 사실, 전구체의 활성화는 워크피스 위 상당한 거리에서 가스 상으로 발생할 수 있다. 통상적으로, 적절한 반응 조건들은 기판의 노출된 전체 표면 위에서 균일하거나 실질적으로 균일할 것이지만, 특정한 애플리케이션들은 일부 변형들을 허용할 수도 있다.In some embodiments, the process conditions may substantially preserve the Si-C and, if present, Si-O bonds and Si-N bonds in the silicon carbide film 101 layer as deposited. Accordingly, reaction conditions proximal to substrate 100 provide for breakage of Si-H bonds and/or Si-Si bonds, eg, extraction of hydrogen from broken Si-H bonds, while reaction conditions are Si-H bonds. It does not provide extraction of oxygen from O bonds, nitrogen from Si-N bonds, or carbon from Si-C bonds. In general, the reaction conditions described exist on the exposed side of the workpiece (the side on which the silicon carbide film is deposited). They may further exist at some distance above the workpiece, for example from about 0.5 μm to about 150 mm above the workpiece. In fact, activation of the precursors can occur in the gas phase at considerable distances above the workpiece. Typically, suitable reaction conditions will be uniform or substantially uniform over the entire exposed surface of the substrate, but certain applications may tolerate some variations.

실리콘-함유 전구체들에 더하여, 워크피스에 인접한 분위기는 바람직하게 실질적으로 저 에너지 상태의, 하나 이상의 라디칼 종을 포함할 수 있다. 이러한 종의 예는 수소 원자 라디칼들을 포함한다. 일부 실시예들에서, 수소 원자 라디칼들의 모두, 실질적으로 모두, 또는 상당한 부분은 바닥 상태이고, 예를 들어, 워크피스에 인접한 수소 원자 라디칼의 적어도 약 90 % 또는 95 %는 바닥 상태이다. 특정한 실시예들에서, 수소는 헬륨과 같은 캐리어 내에 제공된다. 예로서, 수소 가스는 약 1 내지 10 % 수소 농도로 헬륨 캐리어 내에 제공될 수도 있다. 압력, 헬륨과 같은 캐리어 가스의 부분, 및 다른 프로세스 조건들은 저 에너지 상태의 라디칼들이 재조합하지 않을 때 수소 원자들이 기판을 마주하도록 선택된다.In addition to the silicon-containing precursors, the atmosphere adjacent to the workpiece may contain one or more radical species, preferably in a substantially low energy state. Examples of such species include hydrogen atom radicals. In some embodiments, all, substantially all, or a substantial portion of the hydrogen atom radicals are in the ground state, eg, at least about 90% or 95% of the hydrogen atom radicals adjacent to the workpiece are in the ground state. In certain embodiments, hydrogen is provided in a carrier such as helium. As an example, hydrogen gas may be provided in a helium carrier at a hydrogen concentration of about 1 to 10%. The pressure, portion of the carrier gas such as helium, and other process conditions are selected such that hydrogen atoms face the substrate when radicals in lower energy states do not recombine.

다른 곳에서 설명된 바와 같이, 수소 가스는 수소 라디칼들을 생성하도록 리모트 플라즈마 소스 내로 공급될 수도 있다. 일단 생성되면, 수소 라디칼들은 여기된 에너지 상태에 있을 수도 있다. 예를 들어, 여기된 에너지 상태의 수소는 적어도 10.2 eV (제 1 여기 상태) 의 에너지를 가질 수 있다. 여기된 수소 라디칼들은 실리콘-함유 전구체의 비선택적인 (unselective) 분해를 유발할 수도 있다. 예를 들어, 여기된 상태의 수소 라디칼들은, Si-H 결합들, Si-Si 결합들, Si-N 결합들, Si-O 결합들, 및 Si-C 결합들을 용이하게 파괴시킬 수 있고, 이는 실리콘 카바이드 막의 조성 또는 물리적 특성들 또는 전기적 특성들을 변경할 수 있다. 일부 구현예들에서, 여기된 수소 라디칼들이 에너지를 손실하거나 릴렉싱할 때, 여기된 수소 라디칼은 실질적으로 저 에너지 상태 수소 라디칼 또는 바닥 상태 수소 라디칼이 될 수도 있다. 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 라디칼들은, 대체로 Si-O 결합들, Si-N 결합들, 및 Si-C 결합들을 보존하면서, Si-H 결합들 및 Si-Si 결합들을 선택적으로 파괴할 수 있다. 일부 구현예들에서, 프로세스 조건들은 여기된 수소 라디칼들이 실질적으로 저 에너지 상태 또는 바닥 상태 수소 라디칼들을 형성하기 위해 에너지를 손실하거나 릴렉싱하도록 제공될 수도 있다. 예를 들어, 리모트 플라즈마 소스 또는 연관된 컴포넌트들은 리모트 플라즈마 소스로부터 기판으로 확산하는 수소 라디칼들의 체류 시간이 여기된 수소 라디칼의 에너지 릴렉싱 시간보다 보다 크도록 설계될 수도 있다. 여기된 수소 라디칼에 대한 에너지 릴렉스 시간은 약 1x10-3 초 이하일 수 있다.As described elsewhere, hydrogen gas may be supplied into the remote plasma source to generate hydrogen radicals. Once created, hydrogen radicals may be in an excited energy state. For example, hydrogen in an excited energy state can have an energy of at least 10.2 eV (first excited state). Excited hydrogen radicals may cause unselective decomposition of the silicon-containing precursor. For example, hydrogen radicals in an excited state can easily break Si-H bonds, Si-Si bonds, Si-N bonds, Si-O bonds, and Si-C bonds, which The composition or physical properties or electrical properties of the silicon carbide film may be altered. In some implementations, when the excited hydrogen radicals lose energy or relax, the excited hydrogen radicals may substantially become low energy state hydrogen radicals or ground state hydrogen radicals. Substantially low-energy or ground state hydrogen radicals will selectively break Si-H bonds and Si-Si bonds, while preserving largely Si-O bonds, Si-N bonds, and Si-C bonds. can In some implementations, process conditions may be provided such that the excited hydrogen radicals lose energy or relax to form substantially lower energy state or ground state hydrogen radicals. For example, the remote plasma source or associated components may be designed such that the residence time of hydrogen radicals diffusing from the remote plasma source to the substrate is greater than the energy relaxation time of the excited hydrogen radicals. The energy relaxation time for excited hydrogen radicals may be about 1x10 -3 seconds or less.

수소 원자 라디칼들의 상당한 부분이 바닥 상태인 상태는 다양한 기법들에 의해 달성될 수 있다. 이하에 기술된 바와 같은 일부 장치는 이 상태를 달성하도록 설계되었다. 장치 피처들 및 프로세스 제어 피처들은 수소 원자 라디칼들의 상당한 부분이 바닥 상태인 마일드 상태를 생성하도록 테스트되고 튜닝될 수 있다. 예를 들어, 장치는 플라즈마 소스의 다운스트림 즉, 기판 근방에서 대전된 입자들에 대해 동작되고 테스트될 수도 있다. 프로세스 및 장치는 기판 근방에 대전된 종이 실질적으로 존재하지 않을 때까지 튜닝될 수도 있다. 부가적으로, 장치 피처들 및 프로세스 피처들은 트리메틸실란과 같은 표준 전구체로부터 고품질 실리콘 카바이드 막을 생성하기 시작하는 구성으로 튜닝될 수도 있다. 이러한 막 증착을 지지하는 상대적으로 마일드한 조건들이 선택된다.A state in which a significant fraction of the hydrogen atom radicals are in the ground state can be achieved by a variety of techniques. Some devices, as described below, are designed to achieve this condition. Device features and process control features can be tested and tuned to produce a mild state in which a significant fraction of the hydrogen atomic radicals are in the ground state. For example, the device may be operated and tested on charged particles downstream of the plasma source, ie in the vicinity of the substrate. The process and apparatus may be tuned until substantially no charged species are present in the vicinity of the substrate. Additionally, device features and process features may be tuned into configurations that start producing high quality silicon carbide films from standard precursors such as trimethylsilane. Relatively mild conditions supporting such film deposition are selected.

라디칼 종의 다른 예들은 원소적 산소 (원자 또는 이원자 (biatomic)) 라디칼들과 같은 산소-함유 종, 질소 (원자 또는 이원자 (biatomic)) 라디칼들과 같은 질소-함유 종, 및 암모니아 라디칼들과 같은 N-H 함유 라디칼들을 포함하고, 질소는 막 내로 선택가능하게 통합된다. 전술한 라디칼 종은 수소, 질소, N-H 함유 종, 또는 이들의 혼합물들을 포함하는 가스로부터 생성될 수도 있다. 일부 실시예들에서, 본질적으로 증착된 막의 모든 원자들은 전구체 분자들에 의해 제공된다. 이러한 경우들에서, 증착 반응을 구동하도록 사용된 저 에너지 라디칼들은 배타적으로 수소 또는 증착된 층의 질량에 실질적으로 기여하지 않는 다른 종일 수도 있다. 일부 실시예들에서, 이하에 더 상세히 논의되는 바와 같이, 라디칼 종은 리모트 플라즈마 소스에 의해 생성될 수 있다. 일부 실시예들에서, 보다 높은 에너지 상태의 라디칼들 또는 심지어 이온들은 잠재적으로 웨이퍼 플레인 근방에 존재할 수 있다.Other examples of radical species are oxygen-containing species such as elemental oxygen (atomic or biatomic) radicals, nitrogen-containing species such as nitrogen (atomic or biatomic) radicals, and ammonia radicals. N-H containing radicals, and nitrogen is selectively incorporated into the membrane. The aforementioned radical species may be generated from gases containing hydrogen, nitrogen, N-H containing species, or mixtures thereof. In some embodiments, essentially all atoms of the deposited film are provided by precursor molecules. In these cases, the low energy radicals used to drive the deposition reaction may be exclusively hydrogen or other species that do not substantially contribute to the mass of the deposited layer. In some embodiments, as discussed in more detail below, the radical species may be generated by a remote plasma source. In some embodiments, radicals or even ions of higher energy states can potentially exist near the wafer plane.

일부 실시예들에서, 프로세스 조건들은 Si-O 결합들, Si-N 결합들, 및 Si-C 결합들을 실질적으로 보존하면서, Si-H 결합들 및/또는 Si-Si 결합들을 파괴하기에 충분한 실질적으로 저 에너지 상태의 라디칼 종을 채용한다. 이러한 프로세스 조건들은 상당한 양의 이온들, 전자들, 또는 바닥 상태 이상의 상태들과 같은 고 에너지 상태들의 라디칼 종을 갖지 않을 수도 있다. 일부 실시예들에서, 막에 인접한 영역의 이온들의 농도는 약 107/㎤보다 크지 않다. 상당한 양의 이온들 또는 고 에너지 라디칼들의 존재는 Si-O 결합들, Si-N 결합들, 및 Si-C 결합들을 파괴하는 경향이 있을 수도 있고, 이는 바람직하지 않은 전기적 속성들 (예를 들어, 고 유전 상수들 및/또는 저 항복 전압들) 및 불량한 컨포멀성 (conformality) 을 생성할 수 있다. 과도하게 반응성인 분위기는 고 부착 계수들 (워크피스 측벽들에 물리적으로 또는 화학적으로 부착하는 경향을 나타냄) 을 갖는 반응성 전구체 프래그먼트들을 생성하여, 불량한 컨포멀성을 발생시킨다고 생각된다.In some embodiments, the process conditions are substantially sufficient to break Si-H bonds and/or Si-Si bonds, while substantially preserving Si-O bonds, Si-N bonds, and Si-C bonds. As a result, a radical species in a low energy state is employed. These process conditions may not have significant amounts of ions, electrons, or radical species in high energy states such as states above the ground state. In some embodiments, the concentration of ions in the region adjacent to the membrane is no greater than about 10 7 /cm 3 . The presence of significant amounts of ions or high-energy radicals may tend to break Si-O bonds, Si-N bonds, and Si-C bonds, which have undesirable electrical properties (e.g., high dielectric constants and/or low breakdown voltages) and poor conformality. It is believed that an overly reactive atmosphere produces reactive precursor fragments with high adhesion coefficients (which tend to physically or chemically adhere to the workpiece sidewalls), resulting in poor conformability.

실리콘-함유 전구체들은 통상적으로 기판 (100) 에 인접한 분위기에서, 다른 종, 특히 캐리어 가스와 함께 전달된다. 일부 구현예들에서, 실리콘-함유 전구체들은 라디칼 종 및 다른 반응성 종 및/또는 캐리어 가스들을 포함하는 다른 종과 함께 존재한다. 일부 실시예들에서, 실리콘-함유 전구체들은 혼합물로서 도입될 수도 있다. 증착 반응 표면으로부터 업스트림에서, 실리콘-함유 전구체들은 불활성 캐리어 가스와 혼합될 수 있다. 불활성 캐리어 가스들의 예는, 이로 제한되는 것은 아니지만, 아르곤 (Ar) 및 헬륨 (He) 을 포함한다. 부가적으로, 실리콘-함유 전구체들은, 상대적으로 저 농도로 실리콘 카바이드 막 (101) 내에 존재하는, 일부 원소 또는 구조적 피처 (예를 들어, 링 구조, 케이지 구조, 포화되지 않은 결합, 등) 를 포함하는 마이너 종과 함께, 메이저 종 및 마이너 종을 갖는 혼합물로 도입될 수 있다. 복수의 전구체들은 발생되는 실리콘 카바이드 막 (101) 내에 주 백본 또는 매트릭스를 형성하기에 적절하게 등몰 또는 상대적으로 유사한 비율들로 존재할 수도 있다. 다른 실시예들에서, 상대적인 양들의 상이한 전구체들은 등몰성으로부터 실질적으로 스큐 (skew) 된다.Silicon-containing precursors are typically delivered together with other species, particularly a carrier gas, in an atmosphere adjacent to the substrate 100 . In some implementations, silicon-containing precursors are present with radical species and other reactive species and/or other species including carrier gases. In some embodiments, silicon-containing precursors may be introduced as a mixture. Upstream from the deposition reaction surface, silicon-containing precursors may be mixed with an inert carrier gas. Examples of inert carrier gases include, but are not limited to, argon (Ar) and helium (He). Additionally, the silicon-containing precursors include some elemental or structural features (eg, ring structures, cage structures, unsaturated bonds, etc.), which are present in the silicon carbide film 101 in relatively low concentrations. It can be introduced as a mixture with a major species and a minor species, together with a minor species. The plurality of precursors may be present in equimolar or relatively similar proportions as appropriate to form the main backbone or matrix within the resulting silicon carbide film 101. In other embodiments, relative amounts of different precursors are substantially skewed from equimolarity.

일부 실시예들에서, 하나 이상의 실리콘-함유 전구체들은 본질적으로 막 질량의 약 5 원자% 미만 또는 약 2 원자% 미만을 제공하는 리모트 플라즈마로부터 적은 양의 수소 또는 다른 원소들과 함께, 증착된 실리콘 카바이드 막의 전체 질량을 제공한다. 일부 실시예들에서, 라디칼 종 및 하나 이상의 실리콘-함유 전구체들만이 증착된 실리콘 카바이드 막의 조성에 기여한다. 다른 실시예들에서, 증착 반응은 하나 이상의 실리콘-함유 전구체들 및 라디칼 종과 다른 공-반응물질을 포함한다. 이러한 공-반응물질들의 예들은 이산화탄소 (CO2), 일산화탄소 (CO), 물 (H2O), 메탄올 (CH3OH), 산소 (O2), 오존 (O3), 질소 (N2), 아산화질소 (N2O), 암모니아 (NH3), 메탄 (CH4), 에탄 (C2H6), 아세틸렌 (C2H2), 에틸렌 (C2H4), 디보란, 및 이들의 조합들을 포함한다. 이러한 재료들은 질화제들, 산화제들, 환원제들, 등으로 사용될 수도 있다. 일부 경우들에서, 이들은 실리콘-함유 전구체와 함께 제공된 탄소의 부분을 제거함으로써 증착된 막의 탄소의 양을 튜닝하도록 사용될 수 있다. 비수소 공-반응물질을 채용하는 일부 구현예들에서, 공-반응물질은 실리콘-함유 전구체와 동일한 플로우 경로 예를 들어, 통상적으로 플라즈마로의 직접적으로 노출시키지 않는, 샤워헤드를 포함하는 경로를 통해 반응기로 도입된다. 일부 실시예들에서, 산소 및/또는 이산화탄소는 증착 동안 막 또는 전구체로부터 탄소를 제거함으로써 실리콘 카바이드 막의 조성을 변경하도록 전구체와 함께 도입된다. 비수소 공-반응물질을 채용하는 일부 구현예들에서, 공-반응물질은 공-반응물질이 라디칼들 및/또는 이온들로 적어도 부분적으로 변환되도록, 수소와 동일한 플로우 경로를 통해 반응기 내로 도입된다. 이러한 구현예들에서, 수소 라디칼들 및 공-반응물질 라디칼들 양자는 증착된 실리콘 카바이드 막을 생성하기 위해 실리콘-함유 전구체(들)와 반응한다.In some embodiments, the one or more silicon-containing precursors are essentially deposited silicon carbide, with a small amount of hydrogen or other elements from a remote plasma providing less than about 5 atomic percent or less than about 2 atomic percent of the film mass. gives the total mass of the membrane. In some embodiments, only the radical species and one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film. In other embodiments, the deposition reaction includes one or more silicon-containing precursors and a co-reactant other than the radical species. Examples of these co-reactants are carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), nitrogen (N 2 ) , nitrous oxide (N 2 O), ammonia (NH 3 ), methane (CH 4 ), ethane (C 2 H 6 ), acetylene (C 2 H 2 ), ethylene (C 2 H 4 ), diborane, and these contains combinations of These materials may be used as nitrating agents, oxidizing agents, reducing agents, and the like. In some cases, they can be used to tune the amount of carbon in the deposited film by removing a portion of the carbon provided with the silicon-containing precursor. In some implementations employing a non-hydrogen co-reactant, the co-reactant is passed through the same flow path as the silicon-containing precursor, for example, a path comprising a showerhead, which would not normally be exposed directly to a plasma. is introduced into the reactor through In some embodiments, oxygen and/or carbon dioxide is introduced along with the precursor to change the composition of the silicon carbide film by removing carbon from the film or precursor during deposition. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is introduced into the reactor through the same flow path as the hydrogen, such that the co-reactant is at least partially converted to radicals and/or ions. . In these implementations, both hydrogen radicals and co-reactant radicals react with the silicon-containing precursor(s) to produce a deposited silicon carbide film.

공-반응물질들이 사용되고 공-반응물질들이 라디칼들 (예를 들어, 수소) 로 변환되는 종과 함께 챔버로 도입되는 특정한 실시예들에서, 공-반응물질은, 라디칼들 (예를 들어, 수소) 의 소스 및 헬륨과 같은 임의의 캐리어 가스(들)를 포함하는 반응기 내의 다른 가스들에 비해 상대적으로 적은 양으로 반응기에 제공된다. 예를 들어, 공-반응물질은 약 0.05 질량% 이하, 또는 약 0.01 질량% 이하, 또는 약 0.001 질량% 이하로 프로세스 가스들 내에 존재할 수도 있다. 예를 들어, (플라즈마 소스 내로 들어가는) 반응물질 혼합물은 약 10 L/m He, 약 200 내지 500 sccm H2, 및 약 1 내지 5 sccm 산소일 수도 있다. 공-반응물질들이 (예를 들어, 샤워헤드를 통해) 실리콘-함유 전구체와 함께 챔버로 도입될 때, 공-반응물질들은 보다 높은 농도, 예를 들어 약 2 % 이하 또는 약 0.1 % 이하로 존재할 수도 있다. 공-반응물질이 상대적으로 약한 반응물질 (예를 들어, 이산화탄소와 같은 약산화제) 일 때, 약 10 % 이하 또는 약 4 % 이하와 같이, 훨씬 보다 높은 농도들로 존재할 수도 있다.In certain embodiments in which co-reactants are used and the co-reactants are introduced into the chamber along with a species that is converted to radicals (eg, hydrogen), the co-reactant may be converted to radicals (eg, hydrogen). ) and any carrier gas(es), such as helium, are provided to the reactor in relatively small amounts compared to other gases in the reactor. For example, the co-reactant may be present in the process gases at about 0.05 mass % or less, or about 0.01 mass % or less, or about 0.001 mass % or less. For example, the reactant mixture (going into the plasma source) may be about 10 L/m He, about 200 to 500 sccm H 2 , and about 1 to 5 sccm oxygen. When the co-reactants are introduced into the chamber with the silicon-containing precursor (eg, via a showerhead), the co-reactants will be present in higher concentrations, such as about 2% or less or about 0.1% or less. may be When the co-reactant is a relatively weak reactant (eg, a weak oxidizer such as carbon dioxide), it may be present in even higher concentrations, such as about 10% or less or about 4% or less.

기판 (100) 에 인접한 분위기의 온도는 증착 반응을 용이하게 하는 임의의 적합한 온도일 수 있지만, 때때로 실리콘 카바이드 막 (101) 을 포함하는 디바이스의 애플리케이션에 의해 제한된다. 기판 (100) 에 인접한 분위기의 온도는 실리콘 카바이드 막 (101) 의 증착 동안 그 위에 기판 (100) 이 지지되는 페데스탈의 온도에 의해 주로 제어될 수 있다. 일부 실시예들에서, 동작 온도는 약 50 ℃ 내지 약 500 ℃일 수 있다. 예를 들어, 많은 집적 회로 애플리케이션들에서 동작 온도는 약 200 ℃ 내지 약 400 ℃일 수 있다. 일부 실시예들에서, 상승하는 온도는 기판 표면 상에 증가된 교차 결합을 야기할 수 있다.The temperature of the atmosphere adjacent to the substrate 100 can be any suitable temperature that facilitates the deposition reaction, but is sometimes limited by the application of the device incorporating the silicon carbide film 101 . The temperature of the atmosphere adjacent to the substrate 100 can be controlled primarily by the temperature of the pedestal on which the substrate 100 is supported during deposition of the silicon carbide film 101 . In some embodiments, the operating temperature may be between about 50 °C and about 500 °C. For example, in many integrated circuit applications the operating temperature may be between about 200 °C and about 400 °C. In some embodiments, increasing temperature may cause increased cross-linking on the substrate surface.

기판 (100) 에 인접한 분위기의 압력은 프로세스 챔버 내에 반응성 라디칼들을 생성하기 위해 임의의 적합한 압력일 수 있다. 일부 실시예들에서, 압력은 약 35 Torr 이하일 수 있다. 예를 들어, 압력은 마이크로파 생성된 플라즈마를 구현하는 실시예들에서와 같이, 약 10 Torr 내지 약 20 Torr일 수 있다. 다른 예들에서, 압력은 RF (radiofrequency) 생성된 플라즈마를 구현하는 실시예들에서와 같이, 약 10 Torr 미만, 또는 약 0.2 Torr 내지 약 8 Torr일 수 있다.The pressure of the atmosphere adjacent to the substrate 100 can be any suitable pressure to generate reactive radicals within the process chamber. In some embodiments, the pressure may be less than or equal to about 35 Torr. For example, the pressure may be between about 10 Torr and about 20 Torr, as in embodiments implementing microwave generated plasma. In other examples, the pressure may be less than about 10 Torr, or between about 0.2 Torr and about 8 Torr, as in embodiments implementing radiofrequency (RF) generated plasma.

도 1b 내지 도 1d는 다양한 애플리케이션들의 실리콘 카바이드 막들을 포함하는 구조체들의 단면도들을 예시한다. 도 1b는 트랜지스터의 게이트 전극 구조체의 측벽들 상의 실리콘 카바이드 수직 구조를 예시한다. 도 1c는 에어 갭 타입 금속화층 내의 구리 라인들의 노출된 측벽들 상의 실리콘 카바이드 수직 구조를 예시한다. 도 1d는 다공성 유전체 재료들을 위한 실리콘 카바이드 기공 시일제들을 예시한다. 이들 애플리케이션들 각각은 이하에 더 상세히 논의된다.1B-1D illustrate cross-sectional views of structures comprising silicon carbide films in various applications. 1B illustrates a silicon carbide vertical structure on the sidewalls of a gate electrode structure of a transistor. 1C illustrates a silicon carbide vertical structure on exposed sidewalls of copper lines in an air gap type metallization layer. 1D illustrates silicon carbide pore sealants for porous dielectric materials. Each of these applications is discussed in more detail below.

전구체들의 화학적 구조Chemical structure of precursors

논의된 바와 같이, 실리콘 카바이드 막들을 형성하는데 채용된 전구체들은 적어도 하나의 Si-H 결합 및/또는 적어도 하나의 Si-Si 결합을 갖는 실리콘-함유 전구체들 중 적어도 일부를 갖는 실리콘-함유 전구체들을 포함할 수 있다. 특정한 실시예들에서, 실리콘-함유 전구체는 매 실리콘 원자마다 최대 하나의 수소 원자를 갖는다. 따라서, 예를 들어, 하나의 실리콘 원자를 갖는 전구체는 실리콘 원자에 결합된 최대 하나의 수소 원자를 갖고; 2개의 실리콘 원자들을 갖는 전구체는 하나의 실리콘 원자에 결합된 하나의 수소 원자 및 선택가능하게 제 2 실리콘 원자에 결합된 또 다른 원자를 갖고; 3개의 실리콘 원자들을 갖는 전구체는 하나의 실리콘 원자에 결합된 적어도 하나의 수소 원자 및 선택가능하게 하나 또는 2개의 남아 있는 실리콘 원자들에 결합된 하나 또는 2개 이상의 수소 원자들을 갖고, 이렇게 계속된다. 부가적으로, 실리콘-함유 전구체들은 적어도 하나의 Si-O 결합, 적어도 하나의 Si-N 결합, 및/또는 적어도 하나의 Si-C 결합을 포함할 수도 있다. 임의의 수의 적절한 전구체들이 실리콘 카바이드 막들을 형성하는데 사용될 수 있지만, 전구체들 중 적어도 일부는, Si-H 결합 또는 Si-Si 결합 중 적어도 하나 및 선택가능하게 Si-O 결합, Si-N 결합, 및/또는 Si-C 결합 중 적어도 하나를 갖는 실리콘-함유 전구체들을 포함할 것이다. 다양한 구현예들에서, 실리콘-함유 전구체(들)는 O-C 결합 또는 N-C 결합들을 포함하지 않고; 예를 들어, 전구체(들)는 알콕시기 (-O-R) 또는 아민기들 (-NR1R2) 을 포함하지 않고, 여기서 R은 하이드로카본기와 같은 유기기이고, R1 및 R2는 독립적으로 수소 또는 유기기들이다. 이러한 기들은 전구체 또는 전구체가 체류하는 프래그먼트들에 고 부착 계수들을 부여할 수도 있다고 생각된다. 특정한 실시예들에서, 실리콘 카바이드 형성 동안 기판으로 전달된 전구체 또는 화합물은 알콕시기 또는 아민기를 포함하지 않는다.As discussed, the precursors employed to form silicon carbide films include silicon-containing precursors having at least some of the silicon-containing precursors having at least one Si-H bond and/or at least one Si-Si bond. can do. In certain embodiments, the silicon-containing precursor has at most one hydrogen atom for every silicon atom. Thus, for example, a precursor having one silicon atom has at most one hydrogen atom bonded to the silicon atom; A precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and another atom optionally bonded to a second silicon atom; A precursor having three silicon atoms has at least one hydrogen atom bonded to one silicon atom and optionally one or two or more hydrogen atoms bonded to one or two remaining silicon atoms, and so on. Additionally, silicon-containing precursors may include at least one Si-O bond, at least one Si-N bond, and/or at least one Si-C bond. Any number of suitable precursors may be used to form the silicon carbide films, but at least some of the precursors include at least one of a Si-H bond or a Si-Si bond and optionally a Si-O bond, a Si-N bond, and/or silicon-containing precursors having at least one of a Si-C bond. In various implementations, the silicon-containing precursor(s) do not contain OC bonds or NC bonds; For example, the precursor(s) do not contain an alkoxy group (-OR) or amine groups (-NR 1 R 2 ), where R is an organic group such as a hydrocarbon group, and R 1 and R 2 are independently They are hydrogen or organic groups. It is believed that these groups may impart high sticking coefficients to the precursor or fragments in which the precursor resides. In certain embodiments, the precursor or compound delivered to the substrate during silicon carbide formation does not contain alkoxy groups or amine groups.

특정한 실시예들에서, 실리콘 카바이드 막에 대해 제공된 탄소 중 적어도 일부는 실리콘-함유 전구체 상의 하나 이상의 하이드로카본 모이어티들에 의해 제공된다. 이러한 모이어티들은 알킬기들, 알켄기들, 알카인기들, 아릴기들, 등으로부터 제공될 수도 있다. 특정한 실시예들에서, 하이드로카본기는 증착 동안 반응을 중지시키는 Si-H 결합 및/또는 Si-Si 결합의 입체 장해 (steric hindrance) 를 최소화하도록 단일 탄소 원자를 갖는다. 그러나, 전구체들은 단일-탄소기들로 제한되지 않고, 2, 3, 4, 5, 또는 6개의 탄소 원자들과 같이, 보다 많은 수의 탄소 원자들이 사용될 수도 있다. 특정한 실시예들에서, 하이드로카본기는 선형이다. 특정한 실시예들에서, 하이드로카본기는 환형이다.In certain embodiments, at least some of the carbon provided to the silicon carbide film is provided by one or more hydrocarbon moieties on the silicon-containing precursor. These moieties may be provided from alkyl groups, alkene groups, alkyne groups, aryl groups, and the like. In certain embodiments, the hydrocarbon group has a single carbon atom to minimize steric hindrance of Si-H bonds and/or Si-Si bonds that stop reactions during deposition. However, precursors are not limited to single-carbon groups, and larger numbers of carbon atoms may be used, such as 2, 3, 4, 5, or 6 carbon atoms. In certain embodiments, the hydrocarbon group is linear. In certain embodiments, the hydrocarbon group is cyclic.

일부 실시예들에서, 실리콘-함유 전구체들은 3 이상의 화학적 분류들 중 하나에 속하고, 임의의 전구체는 유일한 전구체로서 단독으로 또는 다른 타입들의 전구체들과 조합하여 존재할 수도 있다. 실리콘-함유 전구체들의 다른 화학적 분류들이 채용될 수도 있고 실리콘-함유 전구체들의 분류는 이하에 논의된 화학적 분류들로 제한되지 않는다는 것이 이해될 것이다.In some embodiments, silicon-containing precursors belong to one of three or more chemical classes, and any precursor may exist alone as a sole precursor or in combination with other types of precursors. It will be appreciated that other chemical classifications of silicon-containing precursors may be employed and that the classification of silicon-containing precursors is not limited to the chemical classifications discussed below.

첫째, 실리콘-함유 전구체는 실록산일 수 있다. 일부 실시예들에서, 실록산은 환형일 수도 있다. 환형 실록산들은, TMCTS (2,4,6,8-tetramethylcyclotetrasiloxane) 및 HMCTS (heptamethylcyclotetrasiloxane) 와 같은 사이클로테트라실록산들을 포함할 수도 있다. 다른 환형 실록산들은 이로 제한되는 것은 아니지만 사이클로트리실록산들 및 사이클로펜타실록산들을 또한 포함할 수 있다. 환형 실록산들을 사용하는 실시예들은 링 구조체들이고, 이들은 링의 반경에 대응하는 크기의 기공들을 가져, 실리콘 카바이드 막 내로 다공성을 도입할 수 있다. 예를 들어, 사이클로테트라실록산 링은 약 6.7 Å의 반경을 가질 수 있다.First, the silicon-containing precursor may be a siloxane. In some embodiments, the siloxane may be cyclic. Cyclic siloxanes may include cyclotetrasiloxanes such as 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS) and heptamethylcyclotetrasiloxane (HMCTS). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Embodiments using cyclic siloxanes are ring structures, which can have pores of a size corresponding to the radius of the ring, introducing porosity into the silicon carbide film. For example, a cyclotetrasiloxane ring may have a radius of about 6.7 Å.

일부 실시예들에서, 실록산은 3차원 또는 케이징된 구조를 가질 수도 있다. 도 2는 대표적인 케이징된 실록산 전구체들의 예들을 예시한다. 케이징된 실록산들은 다면체 또는 임의의 3-D 구조를 형성하도록 산소 원자들을 통해 서로 브리징된 실리콘 원자들을 갖는다. 케이징된 실록산 전구체 분자의 예는 실세스퀴옥산이다. 케이징된 실록산 구조들은, 모든 목적들을 위해 본 명세서에 참조로서 인용된, 공동으로 소유된 Cleemput 등의 미국 특허 제 6,576,345 호에 보다 상세히 기술된다. 환형 실록산들과 같이, 케이징된 실록산은 실리콘 카바이드 막 내로 다공성을 도입할 수 있다. 일부 실시예들에서, 다공성 스케일은 중기공 (mesoporous) 이다.In some embodiments, the siloxane may have a three-dimensional or caged structure. 2 illustrates examples of representative caged siloxane precursors. Cased siloxanes have silicon atoms bridged to each other via oxygen atoms to form a polyhedron or any 3-D structure. An example of a caged siloxane precursor molecule is silsesquioxane. Cased siloxane structures are described in more detail in commonly owned U.S. Pat. No. 6,576,345 to Cleemput et al., incorporated herein by reference for all purposes. Like cyclic siloxanes, caged siloxanes can introduce porosity into the silicon carbide film. In some embodiments, the porous scale is mesoporous.

일부 실시예들에서, 실록산은 선형일 수도 있다. 적합한 선형 실록산들의 예들은 이로 제한되는 것은 아니지만, PMDSO (pentamethyldisiloxane) 및 TMDSO (tetramethyldisiloxane) 와 같은 디실록산들, 및 헥사메틸트리실록산, 헵타메틸트리실록산과 같은 트리실록산들을 포함한다.In some embodiments, the siloxane may be linear. Examples of suitable linear siloxanes include, but are not limited to, disiloxanes such as pentamethyldisiloxane (PMDSO) and tetramethyldisiloxane (TMDSO), and trisiloxanes such as hexamethyltrisiloxane, heptamethyltrisiloxane.

둘째, 실리콘-함유 전구체는 알킬 실란 또는 다른 하이드로카본-치환된 실란일 수 있다. 알킬 실란들은 하나 이상의 알킬기들이 결합된 중앙 실리콘 원자 뿐만 아니라 하나 이상의 수소 원자들이 결합된 중앙 실리콘 원자를 포함한다. 특정한 실시예들에서, 하나 이상의 알킬기들 중 어느 하나는 1 내지 5개의 탄소 원자들을 포함한다. 하이드로카본기들은 포화되거나 포화되지 않을 수도 있다 (예를 들어, 알켄 (예를 들어, 비닐), 알카인, 및 방향족들). 예들은 이로 제한되는 것은 아니지만, 트리메틸실란 (3MS), 트리에틸실란, 펜타메틸 디실라메탄 ((CH3)2Si-CH2-Si(CH3)3), 및 디메틸실란 (2MS) 을 포함한다.Second, the silicon-containing precursor may be an alkyl silane or other hydrocarbon-substituted silane. Alkyl silanes include a central silicon atom to which one or more hydrogen atoms are bonded as well as a central silicon atom to which one or more alkyl groups are bonded. In certain embodiments, any one of the one or more alkyl groups contains 1 to 5 carbon atoms. Hydrocarbon groups may or may not be saturated (eg, alkenes (eg, vinyl), alkynes, and aromatics). Examples include, but are not limited to, trimethylsilane (3MS), triethylsilane, pentamethyl disilamethane ((CH 3 ) 2 Si-CH 2 -Si(CH 3 ) 3 ), and dimethylsilane (2MS) do.

부가적으로, 디실란들, 트리실란들, 또는 다른 보다 높은 실란들이 모노실란들 대신 사용될 수도 있다. 일부 실시예들에서, 실리콘 원자들 중 하나는 실리콘 원자에 부착된 탄소-함유 또는 하이드로카본기를 가질 수 있고, 실리콘 원자들 중 하나는 실리콘 원자에 부착된 수소 원자를 가질 수 있다.Additionally, disilanes, trisilanes, or other higher silanes may be used in place of monosilanes. In some embodiments, one of the silicon atoms can have a carbon-containing or hydrocarbon group attached to the silicon atom, and one of the silicon atoms can have a hydrogen atom attached to the silicon atom.

셋째, 실리콘-함유 전구체는 실리콘-질소 하이드라이드 (예를 들어, 실라잔) 와 같은 질소-함유 화합물일 수 있다. 일반적으로, 이러한 화합물들은 탄소를 함유하고, 실리콘 원자들에 결합될 뿐만 아니라 질소 원자들에도 결합된다. 특정한 실시예들에서, 질소-함유 화합물은 어떠한 탄소-질소 결합들도 갖지 않는다. 특정한 실시예들에서, 질소-함유 화합물은 어떠한 아민 모이어티들 (-C-NR1R2) 도 갖지 않고, 여기서 R1 및 R2는 수소 원자들 및 하이드로카본기들 예컨대 알킬기들, 알켄기들, 또는 알카인기들과 동일하거나 상이한 기들이다. 적합한 실리콘-질소 전구체들의 예들은 하나 이상의 실리콘 원자들에 결합된 하나 이상의 수소 모이어티들 및 하나 이상의 실리콘 원자들에 결합된 하나 이상의 수소 원자들을 함유하는 순환적 실라잔들 및 선형 실라잔들과 같은 다양한 실라잔들을 포함한다. 실라잔들의 예들은 테트라메틸디실라잔 및 헥사메틸 트리실라잔과 같은 메틸-치환된 디실라잔들 및 트리실라잔들을 포함한다.Third, the silicon-containing precursor may be a nitrogen-containing compound such as a silicon-nitrogen hydride (eg, a silazane). Generally, these compounds contain carbon and are bonded to silicon atoms as well as to nitrogen atoms. In certain embodiments, the nitrogen-containing compound does not have any carbon-nitrogen bonds. In certain embodiments, the nitrogen-containing compound does not have any amine moieties (-C-NR 1 R 2 ), where R 1 and R 2 are hydrogen atoms and hydrocarbon groups such as alkyl groups, alkene groups. , or groups that are the same as or different from the alkyne groups. Examples of suitable silicon-nitrogen precursors include cyclic silazanes and linear silazanes containing one or more hydrogen moieties bonded to one or more silicon atoms and one or more hydrogen atoms bonded to one or more silicon atoms. Includes various silazanes. Examples of silazanes include methyl-substituted disilazanes and trisilazanes such as tetramethyldisilazane and hexamethyl trisilazane.

실리콘 카바이드 증착시, 복수의 실리콘-함유 전구체들은 프로세스 가스 내에 존재할 수 있다. 예를 들어, 실록산과 알킬 실란이 함께 사용될 수도 있고, 또는 실록산과 디실라잔이 함께 사용될 수도 있다. 개별 전구체들의 상대적인 비율들은 선택된 전구체들의 화학적 구조들 및 발생되는 실리콘 카바이드 막의 애플리케이션에 기초하여 선택될 수 있다. 예를 들어, 실록산의 양은 이하에 보다 상세히 논의되는 바와 같이 다공성 막을 생성하기 위해 몰 백분율로 실란의 양보다 보다 많을 수 있다.In silicon carbide deposition, a plurality of silicon-containing precursors may be present in the process gas. For example, siloxane and alkyl silane may be used together, or siloxane and disilazane may be used together. Relative proportions of the individual precursors may be selected based on the chemical structures of the selected precursors and the application of the resulting silicon carbide film. For example, the amount of siloxane can be greater than the amount of silane in mole percent to create a porous membrane, as discussed in more detail below.

산소 도핑된 실리콘 카바이드 (SiOC) 막들을 증착하기 위해, 적합한 전구체들의 예들은 사이클로테트라실록산들, 예컨대 HMCTS (heptamethylcyclotetrasiloxane) 및 테트라메틸사이클로테트라실록산과 같은 환형 실록산들을 포함한다. 다른 환형 실록산들은 또한 이로 제한되는 것은 아니지만 사이클로트리실록산들 및 사이클로펜타실록산들을 포함할 수 있다. 산소 도핑된 실리콘 카바이드 막들을 증착하기 위해, 적합한 전구체들의 다른 예들은 이로 제한되는 것은 아니지만, 디실록산들, 예컨대 PMDSO (pentamethyldisiloxane), TMDSO (tetramethyldisiloxane), 헥사메틸 트리실록산, 및 헵타메틸 트리실록산과 같은 선형 실록산들을 포함한다.For depositing oxygen doped silicon carbide (SiOC) films, examples of suitable precursors include cyclotetrasiloxanes, such as cyclic siloxanes such as heptamethylcyclotetrasiloxane (HMCTS) and tetramethylcyclotetrasiloxane. Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Other examples of suitable precursors for depositing oxygen doped silicon carbide films include, but are not limited to, disiloxanes such as pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), hexamethyl trisiloxane, and heptamethyl trisiloxane. Includes linear siloxanes.

도핑되지 않은 실리콘 카바이드에 대해, 적합한 전구체들의 예들은 예를 들어, 1 내지 5개의 탄소 원자들을 함유하는, 하나 이상의 알킬, 알켄, 및/또는 알카인기들로 치환된 모노실란들을 포함한다. 예들은 이로 제한되는 것은 아니지만, 트리메틸실란 (3MS), 디메틸실란 (2MS), 트리에틸실란 (TES), 및 펜타메틸디실라메탄을 포함한다.For undoped silicon carbide, examples of suitable precursors include, for example, monosilanes substituted with one or more alkyl, alkene, and/or alkyne groups containing 1 to 5 carbon atoms. Examples include, but are not limited to, trimethylsilane (3MS), dimethylsilane (2MS), triethylsilane (TES), and pentamethyldisilamethane.

부가적으로, 디실란들, 트리실란들, 또는 다른 보다 높은 실란들이 모노실란들 대신 사용될 수도 있다. 알킬 실란류로부터 이러한 디실란의 일 예는 HMDS (hexamethyldisilane) 이다. 알킬 실란류로부터의 디실란의 또 다른 예는 PMDS (pentamethyldisilane) 를 포함한다.Additionally, disilanes, trisilanes, or other higher silanes may be used in place of monosilanes. One example of such a disilane from the class of alkyl silanes is hexamethyldisilane (HMDS). Another example of a disilane from the class of alkyl silanes includes pentamethyldisilane (PMDS).

다른 타입들의 알킬 실란들은 실리콘 원자에 결합된 탄소뿐만 아니라 실리콘 원자에 결합된 알킬기들을 갖는 분기된 폴리머 구조를 가질 수 있는, 알킬카보실란들을 포함할 수 있다. 예들은 DTMSM (dimethyl trimethylsilyl methane) 및 BDMSE (bis-dimethylsilyl ethane) 를 포함한다.Other types of alkyl silanes may include alkylcarbosilanes, which may have a branched polymer structure having alkyl groups bonded to a silicon atom as well as carbon bonded to a silicon atom. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE).

질소 도핑된 실리콘 카바이드 (SiNC) 막들을 증착하기 위해, 적합한 전구체들의 예들은, 예를 들어, 알킬디실라잔들 및 될 수 있는 한 하나 이상의 실리콘 원자들에 개별적으로 결합된 아미노기 (-NH2) 및 알킬기들을 포함하는 화합물들을 포함한다. 알킬디실라잔들은 실라잔들 및 2개의 실리콘 원자들에 결합된 알킬기들을 포함한다. 예는 1,1,3,3-테트라메틸디실라잔 (TMDSN) 을 포함한다.For depositing nitrogen-doped silicon carbide (SiNC) films, examples of suitable precursors include, for example, alkyldisilazanes and possibly an amino group (—NH 2 ) individually bonded to one or more silicon atoms. and compounds containing alkyl groups. Alkyldisilazanes include silazanes and alkyl groups bonded to two silicon atoms. Examples include 1,1,3,3-tetramethyldisilazane (TMDSN).

예시된 바와 같이, 실리콘-함유 전구체들은 매우 컨포멀한 실리콘 카바이드 막들을 제공하도록 선택된다. 저 부착 계수들을 갖는 실리콘-함유 전구체들은 매우 컨포멀한 막들을 생성할 수 있다고 여겨진다. "부착 계수"는 동일한 시간 기간 동안 표면에 충돌하는 종의 총 수에 대한 표면에 흡착/부착하는 흡착물질 종 (예를 들어, 프래그먼트들 또는 분자들) 의 수의 비를 기술하기 위해 사용되는 용어이다. 심볼 Sc는 때때로 부착 계수를 지칭하도록 사용된다. Sc의 값은 0 (부착 종이 없음을 의미) 내지 1 (모든 충돌하는 종이 부착함을 의미) 이다. 부착 계수에 영향을 주는 다양한 인자들은 충돌하는 종의 타입, 표면 온도, 표면 커버리지, 표면의 구조적 상세들, 및 충돌하는 종의 운동 에너지를 포함한다. 특정한 종이 다른 종보다 본질적으로 보다 "부착성 (sticky)"이면, 종이 표면에 충돌할 때마다 표면 상에 보다 쉽게 흡착하게 된다. 이들 보다 부착성 종은 보다 큰 부착 계수들을 갖고 (다른 모든 인자들은 동일), 보다 낮은 부착 계수들을 갖는 보다 덜 부착성 종과 비교하여 리세스된 피처의 입구 근방에 보다 쉽게 흡착하게 된다. 일부 경우들에서, (관련된 증착 조건들에서) 전구체들의 부착 계수는 약 0.05 이하, 예를 들어 약 0.001 이하일 수도 있다.As illustrated, silicon-containing precursors are selected to provide highly conformal silicon carbide films. It is believed that silicon-containing precursors with low sticking coefficients can produce highly conformal films. “Adhesion coefficient” is a term used to describe the ratio of the number of adsorbate species (e.g., fragments or molecules) that adsorb/attach to a surface to the total number of species that impinge on the surface during the same period of time. am. The symbol S c is sometimes used to designate the sticking factor. The value of S c ranges from 0 (meaning no adherent species) to 1 (meaning all colliding species adhere). Various factors that affect the sticking coefficient include the type of colliding species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the colliding species. If a particular species is inherently more "sticky" than another species, it will more readily adsorb onto a surface each time it strikes it. These more adherent species have higher adhesion coefficients (all other factors being equal) and adsorb more readily near the mouth of a recessed feature compared to less adherent species having lower adhesion coefficients. In some cases, the sticking coefficient of the precursors (at relevant deposition conditions) may be about 0.05 or less, such as about 0.001 or less.

증착된 막의 구조 및 속성들Structure and properties of the deposited film

증착된 막은 실리콘, 탄소, 및 일부 경우들에서 산소, 질소, 및/또는 하나 이상의 다른 원소들을 포함할 것이다. 일부 실시예들에서, 실리콘의 원자 농도는 약 15 % 내지 45 % (또는 약 25 % 내지 40 %) 이고, 탄소의 원자 농도는 약 10 % 내지 50 %이고, 산소의 원자 농도는 약 0 % 내지 45 %이고, 질소의 원자 농도는 약 0 % 내지 45 %이다. 일 예에서, 실리콘의 원자 농도는 약 30 %이고, 산소의 원자 농도는 약 25 %이고, 탄소의 원자 농도는 약 45 %이다. 또 다른 예에서, 실리콘의 원자 농도는 약 30 %이고, 산소의 원자 농도는 약 45 %이고, 탄소의 원자 농도는 약 25 %이다. 또 다른 예에서, 막은 모두 원자 기준으로, 약 10 내지 15 %의 탄소 및 약 30 내지 40 %의 산소를 함유한다. 모든 경우들에서, 막은 수소를 일부 함유한다. 상대적인 원자 농도들은 전구체의 선택에 따라 가변할 수 있다는 것이 이해될 것이다. 실리콘 원자들은 탄소 및 선택가능하게 질소 및/또는 산소 원자들과 결합들을 형성할 것이다. 일부 실시예들에서, 증착된 막은 Si-C 결합들보다 Si-O 결합들을 보다 더 포함할 것이다. 이는 보다 낮은 유전 상수를 갖는 상대적으로 다공성 막을 제공할 수 있다. 일부 예들에서, 증착된 막은 약 0.5:1 내지 3:1의 Si-O 결합들 대 Si-C 결합들의 비를 포함한다. 일부 실시예들에서, 증착된 막은 Si-C 결합들보다 Si-N 결합들을 보다 더 포함한다. 일부 예들에서, 증착된 막은 약 0.5:1 내지 3:1의 Si-N 결합들 대 Si-C 결합들의 비를 포함한다. 특정한 실시예들에서, 막 밀도는 약 2 내지 2.7 g/㎤이다.The deposited film will include silicon, carbon, and in some cases oxygen, nitrogen, and/or one or more other elements. In some embodiments, the atomic concentration of silicon is between about 15% and 45% (or between about 25% and 40%), the atomic concentration of carbon is between about 10% and 50%, and the atomic concentration of oxygen is between about 0% and 40%. 45%, and the atomic concentration of nitrogen is between about 0% and 45%. In one example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 25%, and the atomic concentration of carbon is about 45%. In another example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 45%, and the atomic concentration of carbon is about 25%. In another example, the film contains about 10-15% carbon and about 30-40% oxygen, all on an atomic basis. In all cases, the membrane contains some hydrogen. It will be appreciated that relative atomic concentrations may vary depending on the choice of precursor. Silicon atoms will form bonds with carbon and optionally nitrogen and/or oxygen atoms. In some embodiments, the deposited film will contain more Si-O bonds than Si-C bonds. This can provide a relatively porous film with a lower dielectric constant. In some examples, the deposited film includes a ratio of Si-O bonds to Si-C bonds of between about 0.5:1 and 3:1. In some embodiments, the deposited film contains more Si-N bonds than Si-C bonds. In some examples, the deposited film includes a ratio of Si-N bonds to Si-C bonds of between about 0.5:1 and 3:1. In certain embodiments, the film density is between about 2 and 2.7 g/cm 3 .

일부 실시예들에서, 전구체의 내부 구조는 증착된 막 내에서 유지된다. 이 구조는 전구체 내에 존재한다면, 전구체 분자들 내에 Si-H 결합들 및/또는 Si-Si 결합들이 존재하는 위치들에서의 결합들을 통해 및/또는 충분한 열 에너지가 제공된다면 성장하는 표면 상의 부가적인 응결 반응들을 통해 개별 전구체 모이어티들을 연결 (link) 또는 교차결합 (cross-linking) 하는 동안, Si-C, 및 Si-O 및/또는 Si-N 결합들 모두 또는 대부분을 보존할 수도 있다.In some embodiments, the internal structure of the precursor is maintained within the deposited film. This structure, if present in the precursor, is via bonds at locations where there are Si-H bonds and/or Si-Si bonds within the precursor molecules and/or additional condensation on the growing surface if sufficient thermal energy is provided. While linking or cross-linking individual precursor moieties through reactions, all or most of the Si-C, and Si-O and/or Si-N bonds may be preserved.

본 명세서에서 앞서 기술된 프로세스 조건들은 매우 컨포멀한 막 구조를 제공할 수 있다. 상대적으로 마일드한 프로세스 조건들은 증착이 지향성을 결여하도록 기판의 표면에서 이온 충격 정도를 최소화할 수 있다. 또한, 상대적으로 마일드한 프로세스 조건들은 이전에 증착된 층들 또는 막들의 측벽들 상에 부착하는 경향성을 갖는 고 부착 계수들을 갖는 라디칼들의 수를 감소시킬 수 있다. 특정한 실시예들에서, 약 2:1 내지 10:1의 종횡비에 대해, 실리콘 카바이드 막은 약 25 % 내지 100 %, 보다 통상적으로 약 50 % 내지 100 %, 훨씬 보다 통상적으로 약 80 % 내지 100 %의 컨포멀성으로 증착될 수도 있다. 컨포멀성은 피처의 하단부, 측벽, 또는 상단부 상에 증착된 막의 평균 두께를 피처의 하단부, 측벽, 또는 상단부 상에 증착된 막의 평균 두께와 비교함으로써 산출될 수도 있다. 예를 들어, 컨포멀성은 피처의 측벽 상에 증착된 막의 평균 두께를 피처의 상단부에 증착된 막의 평균 두께로 나누고 백분율을 얻기 위해 100을 곱함으로써 산출될 수도 있다. 특정한 애플리케이션들에서, 약 85 % 내지 95 %의 컨포멀성이 충분하다. 약 2:1 내지 약 4:1의 종횡비를 갖는 피처들 상에 실리콘 카바이드를 증착하는 일부 예들에서, 컨포멀성은 적어도 약 90 %이다. 특정한 BEOL (back end of line) 프로세스들은 범주 내에 들어간다. 약 4:1 내지 약 6:1의 종횡비를 갖는 피처들 상에 실리콘 카바이드를 증착하는 일부 예들에서, 컨포멀성은 적어도 약 80 %이다. 특정한 스페이서 증착 프로세스들은 이 범주 내에 들어간다. 약 7:1 내지 약 10:1 (심지어 그 이상) 의 종횡비를 갖는 피처들 상에 실리콘 카바이드를 증착하는 일부 예들에서, 컨포멀성은 적어도 약 90 %이다. 특정한 DRAM (dynamic random access memory) 제조 프로세스들이 이 범주 내에 들어간다.The process conditions previously described herein can provide highly conformal film structures. Relatively mild process conditions can minimize the degree of ion bombardment at the surface of the substrate so that the deposition is non-directional. Also, relatively mild process conditions can reduce the number of radicals with high adhesion coefficients that tend to adhere on the sidewalls of previously deposited layers or films. In certain embodiments, for an aspect ratio of between about 2:1 and 10:1, the silicon carbide film may have between about 25% and 100%, more typically between about 50% and 100%, even more typically between about 80% and 100%. It can also be deposited conformally. Conformality may be calculated by comparing the average thickness of the film deposited on the bottom, sidewall, or top of the feature to the average thickness of the film deposited on the bottom, sidewall, or top of the feature. For example, conformality may be calculated by dividing the average thickness of the film deposited on the sidewall of the feature by the average thickness of the film deposited on the top of the feature and multiplying by 100 to obtain a percentage. For certain applications, a conformability of about 85% to 95% is sufficient. In some examples of depositing silicon carbide on features having an aspect ratio of about 2:1 to about 4:1, the conformality is at least about 90%. Certain back end of line (BEOL) processes fall within this category. In some examples of depositing silicon carbide on features having an aspect ratio of about 4:1 to about 6:1, the conformality is at least about 80%. Certain spacer deposition processes fall within this category. In some examples of depositing silicon carbide on features having an aspect ratio of about 7:1 to about 10:1 (and even higher), the conformality is at least about 90%. Certain dynamic random access memory (DRAM) manufacturing processes fall into this category.

프로세스 조건들은 또한 고 항복 전압 및 저 누설 전류를 갖는 막 구조를 제공할 수 있다. 제한된 양의 산소 또는 질소를 SiC류의 재료에 도입함으로써, Si-H 결합들 및/또는 Si-CH2-Si 결합들에 의해 제공된 누설 경로들은 산소 또는 질소에 의해 차단될 수도 있다. 도전 모드는 낮은 장들 (low fields) 에서 Si-O 및 Si-N에서 상이할 수도 있다. 이는 상대적으로 낮은 유전 상수를 유지하면서 개선된 전기적 속성들을 제공할 수 있다. 다양한 실시예들에서, 막은 약 5 이하, 또는 약 4.0 이하, 그리고 일부 경우들에서 약 3.5 이하, 그리고 일부 경우들에서, 약 3.0 이하, 그리고 또 다른 구현예들에서 약 2.5 이하의 유효 유전 상수를 갖는다. 유효 유전 상수는 결합 및 밀도에 따를 수 있다. 특정한 실시예들에서, SiOC 막들은, 특히 탄소 함량이 상대적으로 높을 때 6 이상의 유전 상수로 이루어진다. 누설 전류가 중요한 요인일 때, SiOC는 5보다 작아야 한다. 보다 낮아지면, 밀폐도 (hermetic) 및 배리어 및 열 저항 속성들이 보다 나빠질 것이다. 일부 실시예들에서, 애플리케이션들이 낮은 밀폐도 및 확산 한계들, 우수한 에칭 내성, 열 안정성, 등을 요구하면, 실리콘 카바이드 막은 치밀해지고 매우 교차결합할 수도 있다. 이는 예를 들어, a) 상대적으로 고온에서 막을 증착하고, 그리고/또는 b) 상대적으로 높은 라디칼들:전구체 비를 제공함으로써 달성될 수 있다. 일부 실시예들에서, 실리콘 카바이드 막은 상대적으로 얇을 수도 있고 여전히 효과적인 밀폐 또는 확산 배리어로서 역할을 할 수 있다.The process conditions can also provide a film structure with high breakdown voltage and low leakage current. By introducing a limited amount of oxygen or nitrogen into the SiC-type material, leakage paths provided by Si-H bonds and/or Si-CH 2 -Si bonds may be blocked by oxygen or nitrogen. The conduction mode may be different for Si-O and Si-N in low fields. This can provide improved electrical properties while maintaining a relatively low dielectric constant. In various embodiments, the film has an effective dielectric constant of about 5 or less, or about 4.0 or less, and in some cases about 3.5 or less, and in some cases about 3.0 or less, and in still other embodiments about 2.5 or less. have The effective dielectric constant may depend on bonding and density. In certain embodiments, SiOC films consist of a dielectric constant of 6 or greater, especially when the carbon content is relatively high. When leakage current is a significant factor, SiOC should be less than 5. The lower it is, the worse the hermetic and barrier and heat resistance properties will be. In some embodiments, if the applications require low hermeticity and diffusion limits, good etch resistance, thermal stability, etc., the silicon carbide film may become dense and highly cross-linked. This can be achieved, for example, by a) depositing the film at a relatively high temperature, and/or b) providing a relatively high radicals:precursor ratio. In some embodiments, the silicon carbide film may be relatively thin and still serve as an effective hermetic or diffusion barrier.

일부 실시예들에서, 증착된 막은 다공성일 수 있다. 본 명세서에서 앞서 논의된 바와 같이, 실리콘-함유 전구체들은 환형 실록산들 및 케이징된 실록산들을 포함할 수 있다. 이들 전구체들, 및 충분한 내부 개방 공간을 갖는 다른 전구체들은 증착된 막의 구조 내로 충분한 다공성을 도입할 수 있다. 증착된 막 내의 다공성은 유전 상수를 더 보다 낮출 수 있다. 일부 실시예들에서, 증착된 실리콘 카바이드 막의 다공성은 약 20 % 내지 50 %이다. 다공성 막의 기공 사이즈는 순환적 전구체 또는 케이징된 전구체의 크기를 따를 수도 있다. 특정한 실시예들에서, 막의 평균 기공 사이즈는 약 5 Å 내지 20 Å, 예컨대 약 16 Å이다.In some embodiments, the deposited film may be porous. As previously discussed herein, silicon-containing precursors may include cyclic siloxanes and caged siloxanes. These precursors, and other precursors with sufficient internal open space, can introduce sufficient porosity into the structure of the deposited film. Porosity in the deposited film can further lower the dielectric constant. In some embodiments, the porosity of the deposited silicon carbide film is between about 20% and 50%. The pore size of the porous membrane may follow the size of the cyclic precursor or the caged precursor. In certain embodiments, the average pore size of the membrane is between about 5 Å and 20 Å, such as about 16 Å.

장치Device

본 개시의 일 양태는 본 명세서에 기술된 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 실시예들에서, 전술한 프로세스 조건들을 수행하기 위한 장치는 리모트 플라즈마 소스를 포함할 수 있다. 리모트 플라즈마 소스는 직접 플라즈마와 비교하여, 마일드한 반응 조건들을 제공한다. 적합한 리모트 플라즈마 장치의 예는, 전체가 본 명세서에 참조로서 인용된 2013년 10월 24일 출원된 미국 특허 출원번호 제 14/062,648 호에 기술된다.One aspect of the present disclosure is an apparatus configured to accomplish the methods described herein. A suitable apparatus includes a system controller having hardware for accomplishing process operations and instructions for controlling process operations according to the present disclosure. In some embodiments, an apparatus for performing the process conditions described above may include a remote plasma source. The remote plasma source provides mild reaction conditions compared to direct plasma. An example of a suitable remote plasma device is described in US Patent Application Serial No. 14/062,648, filed on October 24, 2013, which is hereby incorporated by reference in its entirety.

도 3은 특정한 실시예들에 따른 리모트 플라즈마 장치의 개략도를 제공한다. 디바이스 (300) 는 샤워헤드 어셈블리 (320) 를 갖는 반응 챔버 (310) 를 포함한다. 반응 챔버 (310) 내부에서, 기판 (330) 이 스테이지 또는 페데스탈 (335) 상에 놓인다. 일부 실시예들에서, 페데스탈 (335) 은 가열/냉각 엘리먼트에 피팅 (fit) 될 수 있다. 제어기 (340) 는 디바이스 (300) 의 동작을 제어하기 위해 디바이스 (300) 의 컴포넌트들에 연결될 수도 있다. 예를 들어, 제어기 (340) 는 온도 프로세스 조건들 및/또는 압력 프로세스 조건들과 같은, 디바이스 (300) 의 동작들을 위한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다.3 provides a schematic diagram of a remote plasma device according to certain embodiments. Device 300 includes a reaction chamber 310 having a showerhead assembly 320 . Inside the reaction chamber 310 , a substrate 330 is placed on a stage or pedestal 335 . In some embodiments, pedestal 335 can be fitted to a heating/cooling element. A controller 340 may be coupled to components of device 300 to control operation of device 300 . For example, controller 340 may include instructions for controlling process conditions for operations of device 300 , such as temperature process conditions and/or pressure process conditions.

동작 동안, 가스들 또는 가스 혼합물들은 반응 챔버 (310) 에 커플링된 하나 이상의 가스 유입부들을 통해 반응 챔버 (310) 내로 도입된다. 일부 실시예들에서, 2 이상의 가스 유입부들은 반응 챔버 (310) 에 커플링된다. 제 1 가스 유입부 (355) 는 반응 챔버 (310) 에 커플링되고, 용기 (350) 에 연결될 수 있고, 그리고 제 2 가스 유입부 (365) 는 반응 챔버 (310) 에 커플링되고, 리모트 플라즈마 소스 (360) 에 연결될 수 있다. 리모트 플라즈마 구성들을 포함하는 실시예들에서,전구체들 및 리모트 플라즈마 소스 내에서 생성된 라디칼 종을 위한 전달 라인들은 분리된다. 따라서, 전구체들 및 라디칼 종은 기판 (330) 에 도달하기 전에 실질적으로 상호작용하지 않는다.During operation, gases or gas mixtures are introduced into the reaction chamber 310 through one or more gas inlets coupled to the reaction chamber 310 . In some embodiments, two or more gas inlets are coupled to the reaction chamber 310 . A first gas inlet 355 is coupled to the reaction chamber 310, can be connected to the vessel 350, and a second gas inlet 365 is coupled to the reaction chamber 310, the remote plasma source 360. In embodiments involving remote plasma configurations, delivery lines for precursors and radical species generated within the remote plasma source are separate. Thus, the precursors and radical species do not substantially interact before reaching the substrate 330 .

하나 이상의 라디칼 종이 리모트 플라즈마 소스 (360) 내에서 생성될 수도 있고, 가스 유입부 (365) 를 통해 반응 챔버 (310) 내로 들어가도록 구성된다. 임의의 타입의 플라즈마 소스가 라디컬 종을 생성하기 위해 리모트 플라즈마 소스 (360) 내에서 사용될 수도 있다. 이는 이로 제한되는 것은 아니지만, 용량 결합된 플라즈마들, 유도 결합된 플라즈마들, 마이크로파 플라즈마들, DC 플라즈마들, 및 레이저-생성된 플라즈마들을 포함한다. 용량 결합된 플라즈마의 예는 RF (radio frequency) 플라즈마일 수 있다. 고주파수 플라즈마는 13.56 ㎒ 이상에서 동작하도록 구성될 수 있다. 이러한 리모트 플라즈마 소스 (360) 의 예는, 캘리포니아 산호세 소재의 Novellus Systems에 의해 제작된 GAMMA®이다. 이러한 RF 리모트 플라즈마 소스 (360) 의 또 다른 예는, 440 ㎑에서 동작할 수 있고 하나 이상의 기판들을 동시에 프로세싱하기 위해 보다 대형의 장치에 장착된 서브유닛으로서 제공될 수 있는, 메사추세츠, 윌밍톤 소재의 MKS Instruments에 의해 제작된 Astron®이다. 일부 실시예들에서, 마이크로파 플라즈마는 또한 MKS Instruments에 의해 제작된 Astex®와 같은 리모트 플라즈마 소스 (360) 로서 사요용될 수 있다. 마이크로파 플라즈마는 2.45 ㎓의 주파수에서 동작하도록 구성될 수 있다. 리모트 플라즈마 소스에 제공된 가스는 수소, 질소, 산소, 및 본 명세서의 다른 곳에 언급된 바와 같은, 다른 가스들을 포함할 수도 있다. 특정한 실시예들에서, 수소가 헬륨과 같은 캐리어에 제공된다. 예로서, 수소 가스는 약 1 내지 10 %의 수소 농도로 헬륨에 제공될 수도 있다.One or more radical species may be generated within remote plasma source 360 and are configured to enter reaction chamber 310 via gas inlet 365 . Any type of plasma source may be used within remote plasma source 360 to generate radical species. This includes, but is not limited to, capacitively coupled plasmas, inductively coupled plasmas, microwave plasmas, DC plasmas, and laser-generated plasmas. An example of a capacitively coupled plasma may be a radio frequency (RF) plasma. The high frequency plasma may be configured to operate above 13.56 MHz. An example of such a remote plasma source 360 is the GAMMA® manufactured by Novellus Systems of San Jose, Calif. Another example of such an RF remote plasma source 360 is of Wilmington, Massachusetts, which can operate at 440 kHz and can be provided as a subunit mounted in a larger apparatus for processing one or more substrates simultaneously. Astron® manufactured by MKS Instruments. In some embodiments, a microwave plasma may also be used as a remote plasma source 360 such as Astex® manufactured by MKS Instruments. The microwave plasma may be configured to operate at a frequency of 2.45 GHz. The gas provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases, as noted elsewhere herein. In certain embodiments, hydrogen is provided to a carrier such as helium. As an example, hydrogen gas may be provided in helium at a hydrogen concentration of about 1 to 10%.

전구체들은 용기 (350) 내에 제공될 수 있고 제 1 가스 유입부 (355) 를 통해 샤워헤드 (320) 로 공급될 수 있다. 샤워헤드 (320) 는 기판 (330) 을 향해 반응 챔버 (310) 내로 전구체들을 분배한다. 기판 (330) 은 샤워헤드 (320) 아래에 위치될 수 있다. 샤워헤드 (320) 는 임의의 적합한 형상을 가질 수 있고, 가스들을 기판 (330) 으로 분배하기 위해 임의의 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다. 전구체들은 샤워헤드 (320) 로 공급될 수 있고 궁극적으로 제어된 플로우 레이트로 기판 (330) 에 공급될 수 있다.Precursors may be provided in vessel 350 and supplied to showerhead 320 via first gas inlet 355 . The showerhead 320 dispenses the precursors into the reaction chamber 310 toward the substrate 330 . Substrate 330 can be positioned below showerhead 320 . It will be appreciated that the showerhead 320 may have any suitable shape and may have any number and arrangement of ports for distributing gases to the substrate 330 . Precursors can be supplied to the showerhead 320 and ultimately to the substrate 330 at a controlled flow rate.

리모트 플라즈마 소스 (360) 내에서 형성된 하나 이상의 라디칼 종은 가스 상으로 기판 (330) 을 향해 반송된다. 하나 이상의 라디칼 종은 제 2 가스 유입부 (365) 를 통해 반응 챔버 (310) 내로 흐를 수 있다. 도 3에 예시된 바와 같이 제 2 가스 유입부 (365) 는 기판 (330) 의 표면을 가로지를 필요는 없다는 것이 이해될 것이다. 특정한 실시예들에서, 제 2 가스 유입부 (365) 는 기판 (330) 바로 위 또는 다른 위치들에 있을 수 있다. 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 사이의 거리는 리모트 플라즈마 소스 (360) 내에서 생성된 이온화된 종이 실질적으로 중성화되지만, 실질적으로 저 에너지 상태들의 적어도 일부 라디칼 종은 기판 (330) 에 인접한 분위기에 남아있도록, 마일드한 반응성 조건들을 제공하도록 구성될 수 있다. 이러한 저 에너지 상태 라디칼 종은 안정한 화합물들을 형성하도록 재결합되지 않는다. 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 사이의 거리는 플라즈마의 공격성 (aggressiveness) (예를 들어, 소스 RF 전력 레벨에 의해 부분적으로 결정됨), 플라즈마 내 가스의 밀도 (예를 들어, 고 농도의 수소 원자들이 있다면, 반응 챔버 (310) 에 도달하기 전에 수소 원자의 상당한 분율이 H2를 형성하도록 재결합할 수도 있음), 및 다른 인자들의 함수일 수 있다. 일부 실시예들에서, 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 간의 거리는 약 1 ㎝ 내지 30 ㎝, 예컨대 약 5 ㎝ 또는 약 15 ㎝일 수 있다.One or more radical species formed within the remote plasma source 360 are transported toward the substrate 330 in the gaseous phase. One or more radical species can flow into the reaction chamber 310 through the second gas inlet 365 . It will be appreciated that the second gas inlet 365 need not cross the surface of the substrate 330 as illustrated in FIG. 3 . In certain embodiments, the second gas inlet 365 can be directly above the substrate 330 or at other locations. The distance between the remote plasma source 360 and the reaction chamber 310 substantially neutralizes ionized species generated within the remote plasma source 360, but at least some radical species in substantially lower energy states proximate the substrate 330. It can be configured to provide mild reactive conditions, such as to remain in the atmosphere. These lower energy state radical species do not recombine to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 is determined in part by the aggressiveness of the plasma (eg, the source RF power level), the density of the gases in the plasma (eg, high concentrations of If there are hydrogen atoms, a significant fraction of the hydrogen atoms may recombine to form H 2 before reaching the reaction chamber 310), and other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 can be between about 1 cm and 30 cm, such as about 5 cm or about 15 cm.

일부 실시예들에서, 주 실리콘-함유 전구체 또는 수소 라디칼이 아닌, 공-반응물질이 증착 반응 동안 도입될 수도 있다. 일부 구현예들에서, 장치는 제 2 가스 유입부 (365) 를 통해 공-반응물질을 도입하도록 구성되고, 이 경우 공-반응물질은 적어도 부분적으로 플라즈마로 변환된다. 일부 구현예들에서, 장치는 제 1 가스 유입부 (355) 를 경유하여 샤워헤드 (320) 를 통해 공-반응물질을 도입하도록 구성된다. 공-반응물질의 예들은 산소, 질소, 이산화탄소, 등을 포함한다.In some embodiments, a co-reactant other than the main silicon-containing precursor or hydrogen radical may be introduced during the deposition reaction. In some implementations, the apparatus is configured to introduce a co-reactant through the second gas inlet 365, wherein the co-reactant is at least partially converted to a plasma. In some implementations, the apparatus is configured to introduce a co-reactant through the showerhead 320 via the first gas inlet 355 . Examples of co-reactants include oxygen, nitrogen, carbon dioxide, and the like.

제어기 (340) 는 디바이스 (300) 의 동작을 위한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (340) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들은 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기 (340) 와 연관된 메모리 디바이스들 상에 저장될 수도 있고 또는 네트워크를 통해 제공될 수도 있다.Controller 340 may include instructions for controlling process conditions for operation of device 300 . Controller 340 will typically include one or more memory devices and one or more processors. A processor may include a CPU or computer, analog input/output connections and/or digital input/output connections, stepper motor controller boards, and the like. Instructions to implement the appropriate control operations are executed on the processor. These instructions may be stored on memory devices associated with controller 340 or provided over a network.

특정한 실시예들에서, 제어기 (340) 는 본 명세서에 기술된 반도체 프로세싱 장치 (300) 의 모든 또는 대부분의 액티비티들을 제어한다. 예를 들어, 제어기 (340) 는 실리콘 카바이드 막을 증착하는 것과 연관된 반도체 프로세싱 디바이스 (300) 의 모든 또는 대부분의 액티비티들, 및 선택가능하게, 실리콘 카바이드 막들을 포함하는 제조 플로우의 다른 동작들을 제어할 수도 있다. 제어기 (340) 는 타이밍, 가스 조성, 가스 플로우 레이트들, 챔버 압력, 챔버 온도, RF 전력 레벨들, 기판 위치, 및/또는 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행할 수도 있다. 제어기 (340) 와 연관된 메모리 디바이스들에 저장된 다른 컴퓨터 프로그램들, 스크립트들, 또는 루틴들이 일부 실시예들에서 채용될 수도 있다. 기판 (330) 에 인접한 분위기에서 상대적으로 마일드한 반응성 조건들을 제공하기 위해, RF 전력 레벨들, 리모트 플라즈마 영역으로의 가스 플로우 레이트, 및 플라즈마 점화 타이밍과 같은 파라미터들은 제어기 (340) 에 의해 조정될 수 있고 유지될 수 있다. 부가적으로, 기판 위치를 조정하는 것은 기판 (330) 에 인접한 분위기에서 고 에너지 라디칼 종의 존재를 더 감소시킬 수도 있다. 복수 스테이션 반응기에서, 제어기 (340) 는 상이한 장치 스테이션들에 대해 상이하거나 동일한 인스트럭션들을 포함할 수도 있어서, 장치 스테이션들로 하여금 독립적으로 또는 동기하여 동작하게 한다.In certain embodiments, the controller 340 controls all or most activities of the semiconductor processing apparatus 300 described herein. For example, controller 340 may control all or most activities of semiconductor processing device 300 associated with depositing a silicon carbide film and, optionally, other operations of a fabrication flow involving silicon carbide films. there is. Controller 340 may execute system control software that includes sets of instructions for controlling timing, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power levels, substrate position, and/or other parameters. there is. Other computer programs, scripts, or routines stored on memory devices associated with controller 340 may be employed in some embodiments. Parameters such as RF power levels, gas flow rate to the remote plasma region, and plasma ignition timing can be adjusted by controller 340 to provide relatively mild reactivity conditions in the atmosphere adjacent to substrate 330. can be maintained Additionally, adjusting the substrate position may further reduce the presence of high-energy radical species in the atmosphere adjacent to the substrate 330 . In a multi-station reactor, controller 340 may include different or identical instructions for different machine stations, causing the machine stations to operate independently or in synchronization.

일부 실시예들에서, 제어기 (340) 는 제 1 가스 유입부 (355) 를 통해 반응 챔버 (310) 내로 실리콘-함유 전구체를 흘리는 동작, 리모트 플라즈마 소스 (360) 로부터 실질적으로 저 에너지 상태의 하나 이상의 라디칼 종을 제공하는 동작, 및 기판 (330) 상에 실리콘 카바이드 막을 형성하기 위해 실리콘-함유 전구체와 반응하도록 제 2 가스 유입부 (365) 를 통해 반응 챔버 (310) 내로 하나 이상의 라디칼 종을 흘리는 동작과 같은 동작들을 수행하기 위한 인스트럭션들을 포함할 수도 있다.In some embodiments, the controller 340 controls the flow of a silicon-containing precursor into the reaction chamber 310 through the first gas inlet 355 , one or more substantially low energy states from the remote plasma source 360 . providing radical species and flowing one or more radical species into reaction chamber 310 through second gas inlet 365 to react with the silicon-containing precursor to form a silicon carbide film on substrate 330; It may also include instructions for performing operations such as.

일부 실시예들에서, 장치는 제어기 (340) 와 연관된 사용자 인터페이스를 포함할 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.In some embodiments, the apparatus may include a user interface associated with controller 340 . The user interface may include a display screen, graphical software displays of apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, and the like.

상기 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 통상적인 컴퓨터 판독가능한 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다.Computer program code for controlling the operations may be written in any conventional computer readable programming language: eg assembly language, C, C++, Pascal, Fortran, etc. The compiled object code or script is executed by the processor to perform the tasks identified in the program.

프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세싱 시스템의 아날로그 출력 접속부 및/또는 디지털 출력 접속부 상에 출력된다.Signals for monitoring the process may be provided by analog input connections and/or digital input connections of the system controller. Signals for controlling the process are output on analog output connections and/or digital output connections of the processing system.

일반적으로, 본 명세서에 기술된 방법들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 과 같은, 반도체 프로세싱 장비를 포함하는 시스템들 상에서 수행될 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 일반적으로, 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 제어기로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.Generally, the methods described herein may be performed on semiconductor processing equipment, such as a processing tool or tools, a chamber or chambers, a platform or platforms for processing, and/or certain processing components (wafer pedestal, gas flow system, etc.) It can be performed on systems that include. These systems may be integrated with electronics to control their operation before, during and after processing of a semiconductor wafer or substrate. Electronic devices are generally referred to as controllers that may control various components or subparts of a system or systems. The controller controls delivery of processing gases, temperature settings (eg, heating and/or cooling), pressure settings, vacuum settings, power settings, depending on the processing requirements and/or type of system. , radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid transfer settings, position and operation settings, tools and other transfer tools, and/or It may be programmed to control any of the processes disclosed herein, including transfers of wafers into and out of loadlocks coupled to or interfaced with a particular system.

일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들 (예를 들어, 실리콘 카바이드), 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.Generally speaking, a controller has various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, and the like. It may also be defined as an electronic device. Integrated circuits are chips in the form of firmware that store program instructions, chips defined as digital signal processors (DSPs), application specific integrated circuits (ASICs) and/or one that executes program instructions (eg, software). It may include the above microprocessors or microcontrollers. Program instructions may be instructions passed to a controller or system in the form of various individual settings (or program files) that specify operating parameters for executing a specific process on or on a semiconductor wafer. In some embodiments, operating parameters are set by a process engineer to accomplish one or more processing steps during fabrication of one or more layers, materials (eg, silicon carbide), surfaces, circuits, and/or dies of a wafer. It can also be part of a prescribed recipe.

제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.A controller, in some implementations, may be part of or coupled to a computer, which may be integrated into, coupled to, or otherwise networked to the system, or a combination thereof. For example, the controller may be all or part of a fab host computer system that may enable remote access of wafer processing or may be in the "cloud." The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from multiple manufacturing operations, changes parameters of current processing, and executes processing steps following current processing. You can also enable remote access to the system to set up, or start new processes. In some examples, a remote computer (eg, server) can provide process recipes to the system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings that are then transferred from the remote computer to the system. In some examples, the controller receives instructions in the form of data that specify parameters for each of the process steps to be performed during one or more operations. It should be understood that these parameters may be specific to the type of tool the controller is configured to control or interface with and the type of process to be performed. Thus, as described above, a controller may be distributed, for example by including one or more separate controllers that are networked together and cooperate together for a common purpose, for example, for the processes and controls described herein. An example of a distributed controller for this purpose is one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (e.g., at platform level or as part of a remote computer) that are combined to control processes on the chamber. can be circuits.

본 명세서에 기술된 실리콘 카바이드 증착에 부가하여, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.In addition to the silicon carbide deposition described herein, exemplary systems include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, a bevel edge etch chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer etch (ALE) chamber or module, ion implantation chamber or module, track ( track) chamber or module, and any other semiconductor processing systems that may be used in or associated with the fabrication and/or fabrication of semiconductor wafers.

상기에 주지된 바와 같이, 툴에 의해 수행될 프로세스 단계 또는 단계들에 따라, 제어기는 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.As noted above, depending on the process step or steps to be performed by the tool, the controller is used in material transfer to move containers of wafers to and from tool locations and/or load ports in a semiconductor fabrication plant. , other tool circuits or modules, other tool components, cluster tools, other tool interfaces, neighboring tools, neighboring tools, tools located throughout the plant, the main computer, another controller or tools. there is.

애플리케이션들applications

본 개시는, 고품질 실리콘 카바이드 막들에 대한 이하의 애플리케이션들을 참조함으로써 더 이해될 수도 있고, 애플리케이션들은 순수하게 예시로서 의도된다. 본 개시는 본 개시의 양태들의 단순한 예시들인, 명시된 애플리케이션들에 의해 범위가 제한되지 않는다.This disclosure may be further understood by referring to the following applications for high quality silicon carbide films, the applications being intended purely as examples. This disclosure is not limited in scope by the specified applications, which are merely examples of aspects of the disclosure.

일부 실시예들에서, 실리콘 카바이드 막은 노출된 구리 위에 증착될 수도 있다. 실리콘 카바이드 막 증착 시, 기판에 인접한 반응 조건들은 이들의 라디칼들을 포함하여 O2, O3, 및 CO2와 같은 산화제들이 없을 수 있다. 따라서, 실리콘 카바이드 막은 구리를 산화 (예를 들어, 산화 제 2 구리를 생성) 하지 않고 노출된 구리 바로 위에 증착될 수도 있다. 이러한 막들은 에칭 정지층들로서 역할을 할 수 있고, 또한 구리 확산 배리어들로서 역할을 할 수 있다. 실리콘 카바이드 막의 존재는 확산 배리어로서 역할을 하기에 우수한 누설 속성들을 갖는 충분히 저 유전 상수를 제공할 수 있다. 실리콘 카바이드 막은 홀로 또는 바이레이터 스택 (bilayer stack) (예를 들어, 노출된 구리 위에 증착된 실리콘 카바이드/SiNC 바이레이어) 으로서 에칭 정지 및/또는 확산 배리어일 수 있다. 일부 실시예들에서, 실리콘 카바이드 막은 통상적으로 다마신 프로세스에 의해 생성된 인접한 금속화층들 사이에 위치될 수 있다. 실리콘 카바이드 막은 에칭을 견딜 수 있고 유전체 재료의 인접한 영역들 내로 구리 이온들의 확산을 최소화하기에 충분히 치밀할 수 있다. 일부 실시예들에서, 실리콘 카바이드 막으로 채용된 전구체는 비순환적일 수 있다. 비순환적 전구체들은 PMDSO 또는 TMDSO를 포함할 수 있다. 비순환적 전구체는 밀폐 또는 확산 배리어로서 역할을 하도록 충분히 고 밀도를 제공할 수 있다. 일부 실시예들에서, 질소는 질소-함유 전구체들 또는 플라즈마 활성화 질소-함유 라디칼들, 예컨대 원소적 질소 라디칼들 또는 아민 라디칼들을 채용함으로써 막 내로 통합될 수도 있다.In some embodiments, a silicon carbide film may be deposited over the exposed copper. In silicon carbide film deposition, reaction conditions adjacent to the substrate may be free of oxidizing agents such as O 2 , O 3 , and CO 2 including their radicals. Thus, a silicon carbide film may be deposited directly over the exposed copper without oxidizing the copper (eg, forming cupric oxide). These films can serve as etch stop layers, and can also serve as copper diffusion barriers. The presence of a silicon carbide film can provide a sufficiently low dielectric constant with good leakage properties to serve as a diffusion barrier. The silicon carbide film can be an etch stop and/or diffusion barrier, either alone or as a bilayer stack (eg, a silicon carbide/SiNC bilayer deposited over exposed copper). In some embodiments, a silicon carbide film may be placed between adjacent metallization layers, typically produced by a damascene process. The silicon carbide film can withstand etching and be sufficiently dense to minimize diffusion of copper ions into adjacent regions of the dielectric material. In some embodiments, the precursor employed for the silicon carbide film may be non-cyclic. Acyclic precursors may include PMDSO or TMDSO. Acyclic precursors can provide sufficiently high densities to serve as confining or diffusion barriers. In some embodiments, nitrogen may be incorporated into the film by employing nitrogen-containing precursors or plasma activated nitrogen-containing radicals, such as elemental nitrogen radicals or amine radicals.

일부 실시예들에서, 실리콘 카바이드 막은 금속 구조체 또는 반도체 구조체에 인접한 수직 구조체들로서 증착될 수도 있다. 실리콘 카바이드의 증착은 수직 구조체를 생성하기 위해 금속 구조 또는 반도체 구조의 측벽들을 따라 우수한 단차 커버리지를 제공한다. 특정한 실시예들에서, 수직 구조체들은 스페이서들 또는 라이너들로서 지칭될 수도 있다. 도 1b는 트랜지스터의 게이트 전극 구조체의 측벽들 상에 증착된 실리콘 카바이드 라이너들의 단면을 예시한다. 도 1b에 예시된 바와 같이, 트랜지스터는 소스 (112) 및 드레인 (113) 을 갖는 실리콘 기판 (110) 을 갖는 CMOS 트랜지스터일 수 있다. 트랜지스터를 형성하기 위해, 게이트 유전체 (114) 는 실리콘 기판 (110) 위에 증착될 수 있고, 게이트 전극은 게이트 유전체 (114) 위에 증착될 수 있다. 실리콘 카바이드 라이너들 (111) 은 게이트 전극 (115) 및 게이트 유전체 (114) 의 측벽들 상에 증착될 수 있다. 또 다른 예에서, 도 1c는 에어 갭 타입 금속화층의 노출된 구리 라인들의 측벽들 상에 증착된 실리콘 카바이드의 단면을 예시한다. 에어 갭들 (120) 은 층의 유효 k-값을 감소시킬 수 있는 구리 라인들 (122) 사이의 집적 회로층 내로 도입될 수 있다. 실리콘 카바이드 라이너들 (121) 은 구리 라인들 (122) 의 측벽들 상에 증착될 수 있고, 컨포멀하지 않은 유전체층 (123) 은 에어 갭들 (120), 라이너들 (121), 및 구리 라인들 (122) 상에 증착될 수 있다. 이러한 에어 갭 타입 금속화층들의 예들은, 본 명세서에 참조로서 인용된, Fei Wang 등의 미국 특허 공보 제 2004/0232552 호에 기술된다.In some embodiments, the silicon carbide film may be deposited as vertical structures adjacent to a metal or semiconductor structure. Deposition of silicon carbide provides good step coverage along the sidewalls of a metal or semiconductor structure to create a vertical structure. In certain embodiments, vertical structures may be referred to as spacers or liners. 1B illustrates a cross-section of silicon carbide liners deposited on sidewalls of a gate electrode structure of a transistor. As illustrated in FIG. 1B , the transistor can be a CMOS transistor having a silicon substrate 110 having a source 112 and a drain 113 . To form a transistor, a gate dielectric 114 can be deposited over a silicon substrate 110 and a gate electrode can be deposited over the gate dielectric 114 . Silicon carbide liners 111 can be deposited on the sidewalls of the gate electrode 115 and gate dielectric 114 . In another example, FIG. 1C illustrates a cross-section of silicon carbide deposited on sidewalls of exposed copper lines of an air gap type metallization layer. Air gaps 120 can be introduced into the integrated circuit layer between the copper lines 122 which can reduce the effective k-value of the layer. Silicon carbide liners 121 can be deposited on the sidewalls of the copper lines 122, and a non-conformal dielectric layer 123 can be applied to the air gaps 120, the liners 121, and the copper lines ( 122) may be deposited on it. Examples of such air gap type metallization layers are described in US Patent Publication No. 2004/0232552 to Fei Wang et al., incorporated herein by reference.

일부 실시예들에서, 실리콘 카바이드 막은 패터닝된 다공성 유전체 재료들의 측벽들 상에 증착될 수도 있다. 초저-k 유전체 재료들은 다공성 구조들로부터 이루어질 수 있다. 이러한 재료들 내의 기공들은, 탄탈륨 (Ta) 과 같은 금속을 함유하는 확산 배리어들의 증착을 포함하는, 후속하는 층들의 증착 동안 금속의 침투 (ingress) 를 위한 구역들을 제공할 수 있다. 너무 많은 금속이 유전체 재료로 이동하면, 유전체 재료는 인접한 구리 금속화 라인들 사이에 쇼트 회로를 제공할 수도 있다. 도 1d는 다공성 유전체 재료들에 대한 기공 시일제로서 실리콘 카바이드의 단면을 예시한다. 다공성 유전체층 (132) 은 기공들 (130) 을 형성하도록 다공성 유전체층 (132) 내로 커팅되는 복수의 트렌치들 또는 비아들을 가질 수 있다. 실리콘 카바이드 (131) 는 기공들 (130) 을 효과적으로 시일링하기 위해 기공들 (130) 을 따라 증착될 수 있다. 실리콘 카바이드 (131) 를 사용하여 기공들 (130) 을 시일링하는 것은, 그렇지 않으면 플라즈마를 사용하는 다른 시일링 기법들에 의해 초래될 수도 있는, 다공성 유전체층 (132) 이 대미지되는 것을 방지할 수 있다. 실리콘 카바이드 (131) 는 기공 시일제로서 충분히 치밀할 수 있고 비순환적 실리콘-함유 전구체들, 예컨대 PMDSO 및 TMDSO를 포함할 수도 있다. 일부 실시예들에서, 다공성 유전체층 (132) 과 같은 에칭된 유전체 재료는 먼저 다공성 유전체층 (132) 을 UV 복사선 및 환원제에 노출시키는, "k-복구" 프로세스에 의해 처리될 수도 있다. 이 복구 프로세스는 모든 목적들을 위해 본 명세서에 참조로서 인용된, 공동 소유된 Varadarajan 등의 미국 특허 공보 제 2011/0111533 호에 더 기술된다. 또 다른 "k-복구" 프로세스에서, 다공성 유전체층 (132) 은 UV 복사선 및 화학적 실릴화제 (silylating agent) 에 노출될 수 있다. 이 복구 프로세스는 모든 목적들을 위해 본 명세서에 참조로서 인용된, 공동 소유된 Varadarajan 등의 미국 특허 공보 제 2011/0117678 호에 더 기술된다. 기공들 (130) 을, 표면을 보다 친수성이 되게 하고 재료의 모노레이어를 제공하는, 복구 처리에 노출시킨 후, 컨포멀하게 증착된 실리콘 카바이드 (131) 층은 다공성 유전체층 (132) 의 기공들을 효과적으로 시일링하도록 증착될 수 있다.In some embodiments, a silicon carbide film may be deposited on the sidewalls of the patterned porous dielectric materials. Ultra low-k dielectric materials can be made from porous structures. Pores in these materials can provide areas for metal ingress during deposition of subsequent layers, including deposition of diffusion barriers containing metals such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may provide a short circuit between adjacent copper metallization lines. 1D illustrates a cross-section of silicon carbide as a pore sealant for porous dielectric materials. Porous dielectric layer 132 can have a plurality of trenches or vias cut into porous dielectric layer 132 to form pores 130 . Silicon carbide 131 can be deposited along the pores 130 to effectively seal the pores 130 . Sealing the pores 130 using silicon carbide 131 can prevent the porous dielectric layer 132 from being damaged, which may otherwise be caused by other sealing techniques using plasma. . Silicon carbide 131 may be sufficiently dense as a pore sealant and may include acyclic silicon-containing precursors such as PMDSO and TMDSO. In some embodiments, an etched dielectric material such as porous dielectric layer 132 may be treated by a “k-repair” process, which first exposes porous dielectric layer 132 to UV radiation and a reducing agent. This recovery process is further described in co-owned US Patent Publication No. 2011/0111533 to Varadarajan et al., incorporated herein by reference for all purposes. In another “k-repair” process, porous dielectric layer 132 can be exposed to UV radiation and a chemical silylating agent. This recovery process is further described in co-owned US Patent Publication No. 2011/0117678 to Varadarajan et al., incorporated herein by reference for all purposes. After exposing the pores 130 to a repair treatment, which renders the surface more hydrophilic and provides a monolayer of material, the conformally deposited layer of silicon carbide 131 effectively closes the pores of the porous dielectric layer 132. It can be deposited to seal.

일부 실시예들에서, 실리콘 카바이드 막은 초저-k 유전체 재료 자체로서 증착될 수도 있다. 초저-k 유전체들은 관례적으로 2.5 미만의 유전 상수를 갖는 재료들로서 규정되었다. 이러한 구성들에서, 실리콘 카바이드의 초저-k 유전체 재료는 다공성 유전체층일 수 있다. 유전체층의 기공들은 환형 실록산들 및 실세스퀴옥산들을 포함하는, 순환적 전구체 분자들 또는 케이징된 전구체 분자들을 사용함으로써 도입될 수 있다. 일 예에서, 실리콘 카바이드의 초저-k 유전체층의 다공성은 약 20 % 내지 50 %일 수 있다. 또한, 초저-k 유전체층은 약 100 Å 미만, 예컨대 약 5 Å 내지 20 Å의 평균 기공 사이즈를 가질 수 있다. 예를 들어, 사이클로실록산 링은 약 6.7 Å의 반경을 가질 수 있다. 기공들의 수 및 사이즈를 증가시키는 것은 유전 상수를 낮출 수 있지만, 매우 다공성이라면 유전체층의 기계적 무결성은 절충될 수 있다.In some embodiments, the silicon carbide film may be deposited as an ultra low-k dielectric material itself. Ultra low-k dielectrics have conventionally been defined as materials having a dielectric constant less than 2.5. In these configurations, the ultra-low-k dielectric material of silicon carbide may be a porous dielectric layer. Pores in the dielectric layer can be introduced by using cyclic precursor molecules or caged precursor molecules, including cyclic siloxanes and silsesquioxanes. In one example, the porosity of the ultra low-k dielectric layer of silicon carbide can be between about 20% and 50%. Also, the ultra-low-k dielectric layer can have an average pore size of less than about 100 Å, such as between about 5 Å and 20 Å. For example, a cyclosiloxane ring may have a radius of about 6.7 Å. Increasing the number and size of pores can lower the dielectric constant, but may compromise the mechanical integrity of the dielectric layer if it is very porous.

yes

도 4는 좌측은 알콕시기를 갖는 전구체를 사용하여 증착되고, 우측은 알콕시기를 갖지 않는 유사한 전구체를 사용하여 증착된 2개의 산소 도핑된 실리콘 카바이드 막들의 주사 전자 마이크로그래프를 제공한다. 전구체 차이들 외에, 장치 및 증착 조건들은 동일하다. 그 위에 막들이 증착되는 피처는 7:1의 종횡비 및 약 60 ㎚의 폭을 갖는다. 도시된 바와 같이, 알콕시-함유 전구체를 사용하여 생성된 막의 컨포멀성은 약 55 %이고 알콕시-프리 전구체를 사용하여 생성된 막의 컨포멀성은 약 75 %이다.4 provides a scanning electron micrograph of two oxygen-doped silicon carbide films deposited using a precursor with an alkoxy group on the left side and a similar precursor without an alkoxy group on the right side. Other than precursor differences, the equipment and deposition conditions are the same. The feature on which films are deposited has an aspect ratio of 7:1 and a width of about 60 nm. As shown, the film produced using the alkoxy-containing precursor has a conformality of about 55% and the film produced using the alkoxy-free precursor has a conformality of about 75%.

전술한 실시예들이 이해 및 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 기술된 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주된다.Although the foregoing embodiments have been described in some detail for purposes of understanding and clarity, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the described processes, systems, and apparatus. Accordingly, the present embodiments are to be regarded as illustrative and not limiting.

Claims (29)

산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법에 있어서,
반응 챔버 내의 기판으로 실리콘-함유 전구체를 흘리는 단계로서, 상기 실리콘-함유 전구체는 실리콘-실리콘 (Si-Si) 결합 또는 실리콘-수소 (Si-H) 결합 중 적어도 하나를 포함하고, 그리고 실리콘-탄소 (Si-C) 결합, 실리콘-질소 (Si-N) 결합, 또는 실리콘-산소 (Si-O) 결합 중 적어도 하나를 포함하는, 상기 실리콘-함유 전구체를 흘리는 단계;
소스 가스로부터, 상기 반응 챔버로부터 리모트인 플라즈마 소스에서 수소 라디칼들을 생성하는 단계; 및
상기 플라즈마 소스로부터 생성된 상기 수소 라디칼들을 상기 반응 챔버 내로 도입하는 단계로서, 상기 수소 라디칼들은 상기 기판 상에 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위해 상기 실리콘-함유 전구체와 반응하고, 그리고 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 상기 기판 상에서 적어도 90 %의 컨포멀성 (conformality) 을 갖는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
A method of depositing an oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film comprising:
flowing a silicon-containing precursor to a substrate in a reaction chamber, the silicon-containing precursor comprising at least one of a silicon-silicon (Si-Si) bond or a silicon-hydrogen (Si-H) bond, and a silicon-carbon flowing the silicon-containing precursor comprising at least one of (Si-C) bonds, silicon-nitrogen (Si-N) bonds, or silicon-oxygen (Si-O) bonds;
generating hydrogen radicals from a source gas in a plasma source remote from the reaction chamber; and
introducing the hydrogen radicals generated from the plasma source into the reaction chamber, wherein the hydrogen radicals are directed to the silicon to deposit the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film on the substrate. reacts with a containing precursor, and wherein the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film has a conformality of at least 90% on the substrate; and a method of depositing a nitrogen doped silicon-carbon containing film.
제 1 항에 있어서,
상기 실리콘-함유 전구체는 탄소-산소 (C-O) 결합들 및 탄소-질소 (C-N) 결합들이 없는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to claim 1,
wherein the silicon-containing precursor is free of carbon-oxygen (CO) bonds and carbon-nitrogen (CN) bonds.
제 1 항에 있어서,
상기 반응 챔버 내의 상기 기판으로 공-반응물질을 흘리는 단계를 더 포함하고, 상기 수소 라디칼들은 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위해 상기 실리콘-함유 전구체 및 상기 공-반응물질과 반응하는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to claim 1,
flowing a co-reactant to the substrate in the reaction chamber, wherein the hydrogen radicals are directed to the silicon-containing precursor and the silicon-containing precursor to deposit an oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film; A method of depositing an oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film that reacts with the co-reactant.
제 1 항에 있어서,
상기 수소 라디칼들은 상기 플라즈마 소스와 상기 반응 챔버 사이에 유체 연통을 제공하는 제 1 가스 유입부를 통해 상기 반응 챔버 내로 도입되고, 그리고 상기 실리콘-함유 전구체는 상기 플라즈마 소스의 제 2 유입부 다운스트림을 통해 상기 반응 챔버 내로 흐르는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to claim 1,
The hydrogen radicals are introduced into the reaction chamber through a first gas inlet providing fluid communication between the plasma source and the reaction chamber, and the silicon-containing precursor is introduced into the reaction chamber through a second inlet downstream of the plasma source. A method of depositing an oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film flowing into the reaction chamber.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 2 g/cc 내지 2.7 g/cc의 밀도를 갖는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to any one of claims 1 to 4,
The oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film deposits an oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film having a density of 2 g/cc to 2.7 g/cc. How to.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 4.0 이하의 유효 유전 상수를 갖는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to any one of claims 1 to 4,
wherein the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon-containing film has an effective dielectric constant of 4.0 or less.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 기판은 하나 이상의 리세스된 피처들을 갖고, 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 상기 기판의 상기 하나 이상의 리세스된 피처들에서 적어도 90 %의 상기 컨포멀성을 갖는, 실리콘-탄소 함유 막을 증착하는 방법.
According to any one of claims 1 to 4,
The substrate has one or more recessed features, and the oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film has at least 90% of the conformality in the one or more recessed features of the substrate. A method of depositing a silicon-carbon containing film having a.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 50 ℃ 내지 500 ℃의 온도 및 0.2 Torr 내지 8 Torr의 압력에서 증착되는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to any one of claims 1 to 4,
The oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film is deposited at a temperature of 50° C. to 500° C. and a pressure of 0.2 Torr to 8 Torr. - A method of depositing a carbon-containing film.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 노출된 금속 표면을 산화시키지 않고 상기 기판의 상기 노출된 금속 표면 상에 증착되는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to any one of claims 1 to 4,
The oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film is deposited on the exposed metal surface of the substrate without oxidizing the exposed metal surface. A method for depositing a silicon-carbon containing film.
산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법에 있어서,
반응 챔버 내의 기판으로 실리콘-함유 전구체를 흘리는 단계로서, 상기 실리콘-함유 전구체는 실리콘-실리콘 (Si-Si) 결합 또는 실리콘-수소 (Si-H) 결합 중 적어도 하나를 포함하고, 그리고 실리콘-탄소 (Si-C) 결합, 실리콘-질소 (Si-N) 결합, 또는 실리콘-산소 (Si-O) 결합 중 적어도 하나를 포함하고, 상기 실리콘-함유 전구체는 탄소-산소 (C-O) 결합들 및 탄소-질소 (C-N) 결합들이 없고, 상기 기판은 하나 이상의 리세스된 피처들을 포함하는, 상기 실리콘-함유 전구체를 흘리는 단계;
소스 가스로부터, 상기 반응 챔버로부터 리모트인 플라즈마 소스에서 라디칼들을 생성하는 단계; 및
상기 플라즈마 소스로부터 생성된 상기 라디칼들을 상기 반응 챔버 내로 도입하는 단계로서, 상기 라디칼들은 상기 기판 상에 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위해 상기 실리콘-함유 전구체와 반응하고, 그리고 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 상기 하나 이상의 리세스된 피처들에서 적어도 90%의 컨포멀성을 갖는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
A method of depositing an oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film comprising:
flowing a silicon-containing precursor to a substrate in a reaction chamber, the silicon-containing precursor comprising at least one of a silicon-silicon (Si-Si) bond or a silicon-hydrogen (Si-H) bond, and a silicon-carbon (Si-C) bond, silicon-nitrogen (Si-N) bond, or silicon-oxygen (Si-O) bond, wherein the silicon-containing precursor comprises carbon-oxygen (CO) bonds and carbon flowing the silicon-containing precursor, wherein the substrate is free of nitrogen (CN) bonds and the substrate includes one or more recessed features;
generating radicals from a source gas in a plasma source remote from the reaction chamber; and
introducing the radicals generated from the plasma source into the reaction chamber, wherein the radicals are directed to the silicon-containing film to deposit the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film on the substrate. reactive with a precursor, and wherein the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film has at least 90% conformality in the one or more recessed features; A method of depositing an oxygen and nitrogen doped silicon-carbon containing film.
제 10 항에 있어서,
상기 실리콘-함유 전구체는 상기 기판에 인접한 분위기에서 이온들 및 고 에너지 라디칼들이 없거나 실질적으로 없는 조건들 하에서 증착되는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to claim 10,
wherein the silicon-containing precursor is deposited under conditions that are free or substantially free of ions and high energy radicals in an atmosphere adjacent to the substrate.
제 10 항에 있어서,
상기 라디칼들은 수소 라디칼들을 생성하도록 수소 가스의 소스 가스로부터 생성되는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to claim 10,
wherein the radicals are generated from a source gas of hydrogen gas to generate hydrogen radicals.
제 10 항에 있어서,
상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 실리콘 옥시카바이드 (SiCO) 막, 실리콘 니트리카바이드 (SiNC) 막, 또는 실리콘 옥시니트리카바이드 (SiONC) 막을 포함하는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to claim 10,
wherein the oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film comprises a silicon oxycarbide (SiCO) film, a silicon nitric carbide (SiNC) film, or a silicon oxynitricarbide (SiONC) film. , nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing films.
제 10 항 내지 제 13 항 중 어느 한 항에 있어서,
상기 라디칼들은 상기 플라즈마 소스의 용량 결합 플라즈마로부터 생성되는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to any one of claims 10 to 13,
wherein the radicals are generated from a capacitively coupled plasma of the plasma source.
제 10 항 내지 제 13 항 중 어느 한 항에 있어서,
상기 라디칼들은 상기 플라즈마 소스와 상기 반응 챔버 사이에 유체 연통을 제공하는 제 1 가스 유입부를 통해 상기 반응 챔버 내로 도입되고, 그리고 상기 실리콘-함유 전구체는 상기 플라즈마 소스의 제 2 유입부 다운스트림을 통해 상기 반응 챔버 내로 흐르는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to any one of claims 10 to 13,
The radicals are introduced into the reaction chamber through a first gas inlet providing fluid communication between the plasma source and the reaction chamber, and the silicon-containing precursor is introduced into the reaction chamber through a second inlet downstream of the plasma source. A method of depositing an oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film flowing into a reaction chamber.
산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법에 있어서,
반응 챔버 내의 기판으로 실리콘-함유 전구체를 흘리는 단계로서, 상기 실리콘-함유 전구체는 실리콘-실리콘 (Si-Si) 결합 또는 실리콘-수소 (Si-H) 결합 중 적어도 하나를 포함하고, 그리고 실리콘-탄소 (Si-C) 결합, 실리콘-질소 (Si-N) 결합, 또는 실리콘-산소 (Si-O) 결합 중 적어도 하나를 포함하고, 상기 실리콘-함유 전구체는 탄소-산소 (C-O) 결합들 및 탄소-질소 (C-N) 결합들이 없는, 상기 실리콘-함유 전구체를 흘리는 단계;
소스 가스로부터, 상기 반응 챔버로부터 리모트인 플라즈마 소스에서 라디칼들을 생성하는 단계; 및
상기 플라즈마 소스로부터 생성된 상기 라디칼들을 상기 반응 챔버 내로 도입하는 단계로서, 상기 라디칼들은 상기 기판 상에 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위해 상기 실리콘-함유 전구체와 반응하고, 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 상기 기판 상에서 적어도 90 %의 컨포멀성을 갖고, 그리고 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막의 에칭 내성, 열 안정성, 및 밀도를 촉진하는 조건들 하에서 증착되는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
A method of depositing an oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film comprising:
flowing a silicon-containing precursor to a substrate in a reaction chamber, the silicon-containing precursor comprising at least one of a silicon-silicon (Si-Si) bond or a silicon-hydrogen (Si-H) bond, and a silicon-carbon (Si-C) bond, silicon-nitrogen (Si-N) bond, or silicon-oxygen (Si-O) bond, wherein the silicon-containing precursor comprises carbon-oxygen (CO) bonds and carbon - flowing the silicon-containing precursor, which is free of nitrogen (CN) bonds;
generating radicals from a source gas in a plasma source remote from the reaction chamber; and
introducing the radicals generated from the plasma source into the reaction chamber, wherein the radicals are directed to the silicon-containing film to deposit the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film on the substrate. Reacted with a precursor, the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film has at least 90% conformal on the substrate, and the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film The silicon-carbon containing film is deposited under conditions that promote etch resistance, thermal stability, and density of the oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film. A method of depositing a doped silicon-carbon containing film.
제 16 항에 있어서,
상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 2 g/cc 내지 2.7 g/cc의 밀도를 갖는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
17. The method of claim 16,
The oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film deposits an oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film having a density of 2 g/cc to 2.7 g/cc. How to.
제 16 항에 있어서,
상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 4.0 이하의 유효 유전 상수를 갖는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
17. The method of claim 16,
wherein the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon-containing film has an effective dielectric constant of 4.0 or less.
제 16 항에 있어서,
상기 조건들은 상기 기판에 인접한 분위기에서 이온들 및 고 에너지 상태 라디칼들이 없거나 실질적으로 없는 반응 조건들을 포함하는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
17. The method of claim 16,
wherein the conditions include reaction conditions that are free or substantially free of ions and high energy state radicals in an atmosphere adjacent to the substrate.
제 16 항에 있어서,
상기 조건들은 50 ℃ 내지 500 ℃의 온도 및 0.2 Torr 내지 8 Torr의 압력을 포함하는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
17. The method of claim 16,
wherein the conditions include a temperature of 50° C. to 500° C. and a pressure of 0.2 Torr to 8 Torr.
제 16 항 내지 제 20 항 중 어느 한 항에 있어서,
상기 소스 가스는 수소 가스를 포함하고, 상기 플라즈마 소스로부터 생성된 상기 라디칼들은 수소 라디칼들을 포함하고, 그리고 상기 조건들은 상기 기판에 인접한 분위기에서 실질적으로 저 에너지 상태의 수소 라디칼들을 포함하는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to any one of claims 16 to 20,
oxygen doping, wherein the source gas includes hydrogen gas, the radicals generated from the plasma source include hydrogen radicals, and the conditions include hydrogen radicals in a substantially low energy state in an atmosphere adjacent to the substrate; A method of depositing a silicon-carbon containing film doped with nitrogen or doped with oxygen and nitrogen.
제 16 항 내지 제 20 항 중 어느 한 항에 있어서,
공-반응물질을 상기 반응 챔버 내의 상기 기판으로 흘리는 단계를 더 포함하고, 상기 라디칼들은 상기 기판 상에 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위해 상기 실리콘-함유 전구체 및 상기 공-반응물질과 반응하고, 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막의 조성은 상기 공-반응물질의 화학적 성질에 적어도 부분적으로 기초하는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to any one of claims 16 to 20,
flowing a co-reactant into the substrate within the reaction chamber, wherein the radicals are directed to the silicon-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film to deposit on the substrate. oxygen doping, which reacts with the containing precursor and the co-reactant, wherein the composition of the oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film is based at least in part on the chemistry of the co-reactant; A method of depositing a silicon-carbon containing film doped with nitrogen or doped with oxygen and nitrogen.
제 22 항에 있어서,
상기 공-반응물질은 이산화탄소, 일산화탄소, 물, 메탄올, 산소, 오존, 또는 이들의 조합들을 포함하는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
23. The method of claim 22,
wherein the co-reactant comprises carbon dioxide, carbon monoxide, water, methanol, oxygen, ozone, or combinations thereof.
제 22 항에 있어서,
상기 공-반응물질은 상기 플라즈마 소스로부터 상기 반응 챔버로 상기 소스 가스의 플로우 경로를 따라 흐르는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
23. The method of claim 22,
wherein the co-reactant flows along a flow path of the source gas from the plasma source to the reaction chamber.
제 16 항 내지 제 20 항 중 어느 한 항에 있어서,
상기 라디칼들은 상기 플라즈마 소스와 상기 반응 챔버 사이에 유체 연통을 제공하는 제 1 가스 유입부를 통해 상기 반응 챔버 내로 도입되고, 그리고 상기 실리콘-함유 전구체는 플라즈마 소스의 제 2 유입부 다운스트림을 통해 상기 반응 챔버 내로 흐르는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하는 방법.
According to any one of claims 16 to 20,
The radicals are introduced into the reaction chamber through a first gas inlet providing fluid communication between the plasma source and the reaction chamber, and the silicon-containing precursor is introduced into the reaction chamber through a second inlet downstream of the plasma source. A method of depositing an oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film flowing into a chamber.
산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위한 장치에 있어서,
반응 챔버 내에서 기판을 지지하기 위한 수단;
상기 반응 챔버로부터 리모트인 용량 결합 플라즈마를 생성하기 위한 수단으로서, 상기 용량 결합 플라즈마는 수소 라디칼들을 포함하는, 상기 플라즈마 생성 수단;
상기 반응 챔버 내로 실리콘-함유 전구체를 전달하기 위한 수단으로서, 상기 실리콘-함유 전구체는 실리콘-실리콘 (Si-Si) 결합 또는 실리콘-수소 (Si-H) 결합 중 적어도 하나를 포함하고, 그리고 실리콘-탄소 (Si-C) 결합, 실리콘-질소 (Si-N) 결합, 또는 실리콘-산소 (Si-O) 결합 중 적어도 하나를 포함하고, 상기 실리콘-함유 전구체는 탄소-산소 (C-O) 결합들 및 탄소-질소 (C-N) 결합들이 없는, 상기 실리콘-함유 전구체를 전달하기 위한 수단; 및
상기 기판 상에 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위해 상기 수소 라디칼들이 상기 실리콘-함유 전구체와 반응하도록 하는 조건들 하에서 상기 반응 챔버 내로 상기 수소 라디칼들을 전달하기 위한 수단을 포함하고, 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 상기 기판 상에서 적어도 90 %의 컨포멀성을 갖고, 상기 실리콘-함유 전구체 전달 수단은 상기 수소 라디칼 전달 수단의 다운스트림에 위치되는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위한 장치.
An apparatus for depositing an oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film comprising:
means for supporting a substrate within the reaction chamber;
means for generating a capacitively coupled plasma remote from the reaction chamber, the capacitively coupled plasma comprising hydrogen radicals;
A means for delivering a silicon-containing precursor into the reaction chamber, wherein the silicon-containing precursor comprises at least one of a silicon-silicon (Si-Si) bond or a silicon-hydrogen (Si-H) bond, and includes at least one of a carbon (Si-C) bond, a silicon-nitrogen (Si-N) bond, or a silicon-oxygen (Si-O) bond, wherein the silicon-containing precursor comprises carbon-oxygen (CO) bonds and means for delivering the silicon-containing precursor free of carbon-nitrogen (CN) bonds; and
Delivering the hydrogen radicals into the reaction chamber under conditions that cause the hydrogen radicals to react with the silicon-containing precursor to deposit the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film on the substrate. wherein the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film has a conformality of at least 90% on the substrate, and the means for delivering the silicon-containing precursor transfers the hydrogen radicals. An apparatus for depositing an oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film located downstream of the means.
제 26 항에 있어서,
상기 조건들은 상기 기판에 인접한 분위기에서 이온들 및 고 에너지 상태 라디칼들이 없거나 실질적으로 없는 반응 조건들을 포함하는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위한 장치.
27. The method of claim 26,
wherein the conditions include reaction conditions that are free or substantially free of ions and high energy state radicals in an atmosphere adjacent to the substrate.
제 26 항에 있어서,
상기 기판은 하나 이상의 리세스된 피처들을 포함하고, 그리고 상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 상기 하나 이상의 리세스된 피처들에서 적어도 90%의 상기 컨포멀성을 갖는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위한 장치.
27. The method of claim 26,
The substrate includes one or more recessed features, and the oxygen-doped, nitrogen-doped, or oxygen and nitrogen-doped silicon-carbon containing film exhibits at least 90% of the conformality in the one or more recessed features. An apparatus for depositing a silicon-carbon containing film having oxygen doped, nitrogen doped, or oxygen and nitrogen doped.
제 26 항에 있어서,
상기 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막은 2 g/cc 내지 2.7 g/cc의 밀도를 갖는, 산소 도핑, 질소 도핑, 또는 산소 및 질소 도핑된 실리콘-탄소 함유 막을 증착하기 위한 장치.
27. The method of claim 26,
The oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film deposits an oxygen doped, nitrogen doped, or oxygen and nitrogen doped silicon-carbon containing film having a density of 2 g/cc to 2.7 g/cc. device to do it.
KR1020220019130A 2012-06-12 2022-02-14 Conformal deposition of silicon carbide films KR102515238B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
GBGB2318385.8A GB202318385D0 (en) 2012-06-12 2023-12-01 Display device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/616,435 2015-02-06
US14/616,435 US10325773B2 (en) 2012-06-12 2015-02-06 Conformal deposition of silicon carbide films
KR1020160014278A KR20160097149A (en) 2015-02-06 2016-02-04 Conformal deposition of silicon carbide films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020160014278A Division KR20160097149A (en) 2015-02-06 2016-02-04 Conformal deposition of silicon carbide films

Publications (2)

Publication Number Publication Date
KR20220024372A KR20220024372A (en) 2022-03-03
KR102515238B1 true KR102515238B1 (en) 2023-03-30

Family

ID=56624924

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160014278A KR20160097149A (en) 2015-02-06 2016-02-04 Conformal deposition of silicon carbide films
KR1020220019130A KR102515238B1 (en) 2012-06-12 2022-02-14 Conformal deposition of silicon carbide films

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020160014278A KR20160097149A (en) 2015-02-06 2016-02-04 Conformal deposition of silicon carbide films

Country Status (4)

Country Link
KR (2) KR20160097149A (en)
CN (2) CN105862010A (en)
SG (1) SG10201600832VA (en)
TW (1) TWI693295B (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
TW201822259A (en) * 2016-09-09 2018-06-16 美商諾發系統有限公司 Remote plasma based deposition of oxygen doped silicon carbide films
EP3555908A4 (en) * 2016-12-16 2020-08-26 Elwha Llc Methods for fabricating and etching porous silicon carbide structures
KR102324630B1 (en) * 2017-03-29 2021-11-10 삼성전자주식회사 Method of manufacturing integrated circuit device
WO2018191484A1 (en) * 2017-04-13 2018-10-18 Applied Materials, Inc. Method and apparatus for deposition of low-k films
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
KR102626483B1 (en) * 2018-03-01 2024-01-17 램 리써치 코포레이션 Silicon-based deposition for semiconductor processing
KR20190137763A (en) 2018-06-01 2019-12-11 (주) 디에스테크노 Chemical Vapor Deposition Silicon Carbide Bulk with Enhanced Etch Properties
KR20230170998A (en) * 2018-07-24 2023-12-19 램 리써치 코포레이션 Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
KR20230085954A (en) 2018-10-19 2023-06-14 램 리써치 코포레이션 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US20220195606A1 (en) * 2020-12-23 2022-06-23 Raytheon Technologies Corporation Method for metal vapor infiltration of cmc parts and articles containing the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030085408A1 (en) 2001-11-02 2003-05-08 Neng-Hui Yang Oxygen-doped silicon carbide etch stop layer
JP2005236285A (en) 2004-02-19 2005-09-02 Internatl Business Mach Corp <Ibm> STRUCTURE AND METHOD FOR INTEGRATING ULTRA-LOW DIELECTRIC CONSTANT (k) DIELECTRIC HAVING IMPROVED RELIABILITY
US20080132087A1 (en) * 1999-08-17 2008-06-05 Applied Materials, Inc. Post-deposition treatment to enhance properties of si-o-c low k films
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW516076B (en) * 2000-06-13 2003-01-01 Applied Materials Inc Method and apparatus for increasing the utilization efficiency of gases during semiconductor processing
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6798043B2 (en) * 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
KR20130135261A (en) * 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080132087A1 (en) * 1999-08-17 2008-06-05 Applied Materials, Inc. Post-deposition treatment to enhance properties of si-o-c low k films
US20030085408A1 (en) 2001-11-02 2003-05-08 Neng-Hui Yang Oxygen-doped silicon carbide etch stop layer
JP2005236285A (en) 2004-02-19 2005-09-02 Internatl Business Mach Corp <Ibm> STRUCTURE AND METHOD FOR INTEGRATING ULTRA-LOW DIELECTRIC CONSTANT (k) DIELECTRIC HAVING IMPROVED RELIABILITY
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing

Also Published As

Publication number Publication date
CN105862010A (en) 2016-08-17
TWI693295B (en) 2020-05-11
KR20160097149A (en) 2016-08-17
KR20220024372A (en) 2022-03-03
SG10201600832VA (en) 2016-09-29
TW201706439A (en) 2017-02-16
CN113846310A (en) 2021-12-28

Similar Documents

Publication Publication Date Title
US11894227B2 (en) Conformal deposition of silicon carbide films
KR102515238B1 (en) Conformal deposition of silicon carbide films
KR102582838B1 (en) Densification of silicon carbide film using remote plasma treatment
KR102406467B1 (en) Remote Plasma Based Deposition of Graded Silicon Carbide Films or Multilayer Silicon Carbide Films
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US20170365462A1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
KR102492447B1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
KR102615163B1 (en) Remote plasma-based deposition of silicon carbide films using silicon-containing precursors and carbon-containing precursors
KR102542281B1 (en) Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant