TW201822259A - Remote plasma based deposition of oxygen doped silicon carbide films - Google Patents

Remote plasma based deposition of oxygen doped silicon carbide films Download PDF

Info

Publication number
TW201822259A
TW201822259A TW106130591A TW106130591A TW201822259A TW 201822259 A TW201822259 A TW 201822259A TW 106130591 A TW106130591 A TW 106130591A TW 106130591 A TW106130591 A TW 106130591A TW 201822259 A TW201822259 A TW 201822259A
Authority
TW
Taiwan
Prior art keywords
oxygen
silicon carbide
film
sico
silicon
Prior art date
Application number
TW106130591A
Other languages
Chinese (zh)
Inventor
巴德里 N 凡拉德拉彥
Original Assignee
美商諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/696,045 external-priority patent/US10832904B2/en
Application filed by 美商諾發系統有限公司 filed Critical 美商諾發系統有限公司
Publication of TW201822259A publication Critical patent/TW201822259A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Abstract

Disclosed are methods and systems for providing oxygen doped silicon carbide. A layer of oxygen doped silicon carbide can be provided under process conditions that employ one or more silicon-containing precursors that have one or more silicon-hydrogen bonds and/or silicon-silicon bonds. The silicon-containing precursors may also have one or more silicon-oxygen bonds and/or silicon-carbon bonds. One or more radical species in a substantially low energy state can react with the silicon-containing precursors to form the oxygen doped silicon carbide film. The one or more radical species can be formed in a remote plasma source.

Description

氧摻雜矽碳化物膜之基於遠程電漿的沉積Remote plasma-based deposition of oxygen-doped silicon carbide films

本揭露內容大致關於氧摻雜矽碳化物膜的形成。This disclosure relates generally to the formation of oxygen-doped silicon carbide films.

矽碳化物(SiC)類的薄膜具有獨特的物理、化學與機械特性,且使用在不同的應用中,尤其是積體電路應用。一如此SiC類的薄膜包括氧摻雜SiC。Silicon carbide (SiC) -based films have unique physical, chemical, and mechanical properties and are used in different applications, especially integrated circuit applications. One such SiC-based film includes oxygen-doped SiC.

此揭露內容相關於沉積氧摻雜矽碳化物(SiCO)膜的方法。方法包括提供基板並使一或更多含矽前驅物流至基板上,其中一或更多含矽前驅物的各者具有(i) 一或更多矽-氫鍵及/或矽-矽鍵、與(ii) 一或更多矽-氧鍵與一或更多矽-碳鍵。方法更包括使來源氣體流至遠程電漿源中、從來源氣體在遠程電漿源中產生氫的自由基、及將氫的自由基導入至基板上,其中自由基的至少90%為處於實質上低能態之氫的自由基,在斷開矽-氫鍵與矽-矽鍵的一或兩者但保留矽-氧鍵與矽-碳鍵的條件下,處於實質上低能態之該氫的自由基與一或更多含矽前驅物反應以在基板上形成SiCO膜。This disclosure relates to a method for depositing an oxygen-doped silicon carbide (SiCO) film. The method includes providing a substrate and flowing one or more silicon-containing precursors onto the substrate, each of the one or more silicon-containing precursors having (i) one or more silicon-hydrogen bonds and / or silicon-silicon bonds, With (ii) one or more silicon-oxygen bonds and one or more silicon-carbon bonds. The method further includes flowing a source gas to a remote plasma source, generating a radical of hydrogen from the source gas in the remote plasma source, and introducing the hydrogen radical to a substrate, wherein at least 90% of the radicals are in a substantial state. The free radical of the hydrogen in the low energy state is in a substantially low energy state under the condition of breaking one or both of the silicon-hydrogen bond and the silicon-silicon bond but retaining the silicon-oxygen bond and the silicon-carbon bond. The free radicals react with one or more silicon-containing precursors to form a SiCO film on the substrate.

在一些實施例中,含矽前驅物包括環形矽氧烷。在一些實施例中,含矽前驅物包括烷氧基矽烷。在一些實施例中,自由基從氫的來源氣體產生。在一些實施例中,SiCO膜中的矽-氧鍵對矽-碳鍵的比率為在約0.5:1與3:1之間。在一些實施例中,SiCO膜包含基板上的保形薄膜。在一些實施例中,基板包含具有閘極電極的電晶體,且該方法進一步包含在閘極電極的一或更多側壁上形成SiCO膜。In some embodiments, the silicon-containing precursor includes a cyclic siloxane. In some embodiments, the silicon-containing precursor includes an alkoxysilane. In some embodiments, the free radicals are generated from a source gas of hydrogen. In some embodiments, the ratio of silicon-oxygen bonds to silicon-carbon bonds in the SiCO film is between about 0.5: 1 and 3: 1. In some embodiments, the SiCO film includes a conformal film on a substrate. In some embodiments, the substrate includes a transistor having a gate electrode, and the method further includes forming a SiCO film on one or more sidewalls of the gate electrode.

此揭露內容亦相關於在基板上沉積氧摻雜矽碳化物膜的設備。設備包括反應腔室,其包含用以支撐基板的基板支撐件、耦接至反應腔室與配置成在反應腔室之外產生電漿的遠程電漿源、耦接至反應腔室的一或更多氣體入口、與一控制器,該控制器包含用以執行以下操作的指令:(a) 使一或更多含矽前驅物流至基板上,其中一或更多含矽前驅物的各者具有(i) 一或更多矽-氫鍵及/或矽-矽鍵、與(ii) 一或更多矽-氧鍵與一或更多矽-碳鍵,(b) 使來源氣體流至遠程電漿源中,(c) 從來源氣體在遠程電漿源中產生氫的自由基,與(d) 將氫的自由基導入至基板上,其中自由基的至少90%為處於實質上低能態之氫的自由基,在斷開矽-氫鍵與矽-矽鍵的一或兩者但保留矽-氧鍵與矽-碳鍵的條件下,處於實質上低能態之該氫的自由基與一或更多含矽前驅物反應以在基板上形成SiCO膜。This disclosure is also related to equipment for depositing an oxygen-doped silicon carbide film on a substrate. The device includes a reaction chamber including a substrate support for supporting a substrate, a remote plasma source coupled to the reaction chamber and a plasma source configured to generate a plasma outside the reaction chamber, and one or more coupled to the reaction chamber. More gas inlets and a controller, the controller including instructions to: (a) cause one or more silicon-containing precursors to flow to the substrate, each of the one or more silicon-containing precursors Has (i) one or more silicon-hydrogen bonds and / or silicon-silicon bonds, and (ii) one or more silicon-oxygen bonds and one or more silicon-carbon bonds, and (b) causes the source gas to flow to In a remote plasma source, (c) generates hydrogen radicals from the source gas in the remote plasma source, and (d) introduces hydrogen radicals onto the substrate, where at least 90% of the radicals are at a substantially low energy Free radicals of the hydrogen state, which are in a substantially low energy state under the condition of breaking one or both of the silicon-hydrogen bond and the silicon-silicon bond but retaining the silicon-oxygen bond and the silicon-carbon bond. Reacts with one or more silicon-containing precursors to form a SiCO film on a substrate.

在一些實施例中,含矽前驅物包括環形矽氧烷。在一些實施例中,含矽前驅物包括烷氧基矽烷。在一些實施例中,自由基從氫的來源氣體產生。在一些實施例中,SiCO膜中的矽-氧鍵對矽-碳鍵的比率為在約0.5:1與3:1之間。在一些實施例中,SiCO膜包含基板上的保形薄膜。在一些實施例中,基板包含具有閘極電極的電晶體,且該控制器更包含在閘極電極的一或更多側壁上形成SiCO膜的指令。In some embodiments, the silicon-containing precursor includes a cyclic siloxane. In some embodiments, the silicon-containing precursor includes an alkoxysilane. In some embodiments, the free radicals are generated from a source gas of hydrogen. In some embodiments, the ratio of silicon-oxygen bonds to silicon-carbon bonds in the SiCO film is between about 0.5: 1 and 3: 1. In some embodiments, the SiCO film includes a conformal film on a substrate. In some embodiments, the substrate includes a transistor having a gate electrode, and the controller further includes an instruction to form a SiCO film on one or more sidewalls of the gate electrode.

在以下的敘述中,闡述許多具體細節以提供所呈現的概念的徹底理解。所呈現的概念可在沒有一些或全部之該等具體細節的情況下實行。在其他情形中,不詳細描述已熟知的製程操作以免不必要地模糊描述的概念。儘管一些概念將結合具體實施例而加以描述,但將理解該等實施例並非意圖為限制性。In the following description, numerous specific details are set forth to provide a thorough understanding of the concepts presented. The concepts presented may be practiced without some or all of these specific details. In other cases, well-known process operations are not described in detail to avoid unnecessarily obscuring the concept of description. Although some concepts will be described in conjunction with specific embodiments, it will be understood that these embodiments are not intended to be limiting.

本申請案中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」與「部分製造的積體電路」係可互換地使用。此領域之通常技術者將理解,用語「部分製造的積體電路」可指稱在矽晶圓上之積體電路製造的許多階段的任何期間之矽晶圓。半導體元件產業中所使用之晶圓或基板典型地具有200mm、或300mm、或450mm的直徑。以下實施方式假設本發明係於晶圓上實施。然而,本發明並非如此限制。工件可具有各種形狀、尺寸、以及材料。除半導體晶圓外,其他可利用本發明的工件包括各種物件,例如,印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡、光學元件、微機械元件、及其類似物。 導論In this application, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate" and "partially manufactured integrated circuit" are used interchangeably. Those of ordinary skill in the art will understand that the term "partially manufactured integrated circuit" may refer to a silicon wafer at any stage of the many stages of integrated circuit manufacturing on a silicon wafer. The wafer or substrate used in the semiconductor element industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. The following embodiments assume that the present invention is implemented on a wafer. However, the invention is not so limited. The workpiece can have various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that can utilize the present invention include various objects, such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micromechanical elements, and the like. introduction

半導體裝置的製造典型地涉及在積體電路製造製程中於基板上沉積一或更多薄膜。在該製造製程的一些實施態樣中,例如SiC與SiCN之類的薄膜係使用原子層沉積(ALD)、化學氣相沉積(CVD)、電漿加強化學氣相沉積(PECVD)、或任何其他適當的沉積方法而沉積。The manufacture of semiconductor devices typically involves depositing one or more thin films on a substrate during a integrated circuit manufacturing process. In some embodiments of the manufacturing process, thin films such as SiC and SiCN use atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or any other Deposited by a suitable deposition method.

可受沉積之另一類的薄膜包括碳氧化矽(SiOC)。習知的SiOC膜典型地藉由使用碳摻雜矽氧化物來形成。含矽前驅物可與例如甲烷、二氧化碳或一氧化碳之含碳前驅物一同輸送。含有碳的矽氧化物膜可使用合適的沉積製程形成。在一些實施例中,用以沉積SiOC的前驅物分子包括具有矽-氫(Si-H)鍵、矽-矽(Si-Si)鍵、矽-碳(Si-C)鍵及/或矽-氧(Si-O)鍵的含矽分子。現今的PECVD製程可利用原位電漿處理,其中電漿係直接鄰近受處理基板而提供。Another type of thin film that can be deposited includes silicon oxycarbide (SiOC). Conventional SiOC films are typically formed by using carbon-doped silicon oxide. The silicon-containing precursor may be transported with a carbon-containing precursor such as methane, carbon dioxide, or carbon monoxide. A carbon-containing silicon oxide film can be formed using a suitable deposition process. In some embodiments, the precursor molecules used to deposit SiOC include silicon-hydrogen (Si-H) bonds, silicon-silicon (Si-Si) bonds, silicon-carbon (Si-C) bonds, and / or silicon- Silicon-containing molecules with oxygen (Si-O) bonds. Today's PECVD processes can utilize in-situ plasma processing, where the plasma is provided directly adjacent to the substrate being processed.

已發現沉積高品質SiOC薄膜可能有某些挑戰,例如,提供具有優異階梯覆蓋率、低介電常數、高崩潰電壓、低漏失電流、高孔隙率、及/或在不氧化金屬表面的情形下之曝露金屬表面範圍的覆蓋率。It has been found that depositing high-quality SiOC films may have certain challenges, such as providing excellent step coverage, low dielectric constant, high breakdown voltage, low leakage current, high porosity, and / or without oxidizing the metal surface The coverage of exposed metal surfaces.

儘管本揭露內容不受限於任何特定的理論,但據信在典型PECVD製程中的電漿條件使含矽前驅物分子以產生不期望結果的方式斷開。舉例而言,PECVD可能使前驅物分子中的Si-O及/或Si-C鍵斷開,從而產生高反應性的自由基或具有高黏附係數的其他分段類型。該分段、以及所產生的SiOC膜可包括具有「懸鍵」的矽、碳、及/或氧原子,意謂著該矽、碳、及/或氧原子具有反應性的未成對價電子。具有高黏附係數的前驅物分子及其片段可能沉積出具有不良階梯覆蓋率的SiOC膜,因為反應性的前驅物片段可能不成比例地黏附於凹陷特徵部中之側壁及其他結構的上部區域。懸鍵可在所沉積的SiOC膜中產生矽醇基團(Si-OH)。結果,該膜可能具有不利的高介電常數。膜品質亦可能由於直接電漿條件傾向從所沉積膜中抽離碳而受損。Although this disclosure is not limited to any particular theory, it is believed that the plasma conditions in a typical PECVD process cause silicon-containing precursor molecules to break in a manner that produces undesirable results. For example, PECVD may break the Si-O and / or Si-C bonds in the precursor molecules, resulting in highly reactive free radicals or other segmentation types with high adhesion coefficients. The segment, and the resulting SiOC film may include silicon, carbon, and / or oxygen atoms having "dangling bonds", meaning that the silicon, carbon, and / or oxygen atoms are reactive unpaired valence electrons. Precursor molecules with high adhesion coefficients and their fragments may deposit SiOC films with poor step coverage, as reactive precursor fragments may disproportionately adhere to the sidewalls in recessed features and upper areas of other structures. Dangling bonds can generate silanol groups (Si-OH) in the deposited SiOC film. As a result, the film may have a disadvantageously high dielectric constant. Film quality may also be impaired due to the tendency of direct plasma conditions to extract carbon from the deposited film.

再者,懸鍵可在所沉積的SiOC膜中產生增加的矽-氫鍵(Si-H)。在直接電漿沉積條件下,斷開的Si-C鍵可由Si-H取代。SiOC膜中Si-H鍵的存在可產生具有不良電性的膜。例如,因為Si-H鍵為電子提供漏失路徑,所以Si-H鍵的存在可能降低崩潰電壓,並可能增加漏失電流。Furthermore, dangling bonds can generate increased silicon-hydrogen bonds (Si-H) in the deposited SiOC film. Under direct plasma deposition conditions, the broken Si-C bond can be replaced by Si-H. The presence of Si-H bonds in the SiOC film can produce a film with poor electrical properties. For example, because Si-H bonds provide a leaky path for electrons, the presence of Si-H bonds may reduce the breakdown voltage and may increase the leakage current.

進一步地,懸鍵可在SiOC膜中導致產生不受控制之化學的或形態的結構。在一些情形中,如此結構為具有低孔隙率或無孔隙的緻密細線,使得該膜具有不可接受之高介電常數。多孔性的欠缺可為斷開環形矽氧烷中的Si-C鍵及/或Si-O鍵之直接電漿條件的結果,否則該Si-C鍵及/或Si-O鍵提供極低k值介電材料中的多孔性。Further, dangling bonds can lead to uncontrolled chemical or morphological structures in the SiOC film. In some cases, the structure is a dense fine line with low porosity or no porosity, such that the film has an unacceptably high dielectric constant. The lack of porosity can be the result of direct plasma conditions that break the Si-C and / or Si-O bonds in the cyclic siloxane, otherwise the Si-C and / or Si-O bonds provide very low k Porosity in dielectric materials.

因為使前驅物分子斷開的能量可為在表面處產生許多離子撞轟的低頻率,所以有時在PECVD中採用的直接電漿條件可在沉積中導致產生定向性。定向沉積亦可導致具有不良的階梯覆蓋率之SiOC膜的沉積。直接電漿為在沉積期間電漿(適當濃度下的電子與正離子)在接近基板表面的鄰近區駐留、有時僅由電漿鞘與基板表面相隔的電漿。Because the energy that breaks the precursor molecules can be a low frequency that produces many ion bombardments at the surface, the direct plasma conditions sometimes used in PECVD can cause directivity in deposition. Directional deposition can also result in the deposition of SiOC films with poor step coverage. Direct plasma is a plasma in which the plasma (electrons and positive ions at appropriate concentrations) resides in the vicinity of the substrate surface during the deposition, sometimes separated only by the plasma sheath from the substrate surface.

典型的PECVD製程有時不適用在曝露的銅或其他金屬表面上沉積SiOC膜,因為如此製程可氧化金屬。PECVD製程可使用如氧 (O2 )、臭氧(O3 )、二氧化碳 (CO2 )、一氧化碳(CO)或其他氧化物種的氧化劑以形成SiOC。 沉積期間在基板表面處之環境Typical PECVD processes are sometimes unsuitable for depositing SiOC films on exposed copper or other metal surfaces because such processes can oxidize metals. PECVD processes can use oxidants such as oxygen (O 2 ), ozone (O 3 ), carbon dioxide (CO 2 ), carbon monoxide (CO) or other oxide species to form SiOC. Environment at substrate surface during deposition

圖1A說明在基板上沉積的氧摻雜矽碳化物膜的範例的橫剖面。氧摻雜矽碳化物膜101可在在基板100附近產生相對適度的環境之製程條件下形成。基板100可為任何晶圓、半導體晶圓、部份製造積體電路、印刷電路板、顯示螢幕、或其他適當工件。用以沉積氧摻雜矽碳化物膜101的製程可包括一或更多含矽前驅物,該含矽前驅物具有一或更多Si-H鍵及/或一或更多Si-Si鍵。FIG. 1A illustrates a cross-section of an example of an oxygen-doped silicon carbide film deposited on a substrate. The oxygen-doped silicon carbide film 101 may be formed under process conditions that generate a relatively moderate environment near the substrate 100. The substrate 100 may be any wafer, a semiconductor wafer, a partially fabricated integrated circuit, a printed circuit board, a display screen, or other suitable workpieces. The process for depositing the oxygen-doped silicon carbide film 101 may include one or more silicon-containing precursors having one or more Si-H bonds and / or one or more Si-Si bonds.

採用氧摻雜矽碳化物膜的某些應用係描繪於圖1B-1D中。在一些實施例中,含矽前驅物可包括含矽-氧前驅物及/或含矽-碳前驅物。含矽-氧前驅物可包括一或更多Si-O鍵且含矽-碳前驅物可包括一或更多Si-C鍵。例如在一些實施例中,含矽前驅物可包括具有Si-O鍵與Si-C鍵的單一反應物A。在一些實施例中,含矽前驅物可包括具有Si-O的反應物B及具有Si-C鍵的反應物C。將瞭解,在本揭露內容之範疇中,可採用任何數目之合適的反應物。例示性含矽前驅物的化學結構係於以下進一步詳細討論。Some applications using oxygen-doped silicon carbide films are depicted in Figures 1B-1D. In some embodiments, the silicon-containing precursor may include a silicon-oxygen-containing precursor and / or a silicon-carbon-containing precursor. The silicon-oxygen-containing precursor may include one or more Si-O bonds and the silicon-carbon-containing precursor may include one or more Si-C bonds. For example, in some embodiments, the silicon-containing precursor may include a single reactant A having a Si-O bond and a Si-C bond. In some embodiments, the silicon-containing precursor may include a reactant B having Si-O and a reactant C having a Si-C bond. It will be understood that any number of suitable reactants may be used in the context of this disclosure. The chemical structure of an exemplary silicon-containing precursor is discussed in further detail below.

含矽前驅物包括一或更多Si-H鍵及/或一或更多Si-Si鍵。在沉積製程期間,Si-H鍵及/或Si-Si鍵將被斷開,並在所沉積氧摻雜矽碳化物膜101中於含矽前驅物之間充當形成鍵結的反應位置。斷開的鍵亦可在熱處理期間(在沉積期間或之後進行)充當交聯的位置。在反應位置或交聯處的鍵結可共同地在所產生之氧摻雜矽碳化物膜101中形成主要骨幹或基質。The silicon-containing precursor includes one or more Si-H bonds and / or one or more Si-Si bonds. During the deposition process, the Si-H bond and / or the Si-Si bond will be broken and act as a reaction site for forming a bond between the silicon-containing precursors in the deposited oxygen-doped silicon carbide film 101. Broken bonds can also serve as sites for cross-linking during heat treatment (during or after deposition). The bonds at the reaction sites or at the crosslinks can collectively form the main backbone or matrix in the generated oxygen-doped silicon carbide film 101.

在一些實施例中,製程條件可在如此沉積之氧摻雜矽碳化物膜101層中保留或至少實質地保留Si-O鍵與Si-C鍵。據此,基板100附近的反應條件提供Si-H鍵及/或Si-Si鍵的斷開,例如從斷開的Si-H鍵抽離氫,但反應條件不提供從Si-O鍵抽離氧或從Si-C鍵抽離碳。通常,描述的反應條件存在於基板的曝露面處(沉積氧摻雜矽碳化物膜的面)。反應條件更存在於工件之上的若干距離處,例如工件之上約0.5微米至約150毫米。實際上,前驅物的活化可在工件之上的實質距離處以氣相發生。典型地,相關反應條件在基板的整體曝露面上將為均勻的或實質上均勻的,然而某些應用可允許一些變異。In some embodiments, the process conditions may retain or at least substantially retain Si-O bonds and Si-C bonds in the oxygen-doped silicon carbide film 101 layer thus deposited. Accordingly, the reaction conditions near the substrate 100 provide Si-H bond and / or Si-Si bond disconnection, for example, hydrogen is extracted from the broken Si-H bond, but reaction conditions do not provide extraction from the Si-O bond Oxygen or carbon can be removed from the Si-C bond. Generally, the described reaction conditions exist at the exposed surface of the substrate (the surface on which the oxygen-doped silicon carbide film is deposited). The reaction conditions exist more at several distances above the workpiece, such as about 0.5 microns to about 150 mm above the workpiece. In fact, activation of the precursor can occur in a gas phase at a substantial distance above the workpiece. Typically, the relevant reaction conditions will be uniform or substantially uniform across the entire exposed surface of the substrate, however certain applications may allow some variation.

除含矽前驅物外,工件附近的環境可包括一或更多自由基物種,其較佳地處於實質上的低能態。如此物種的範例包括氫原子自由基。在一些實施例中,所有、或實質上所有、或實質上部分的氫原子自由基可處於基態,例如工件附近至少約90%或95%的氫原子自由基為處於基態。在某些實施例中,氫在例如氦的載體中提供。作為範例,氫氣可於約1-10%氫的濃度下在氦載體中提供。壓力、例如氦之載體氣體的分額與及其他製程條件係擇定使得氫原子作為在低能態的自由基碰撞基板而不再結合。In addition to silicon-containing precursors, the environment near the workpiece may include one or more free radical species, which are preferably in a substantially low energy state. Examples of such species include hydrogen atom radicals. In some embodiments, all, or substantially all, or substantially a portion of the hydrogen atom radicals may be in a ground state, such as at least about 90% or 95% of the hydrogen atom radicals in the vicinity of the workpiece are in a ground state. In certain embodiments, hydrogen is provided in a carrier such as helium. As an example, hydrogen may be provided in a helium carrier at a concentration of about 1-10% hydrogen. The pressure, the amount of carrier gas such as helium, and other process conditions are chosen so that hydrogen atoms, as free radicals in low energy states, collide with the substrate and no longer combine.

如其它處所解釋,氫氣可供給至遠程電漿源中以產生氫自由基。一旦產生,氫自由基可處於激發能態。例如,處於激發能態的氫可具有至少10.2eV的能量(第一激發態)。受激發的氫自由基可能導致含矽前驅物的非選擇性分解。例如,處於激發態的氫自由基可輕易地斷開Si-H鍵、Si-Si鍵、Si-O鍵與Si-C鍵,其可改變矽碳化物膜的組成、或者物理或電學特性。在一些實施例中,當受激發的氫自由基失去其能量或弛緩(relax)時,受激發的氫自由基可變為實質上低能態氫自由基或基態氫自由基。處於實質上低能態或基態的氫自由基可具有選擇性地斷開Si-H鍵與Si-Si鍵而大致保留Si-O鍵與Si-C鍵的能力。在一些實施例中,製程條件可設置成使得受激發的氫自由基失去能量或弛緩以形成實質上低能態氫自由基或基態氫自由基。例如,遠程電漿源或相關的元件可設計成使得氫自由基從遠程電漿源擴散至基板的駐留時間大於受激發之氫自由基的能量弛緩時間。受激發的氫自由基的能量弛緩時間可為約等於或少於1x10-3 秒。As explained elsewhere, hydrogen can be supplied to a remote plasma source to generate hydrogen radicals. Once generated, hydrogen radicals can be in an excited energy state. For example, hydrogen in an excited energy state may have an energy (first excited state) of at least 10.2 eV. Excited hydrogen radicals may cause non-selective decomposition of silicon-containing precursors. For example, hydrogen radicals in an excited state can easily break Si-H bonds, Si-Si bonds, Si-O bonds, and Si-C bonds, which can change the composition, or physical or electrical properties, of silicon carbide films. In some embodiments, when an excited hydrogen radical loses its energy or relax, the excited hydrogen radical can become a substantially low energy state hydrogen radical or a ground state hydrogen radical. A hydrogen radical in a substantially low energy state or a ground state may have the ability to selectively break Si-H bonds and Si-Si bonds while substantially retaining Si-O bonds and Si-C bonds. In some embodiments, the process conditions may be set such that the excited hydrogen radicals lose energy or relax to form a substantially low energy state or ground state hydrogen radical. For example, the remote plasma source or related elements can be designed such that the residence time of the hydrogen radicals from the remote plasma source to the substrate is greater than the energy relaxation time of the excited hydrogen radicals. The energy relaxation time of the excited hydrogen radical may be approximately equal to or less than 1 × 10 -3 seconds.

一實質分額的氫原子自由基處於基態之狀態可藉由不同技術達成。例如以下所述,一些設備係設計成達成此狀態。設備特徵及製程控制特徵可受到測試與調整以產生其中一實質分額的氫原子自由基處於基態的適度狀態。例如,可針對電漿源下游(即,基板附近)的帶電粒子操作與測試設備。可調整製程及設備直到基板附近實質上沒有帶電物種存在。此外,設備與製程特徵可調整至其開始自標準前驅物(例如三甲基矽烷)產生高品質矽碳化物膜之配置。支持如此膜沉積之相對適度的條件為擇定者。A substantial amount of hydrogen atom radicals in a ground state can be achieved by different techniques. For example, as described below, some devices are designed to achieve this state. Equipment characteristics and process control characteristics can be tested and adjusted to generate a substantial amount of hydrogen atom radicals in a moderate state in the ground state. For example, equipment can be operated and tested for charged particles downstream of the plasma source (ie, near the substrate). Processes and equipment can be adjusted until virtually no charged species exist near the substrate. In addition, the equipment and process characteristics can be adjusted to the configuration where it begins to produce high-quality silicon carbide films from standard precursors (such as trimethylsilane). The relatively modest conditions that support such film deposition are those who choose.

自由基物種的其他範例包括例如元素氮自由基(原子的、或雙原子的)之含氮物種與例如氨自由基的含N-H自由基,其中氮係可選地併入至膜中。含N-H自由基的範例包括但不限於甲胺、二甲胺與苯胺的自由基。前述自由基物種可自包括氫、氮、含N-H物種、或其混合物的氣體產生。在一些實施例中,所沉積膜的實質上所有原子由前驅物分子提供。在如此情形中,用以驅動沉積反應的低能量自由基可僅僅為氫、或實質上不貢獻於沉積層之質量的其他物種。在一些實施例中,如以下進一步討論,自由基物種可藉由遠程電漿源產生。在一些實施例中,較高能態的自由基或甚至離子可潛在地於晶圓平面附近存在。Other examples of radical species include nitrogen-containing species such as elemental nitrogen radicals (atomic, or diatomic) and N-H-containing radicals such as ammonia radicals, where nitrogen is optionally incorporated into the membrane. Examples of N-H-containing free radicals include, but are not limited to, free radicals of methylamine, dimethylamine and aniline. The aforementioned free radical species may be generated from a gas including hydrogen, nitrogen, N-H-containing species, or a mixture thereof. In some embodiments, substantially all of the atoms of the deposited film are provided by precursor molecules. In such cases, the low-energy free radicals used to drive the deposition reaction may be only hydrogen, or other species that do not substantially contribute to the quality of the deposited layer. In some embodiments, as discussed further below, free radical species may be generated by a remote plasma source. In some embodiments, higher energy free radicals or even ions can potentially exist near the wafer plane.

在一些實施例中,製程條件採用處於足以斷開Si-H鍵及/或Si-Si鍵兒保留或實質上保留Si-O鍵與Si-C鍵之實質低能態的自由基物種。如此製程條件可不具有處於例如高於基態之高能態之實質量的離子、電子或自由基物種。在一些實施例中,在鄰近膜之區域中的離子濃度不大於約107/cm3 。實質量的離子或高能自由基的存在可能傾向斷開Si-O鍵或Si-C鍵,其可能產生具有不期望電性(例如,高介電常數及/或低崩潰電壓)及不良保形度的膜。據信,過度反應性的環境產生具有高黏附係數 (代表傾向於化學地或物理地黏附至工件側壁)的反應性前驅物片段,造成不良保形度。In some embodiments, the process conditions employ a radical species in a substantially low energy state sufficient to break the Si-H bond and / or the Si-Si bond to retain or substantially retain the Si-O bond and the Si-C bond. Such process conditions may not have ionic, electronic, or free radical species in a solid mass, such as in a high energy state above the ground state. In some embodiments, the ion concentration in a region adjacent to the membrane is no greater than about 107 / cm 3 . The presence of real-mass ions or high-energy free radicals may tend to break Si-O bonds or Si-C bonds, which may result in undesirable electrical properties (e.g., high dielectric constant and / or low breakdown voltage) and poor conformation Degree of film. It is believed that an over-reactive environment produces reactive precursor fragments with high adhesion coefficients (representing a tendency to adhere chemically or physically to the side wall of a workpiece), resulting in poor conformality.

在鄰近基板100的環境中,含矽前驅物典型地係與其他物種(尤其載體氣體)一同輸送。在一些實施例中, 含矽前驅物係與自由基物種與其他物種(包括其他反應性物種及/或載體氣體)一同存在。在一些實施例中,含矽前驅物可作為混合物導入。從沉積反應表面的上游,含矽前驅物可與惰性載體氣體混合。範例惰性載體氣體包括但不限於氮(N2 )、氬(Ar)與氦(He)。此外,含矽前驅物可導入至具有主要及次要物種的混合物中,伴隨該次要物種含有以相對低的濃度存在於氧摻雜矽碳化物膜101中的一些元素或結構特徵(例如,環形結構、籠狀結構、不飽和鍵等等)。複數前驅物可適當的以等莫耳濃度或相對類似比例的方式存在,以在造成的氧摻雜矽碳化物膜101中形成主幹或基體。在其他實施例中,不同前驅物的相對量實質上自等莫耳濃度偏差。In the environment adjacent to the substrate 100, the silicon-containing precursor is typically transported together with other species, especially a carrier gas. In some embodiments, the silicon-containing precursor system is present along with free radical species and other species, including other reactive species and / or carrier gases. In some embodiments, the silicon-containing precursor may be introduced as a mixture. Upstream of the deposition reaction surface, the silicon-containing precursor can be mixed with an inert carrier gas. Exemplary inert carrier gases include, but are not limited to, nitrogen (N 2 ), argon (Ar), and helium (He). In addition, the silicon-containing precursor may be introduced into a mixture having a primary and secondary species, with the secondary species containing some elements or structural features present in the oxygen-doped silicon carbide film 101 at a relatively low concentration (for example, Ring structure, cage structure, unsaturated bond, etc.). The plurality of precursors may suitably exist in an equal molar concentration or a relatively similar ratio to form a backbone or a matrix in the resulting oxygen-doped silicon carbide film 101. In other embodiments, the relative amounts of different precursors are substantially offset from the isomolar concentration.

在一些實施例中,一或更多含矽前驅物提供沉積的矽碳化物膜實質上所有的質量,伴隨來自遠程電漿之少量的氫或其他元素提供少於膜質量的約5%原子百分比或少於約2%原子百分比。在一些實施例中,僅自由基物種與一或更多含矽前驅物對沉積的矽碳化物膜的組成有貢獻。在其他實施例中,沉積反應包括除了一或更多含矽前驅物與自由基物種以外的共反應物。如此共反應物的範例包括下列者:二氧化碳(CO2 )、一氧化碳(CO)、水(H2 O)、甲醇(CH3 OH)、氧(O2 )、臭氧(O3 )、氮(N2 )、氧化氮((N2 O)、氨(NH3 )、甲烷 (CH4 )、乙烷(C2 H6 )、乙炔(C2 H2 )、乙烯(C2 H4 )、二硼烷、及其組合。如此材料可用作氮化劑、氧化劑、還原劑等等。在一些情形中,藉由將與含矽前驅物一同提供之碳的一部分移除,該等材料可用以調整在沉積的膜中之碳的量。在採用無氫共反應物的一些實施例中,共反應物係經由與含矽前驅物相同的流動路徑導入至反應器;例如,包括噴淋頭、典型地不直接曝露於電漿的路徑。在一些實施例中,氧及/或二氧化碳係與前驅物一同導入,以在沉積期間藉由從膜或前驅物中移除碳來改變矽碳化物膜的組成。在採用無氫共反應物的一些實施例中,共反應物經由與氫相同的流動路徑導入至反應器,使得共反應物至少部分地轉換成自由基及/或離子。在如此實施例中,氫自由基及共反應物自由基兩者與含矽前驅物(複數)進行反應以產生所沉積的矽碳化物膜。In some embodiments, one or more silicon-containing precursors provide substantially all of the mass of the deposited silicon carbide film, with a small amount of hydrogen or other elements from the remote plasma providing less than about 5% atomic percent of the film mass Or less than about 2% atomic percent. In some embodiments, only free radical species and one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film. In other embodiments, the deposition reaction includes co-reactants other than one or more silicon-containing precursors and free radical species. Examples of such co-reactants include the following: carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), nitrogen (N 2 ), nitrogen oxide ((N 2 O), ammonia (NH 3 ), methane (CH 4 ), ethane (C 2 H 6 ), acetylene (C 2 H 2 ), ethylene (C 2 H 4 ), two Boranes, and combinations thereof. Such materials can be used as nitriding agents, oxidants, reducing agents, etc. In some cases, these materials can be used to remove a portion of the carbon provided with the silicon-containing precursor. The amount of carbon in the deposited film is adjusted. In some embodiments using a hydrogen-free co-reactant, the co-reactant is introduced into the reactor via the same flow path as the silicon-containing precursor; for example, including a shower head, Paths that are typically not directly exposed to the plasma. In some embodiments, oxygen and / or carbon dioxide are introduced with the precursor to change the silicon carbide film by removing carbon from the film or precursor during deposition In some embodiments using a hydrogen-free co-reactant, the co-reactant is introduced into the reactor via the same flow path as hydrogen, making the co-reaction Was at least partially converted into radicals and / or ions. In such an embodiment, a hydrogen radical and a radical coreactant with both the silicon carbide film containing silicon precursor (s) to produce a reaction deposited.

在使用共反應物且共反應物與受轉換為自由基的物種(例如,氫)一同導入至腔室的某些實施例中,相較於反應器中的其他氣體(包括例如氫的自由基源、例如氦的任何(複數)載體氣體),該共反應物係以相對少量提供至反應器。例如,共反應物可以約0.05%質量或更少、或者約0.01% 質量或更少、或者約0.001%質量或更少存在於製程氣體中。例如,反應物混合物(其進入電漿源)可為約10L/m He、約200-500sccm H2 與約1-5sccm氧。當共反應物與含矽前驅物一同導入腔室時(例如,透過噴淋頭),其可以較高濃度存在;例如約2%或更少、或者約0.1%或更少。當共反應物為相對弱的反應物時(例如,如二氧化碳的弱氧化劑),其甚至可以更高濃度存在,例如約10%或更少、或者約4%或更少。In certain embodiments where a co-reactant is used and the co-reactant is introduced into the chamber together with a species that is converted to a free radical (e.g., hydrogen), compared to other gases in the reactor (including, for example, hydrogen Source, such as any (plural) carrier gas of helium), the co-reactant is provided to the reactor in a relatively small amount. For example, the co-reactant may be present in the process gas at about 0.05% by mass or less, or about 0.01% by mass or less, or about 0.001% by mass or less. For example, the reactant mixture (which enters the plasma source) can be about 10 L / m He, about 200-500 sccm H 2, and about 1-5 sccm oxygen. When the co-reactant is introduced into the chamber together with the silicon-containing precursor (eg, through a showerhead), it can be present at a higher concentration; for example, about 2% or less, or about 0.1% or less. When the co-reactant is a relatively weak reactant (e.g., a weak oxidant such as carbon dioxide), it can be present at even higher concentrations, such as about 10% or less, or about 4% or less.

鄰近基板100之環境中的溫度可為促進沉積反應的任何合適溫度,但有時受限於包含氧摻雜矽碳化物膜101之裝置的應用。鄰近基板100之環境中的溫度可藉由基座的溫度而大幅控制,基板100在氧摻雜矽碳化物膜101的沉積期間受支撐於該基座上。在一些實施例中,操作溫度可在約50℃與約500℃之間。例如,在許多積體電路應用中,操作溫度可在約250℃與約400℃之間。在一些實施例中,增加溫度可導致基板表面上交聯的增加。The temperature in the environment adjacent to the substrate 100 may be any suitable temperature that promotes the deposition reaction, but is sometimes limited to the application of a device including an oxygen-doped silicon carbide film 101. The temperature in the environment adjacent to the substrate 100 can be greatly controlled by the temperature of the pedestal, and the substrate 100 is supported on the pedestal during the deposition of the oxygen-doped silicon carbide film 101. In some embodiments, the operating temperature may be between about 50 ° C and about 500 ° C. For example, in many integrated circuit applications, the operating temperature can be between about 250 ° C and about 400 ° C. In some embodiments, increasing the temperature may cause an increase in cross-linking on the substrate surface.

鄰近基板100之環境中的壓力可為在製程腔室中產生反應性自由基的任何合適壓力。在一些實施例中,壓力可為約35Torr或更低。例如,例如在使用微波產生之電漿的實施例中,壓力可在約10Torr與約20Torr之間。在其他範例中,例如在使用射頻(RF)產生之電漿的實施例中,壓力可小於約5Torr或在約0.2Torr與約5Torr之間。The pressure in the environment adjacent to the substrate 100 may be any suitable pressure that generates reactive radicals in the process chamber. In some embodiments, the pressure may be about 35 Torr or lower. For example, in embodiments using a plasma generated by microwaves, the pressure may be between about 10 Torr and about 20 Torr. In other examples, such as in embodiments using a radio frequency (RF) generated plasma, the pressure may be less than about 5 Torr or between about 0.2 Torr and about 5 Torr.

圖1B-1E說明各式應用中含有氧摻雜矽碳化物膜之結構的橫剖面。圖1B說明電晶體之閘極電極結構之側壁上的氧摻雜矽碳化物垂直結構。圖1C說明氣隙類型金屬化層中銅線的曝露側壁上的氧摻雜矽碳化物垂直結構。圖1D說明用於多孔介電材料之氧摻雜矽碳化物孔洞密封物。圖1E經由鰭式場效電晶體(finFET)結構之整合流程說明氧摻雜矽碳化物間隔物。該等應用的每一者係於以下進一步詳細討論。 前驅物的化學結構1B-1E illustrate cross sections of structures containing oxygen-doped silicon carbide films in various applications. FIG. 1B illustrates an oxygen-doped silicon carbide vertical structure on a sidewall of a gate electrode structure of a transistor. FIG. 1C illustrates an oxygen-doped silicon carbide vertical structure on exposed sidewalls of a copper wire in an air-gap type metallization layer. FIG. 1D illustrates an oxygen-doped silicon carbide hole seal for a porous dielectric material. FIG. 1E illustrates an oxygen-doped silicon carbide spacer through the integration flow of a finFET structure. Each of these applications is discussed in further detail below. Chemical structure of precursor

如討論,在形成氧摻雜矽碳化物膜中採用的前驅物可包括含矽前驅物,至少一些該含矽前驅物具有至少一Si-H鍵及/或至少一Si-Si鍵。在某些實施例中,含矽前驅物在每一個矽原子上最多具有一個氫原子。因此,例如,具有一個矽原子的前驅物最多具有與該矽原子鍵結的一個氫原子;具有二個矽原子的前驅物具有與一個矽原子鍵結的一個氫原子,且可選地具有與第二個矽原子鍵結的另一個氫原子;具有三個矽原子的前驅物至少具有與一個矽原子鍵結的一個氫原子,且可選地具有與剩餘矽原子其中一或二者鍵結的一個或二個額外的氫原子,以此類推。此外,含矽前驅物可包括至少一Si-O鍵及/或至少一Si-C鍵。儘管在形成氧摻雜矽碳化物膜中可使用任何數目的適當前驅物,至少一些前驅物將包括具有至少一Si-H鍵或Si-Si鍵、與可選地具有至少一Si-O鍵及/或Si-C鍵的含矽前驅物。在一些實施例中,(複數)含矽前驅物不含有O-C鍵,例如(複數)前驅物不含有烷氧基(-O-R),其中R為例如烴基團的有機基團。As discussed, the precursors used in forming the oxygen-doped silicon carbide film may include silicon-containing precursors, and at least some of the silicon-containing precursors have at least one Si-H bond and / or at least one Si-Si bond. In some embodiments, the silicon-containing precursor has at most one hydrogen atom on each silicon atom. Thus, for example, a precursor having one silicon atom has at most one hydrogen atom bonded to the silicon atom; a precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom, and optionally has Another hydrogen atom bonded to a second silicon atom; a precursor having three silicon atoms has at least one hydrogen atom bonded to one silicon atom, and optionally one or both of the remaining silicon atoms One or two additional hydrogen atoms, and so on. In addition, the silicon-containing precursor may include at least one Si-O bond and / or at least one Si-C bond. Although any number of suitable precursors can be used in forming the oxygen-doped silicon carbide film, at least some of the precursors will include having at least one Si-H bond or Si-Si bond, and optionally having at least one Si-O bond And / or Si-C bond silicon-containing precursors. In some embodiments, the (plural) silicon-containing precursor does not contain an O-C bond, for example, the (plural) precursor does not contain an alkoxy group (-O-R), where R is an organic group such as a hydrocarbon group.

在某些實施例中,針對氧摻雜矽碳化物膜而提供之至少一些碳係藉由含矽前驅物上之一或更多的烴官能基提供。如此官能基可來自烴基基團、烯基基團、炔基基團、芳基基團、及類似者。在某些實施例中,烴基團具有單一碳原子以最小化沉積期間之Si-H鍵及/或Si-Si鍵之斷開反應的立體阻礙。然而,前驅物不受限於單一碳基團;仍可使用較多數目的碳原子,例如2、3、4、5、或6個碳原子。在某些實施例中烴基團為線形。在某些實施例中,烴基團為環形。In some embodiments, at least some of the carbon provided for the oxygen-doped silicon carbide film is provided by one or more hydrocarbon functional groups on the silicon-containing precursor. Such a functional group may be derived from a hydrocarbyl group, an alkenyl group, an alkynyl group, an aryl group, and the like. In certain embodiments, the hydrocarbon group has a single carbon atom to minimize the steric hindrance of the Si-H bond and / or Si-Si bond breaking reaction during deposition. However, the precursor is not limited to a single carbon group; a larger number of carbon atoms can still be used, such as 2, 3, 4, 5, or 6 carbon atoms. In certain embodiments the hydrocarbon groups are linear. In certain embodiments, the hydrocarbon group is cyclic.

在一些實施例中,含矽前驅物落入三或更多化學類別中之一者,其中的任一者可作為單一前驅物單獨存在或者與其他類型前驅物組合存在。吾人將瞭解,可採用其他化學類別的含矽前驅物,且該含矽前驅物不受限於以下討論的化學類別。In some embodiments, the silicon-containing precursor falls into one of three or more chemical categories, any of which may exist alone as a single precursor or in combination with other types of precursors. I will understand that other chemical classes of silicon-containing precursors may be used and that the silicon-containing precursor is not limited to the chemical classes discussed below.

第一,含矽前驅物可為矽氧烷。在一些實施例中,矽氧烷可為環形。環形矽氧烷可包括例如2,4,6,8-四甲基環四矽氧烷(TMCTS)、八甲基環四矽氧烷(OMCTS)與七甲基環四矽氧烷(HMCTS)的環四矽氧烷。其他環形矽氧烷亦可包括但不限於環三矽氧烷及環五矽氧烷。使用環形矽氧烷的實施例為可將多孔性導入氧摻雜矽碳化物膜中的環形結構,而孔洞尺寸對應於環半徑。例如,環四矽氧烷環可具有約6.7Å的半徑。First, the silicon-containing precursor may be a siloxane. In some embodiments, the siloxane may be ring-shaped. Cyclosiloxanes may include, for example, 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS) and heptamethylcyclotetrasiloxane (HMCTS) Of cyclotetrasiloxane. Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxane and cyclopentasiloxane. An embodiment using a ring-shaped siloxane is a ring structure that can introduce porosity into an oxygen-doped silicon carbide film, and the hole size corresponds to the ring radius. For example, a cyclotetrasiloxane ring may have a radius of about 6.7 Å.

在一些實施例中,矽氧烷可具有三維或籠狀結構。圖2說明代表性籠狀矽氧烷前驅物的範例。籠狀矽氧烷具有經由氧原子彼此橋接的矽原子以形成多面體或任何3D結構。籠狀矽氧烷前驅物分子的範例為倍半矽氧烷。籠狀矽氧烷結構係在Cleemput等人共同擁有之美國專利第6,576,345號中進一步詳細描述,其係整體並針對所有目的係併入於此,以供參考。類似於環形矽氧烷,籠狀矽氧烷可將多孔性導入氧摻雜矽碳化物膜中。在一些實施例中,孔隙尺寸為中孔。In some embodiments, the siloxane may have a three-dimensional or cage-like structure. Figure 2 illustrates an example of a representative caged siloxane precursor. Cage siloxanes have silicon atoms bridged to each other via oxygen atoms to form a polyhedron or any 3D structure. An example of a caged silicone precursor molecule is silsesquioxane. The cage siloxane structure is described in further detail in US Patent No. 6,576,345, co-owned by Cleemput et al., Which is incorporated herein by reference in its entirety and for all purposes. Similar to cyclic siloxanes, cage siloxanes introduce porosity into oxygen-doped silicon carbide films. In some embodiments, the pore size is mesopores.

在一些實施例中,矽氧烷為線形。合適的線形矽氧烷的範例包括但不限於例如五甲基二矽氧烷(PMDSO)與四甲基二矽氧烷(TMDSO)的二矽氧烷、及例如六甲基三矽氧烷、七甲基三矽氧烷的三矽氧烷。In some embodiments, the siloxane is linear. Examples of suitable linear siloxanes include, but are not limited to, disilanes such as pentamethyldisilazane (PMDSO) and tetramethyldisilaxane (TMDSO), and such as hexamethyltrisiloxane, Heptamethyltrisiloxane.

第二,含矽前驅物可為烴基矽烷或其他烴取代矽烷。烴基矽烷包括中央矽原子,一或更多烴基基團與該中央矽原子鍵結、且一或更多氫原子與該中央矽原子鍵結。在某些實施例中,烴基基團的任何一或多者含有1-5個碳原子。烴基團可為飽和的或不飽和的(例如,烯基基團(例如,乙烯基)、炔基基團與芳香基團)。範例包括但不限於三甲基矽烷(3MS)、三乙基矽烷、五甲基二矽甲烷((CH3)2Si-CH2-Si(CH3)3)、及二甲基矽烷(2MS)。Second, the silicon-containing precursor may be a hydrocarbon-based silane or another hydrocarbon-substituted silane. The hydrocarbyl silane includes a central silicon atom, one or more hydrocarbyl groups are bonded to the central silicon atom, and one or more hydrogen atoms are bonded to the central silicon atom. In certain embodiments, any one or more of the hydrocarbyl groups contain 1-5 carbon atoms. Hydrocarbon groups can be saturated or unsaturated (eg, alkenyl groups (eg, vinyl), alkynyl groups, and aromatic groups). Examples include, but are not limited to, trimethylsilane (3MS), triethylsilane, pentamethyldisilamethane ((CH3) 2Si-CH2-Si (CH3) 3), and dimethylsilane (2MS).

第三,含矽前驅物可為烷氧基矽烷,烷氧基矽烷包括中心矽原子,一或更多烷氧基基團與該中心矽原子鍵結,且一或更多氫原子與該中心矽原子鍵結。範例包括但不限於三甲氧基矽烷(TMOS)、二甲氧基矽烷(DMOS)、甲氧基矽烷(MOS)、甲基二甲氧基矽烷(MDMOS)、二乙氧基甲基矽烷(DEMS)、二甲基乙氧基矽烷(DMES)與二甲基甲氧基矽烷(DMMOS)。Third, the silicon-containing precursor may be an alkoxysilane. The alkoxysilane includes a central silicon atom, one or more alkoxy groups are bonded to the central silicon atom, and one or more hydrogen atoms are bonded to the center. Silicon atom bonding. Examples include, but are not limited to, trimethoxysilane (TMOS), dimethoxysilane (DMOS), methoxysilane (MOS), methyldimethoxysilane (MDMOS), diethoxymethylsilane (DEMS) ), Dimethylethoxysilane (DMES) and dimethylmethoxysilane (DMMOS).

此外,二矽烷、三矽烷或其他更高矽烷可用以代替單矽烷。在一些實施例中,矽原子之一可具有附接至該矽原子的含碳或含烴基團,且矽原子之一可具有附接至該矽原子的一個氫原子。In addition, disilane, trisilane, or other higher silanes can be used instead of monosilane. In some embodiments, one of the silicon atoms may have a carbon-containing or hydrocarbon-containing group attached to the silicon atom, and one of the silicon atoms may have a hydrogen atom attached to the silicon atom.

在沉積氧摻雜矽碳化物中,複數含矽前驅物可在製程氣體中存在。例如,可一同使用矽氧烷與烴基矽烷,或可一同使用矽氧烷與烷氧基矽烷。個別前驅物的相對比例可基於擇定之前驅物的化學結構與造成氧摻雜矽碳化物膜的應用而擇定。例如,在莫耳百分比上,矽氧烷的量可大於矽烷的量,以產生如以下詳細討論之多孔的膜。In depositing oxygen-doped silicon carbide, a plurality of silicon-containing precursors may be present in the process gas. For example, a siloxane and a hydrocarbylsilane may be used together, or a siloxane and an alkoxysilane may be used together. The relative proportions of individual precursors can be selected based on the chemical structure of the selected precursor and the application that results in the oxygen-doped silicon carbide film. For example, in mole percentages, the amount of siloxane may be greater than the amount of silane to produce a porous membrane as discussed in detail below.

針對沉積氧摻雜矽碳化物膜,合適的前驅物範例包括例如環四矽氧烷(例如七甲基三矽氧烷(HMCTS)與四甲基環四矽氧烷(TMCTS))之環形矽氧烷。其他環形矽氧烷亦可包括但不限於環三矽氧烷與環五矽氧烷。針對沉積氧摻雜矽碳化物膜,合適的前驅物範例包括線形矽氧烷,例如但不限於二矽氧烷(例如五甲基二矽氧烷(PMDSO)、四甲基二矽氧烷(TMDSO)、六甲基三矽氧烷與七甲基三矽氧烷)。For the deposition of oxygen-doped silicon carbide films, examples of suitable precursors include ring silicon such as cyclotetrasiloxane (such as heptamethyltrisiloxane (HMCTS) and tetramethylcyclotetrasiloxane (TMCTS)). Oxane. Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxane and cyclopentasiloxane. For the deposition of oxygen-doped silicon carbide films, examples of suitable precursors include linear siloxanes such as, but not limited to, disiloxanes (such as pentamethyldisilazane (PMDSO), TMDSO), hexamethyltrisiloxane and heptamethyltrisiloxane).

如所解釋,含矽前驅物係擇定成提供高度保形的矽碳化物膜。據信,具有低黏附係數的含矽前驅物能產生高度保形的膜。「黏附係數」為用以描述以下者的用語:在相同時段期間,吸附/黏至基板表面的吸附質物種(例如,片段或分子)的數目相較於衝擊於該表面上的物種的數目之比率。符號Sc 有時係用以指示黏附係數。Sc 的值在0(意謂沒有物種黏附)與1(意謂所有衝擊物種黏附)之間。不同的因素影響黏附係數,包括衝擊物種的類型、表面溫度、表面覆蓋率、表面的結構細節與衝擊物種的動能。某些物種本質上比其它者更「黏」,使其在每一次物種衝擊基板表面時更可能吸附至基板表面上。該等更黏的物種具有較高的黏附係數(所有其他因素相等),且相較於具有較低吸附係數的較不黏的物種,其更可能吸附在鄰近凹陷特徵部的入口處。在一些情形下,前驅物的黏附係數(在相關的沉積條件下)可為約0.05或更少,例如約0.001或更少。 所沉積膜的結構與特性As explained, the silicon-containing precursor is selected to provide a highly conformal silicon carbide film. It is believed that silicon-containing precursors with low adhesion coefficients can produce highly conformal films. "Adhesion coefficient" is a term used to describe the number of adsorbate species (e.g., fragments or molecules) adsorbed / adhered to the substrate surface during the same period of time compared to the number of species impacted on the surface ratio. The symbol S c is sometimes used to indicate the adhesion coefficient. The value of S c is between 0 (meaning no species adhesion) and 1 (meaning all impact species adhesion). Different factors affect the adhesion coefficient, including the type of impact species, surface temperature, surface coverage, surface structural details, and kinetic energy of impact species. Some species are more "sticky" in nature than others, making them more likely to adhere to the substrate surface each time they impact the substrate surface. These more sticky species have higher adhesion coefficients (all other factors being equal), and are more likely to be adsorbed at the entrances of adjacent depression features than the less sticky species with lower adsorption coefficients. In some cases, the precursor's adhesion coefficient (under relevant deposition conditions) may be about 0.05 or less, such as about 0.001 or less. Structure and characteristics of the deposited film

沉積的膜將包括矽、氧與碳。在一些實施例中,矽的原子濃度在約15%與45%之間、氧的原子濃度在約10%與40%之間,且碳的原子濃度在約30%與60%之間。在一範例中,矽的原子濃度為約30%、氧的原子濃度為約25%,且碳的原子濃度為約45%。吾人將瞭解,相對原子濃度可取決於前驅物的選擇而改變。矽原子將與碳及/或氧形成鍵結。在一些實施例中,沉積的膜含有比Si-C鍵多的Si-O鍵。此可提供具有較低介電常數之相對多孔的膜。在一些範例中,所沉積的膜含有在0.5:1與3:1之間的Si-O鍵對Si-C鍵的比率。The deposited film will include silicon, oxygen, and carbon. In some embodiments, the atomic concentration of silicon is between about 15% and 45%, the atomic concentration of oxygen is between about 10% and 40%, and the atomic concentration of carbon is between about 30% and 60%. In one example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 25%, and the atomic concentration of carbon is about 45%. I will understand that the relative atomic concentration may vary depending on the choice of precursor. The silicon atom will form a bond with carbon and / or oxygen. In some embodiments, the deposited film contains more Si-O bonds than Si-C bonds. This can provide a relatively porous film with a lower dielectric constant. In some examples, the deposited film contains a Si-O bond to Si-C bond ratio between 0.5: 1 and 3: 1.

在一些實施例中,前驅物的內部結構在所沉積的膜中維持。此結構可保留前驅物中之所有或大部分的Si-C鍵與Si-O鍵,而透過存在於前驅物分子中之Si-H鍵及/或Si-Si鍵位置處的鍵結、及/或透過生長表面上額外的凝結反應(如果提供足夠的熱能),聯結或交聯個別的前驅物官能基。In some embodiments, the internal structure of the precursor is maintained in the deposited film. This structure can retain all or most of the Si-C bonds and Si-O bonds in the precursor, through the Si-H bonds and / or Si-Si bond positions existing in the precursor molecules, and / Or through additional coagulation reactions on the growth surface (if sufficient thermal energy is provided), to link or crosslink individual precursor functional groups.

先前描述的製程條件可提供高度保形的膜結構。相對適度的製程條件可最小化基板表面處的離子轟擊程度,使得沉積缺少方向性。此外,相對適度的製程條件可減少具有高黏附係數之自由基的數目,該等自由基將具有黏附於先前沉積的層或膜之側壁的傾向。在某些實施例中,對於約2:1至10:1的深寬比,氧摻雜矽碳化物膜可沉積具有在約25%與100%之間、更典型地在約50%與100%之間、與甚至更典型地在約80%與100%之間的保形度。保形度可藉由將特徵部之底部、側壁、或頂部上之沉積膜的平均厚度與特徵部之底部、側壁、或頂部上之沉積膜的平均厚度相比而計算出來。例如,保形度可藉由將特徵部之側壁上沉積膜的平均厚度除以頂部處沉積膜的平均厚度並乘以100以得到百分比而計算。對於某些應用,在85%與95%之間的保形度係足夠。在一些將矽碳化物沉積於具有約2:1與約4:1之間的深寬比之特徵部上的範例中,保形度為至少約90%。某些後段製程(BEOL)落入此範疇中。在一些將矽碳化物沉積於具有約4:1與約6:1之間的深寬比之特徵部上的範例中,保形度為至少約80%。某些間隔物沉積製程落入此範疇中。在一些將矽碳化物沉積於具有約7:1與約10:1之間(以及甚至更高)的深寬比之特徵部上的範例中,保形度為至少約90%。某些DRAM(動態隨機存取記憶體)製造製程落入此範疇中。The previously described process conditions can provide a highly conformal film structure. Relatively moderate process conditions can minimize the degree of ion bombardment at the substrate surface, making the deposition lack directionality. In addition, relatively moderate process conditions can reduce the number of free radicals with high adhesion coefficients that will have a tendency to adhere to the sidewalls of previously deposited layers or films. In some embodiments, for an aspect ratio of about 2: 1 to 10: 1, the oxygen-doped silicon carbide film may be deposited with between about 25% and 100%, more typically between about 50% and 100 Conformality between% and even more typically between about 80% and 100%. The conformity can be calculated by comparing the average thickness of the deposited film on the bottom, sidewall, or top of the feature with the average thickness of the deposited film on the bottom, sidewall, or top of the feature. For example, the shape retention can be calculated by dividing the average thickness of the deposited film on the sidewall of the feature by the average thickness of the deposited film at the top and multiplying by 100 to obtain a percentage. For some applications, a shape retention between 85% and 95% is sufficient. In some examples where silicon carbide is deposited on a feature having an aspect ratio between about 2: 1 and about 4: 1, the conformality is at least about 90%. Some back-end processes (BEOL) fall into this category. In some examples where silicon carbide is deposited on features having an aspect ratio between about 4: 1 and about 6: 1, the conformality is at least about 80%. Some spacer deposition processes fall into this category. In some examples where silicon carbide is deposited on features having an aspect ratio between about 7: 1 and about 10: 1 (and even higher), the conformality is at least about 90%. Some DRAM (Dynamic Random Access Memory) manufacturing processes fall into this category.

該製程條件亦可提供具有高崩潰電壓與低漏失電流的膜結構。藉由在SiC類別材料中導入有限量的氧,由Si-H鍵及/或Si-CH2 -Si鍵提供的漏失路徑可藉由氧阻擋。這可提供改善的電性同時維持相對低的介電常數。在不同的實施例中,膜具有約4.5或更低、約4.0或更低、約3.5或更低、及在一些實施例中約3.0或更低、及在另外其他的實施例中約2.5或更低的有效介電常數。有效介電常數可取決於鍵結與密度。在一些應用要求相對高的介電常數之實施例中,氧摻雜矽碳化物膜可具有大於約4.0之有效介電常數以提供相對緻密、高度交聯的氧摻雜矽碳化物膜。在一些實施例中,氧摻雜矽碳化物膜係可相對薄,並仍作為密封與擴散阻障。This process condition can also provide a film structure with high breakdown voltage and low leakage current. By introducing a limited amount of oxygen into a SiC-type material, the leak path provided by Si-H bonds and / or Si-CH 2 -Si bonds can be blocked by oxygen. This can provide improved electrical properties while maintaining a relatively low dielectric constant. In various embodiments, the film has about 4.5 or less, about 4.0 or less, about 3.5 or less, and in some embodiments about 3.0 or less, and in still other embodiments about 2.5 or Lower effective dielectric constant. The effective dielectric constant may depend on the bonding and density. In some applications that require a relatively high dielectric constant, the oxygen-doped silicon carbide film may have an effective dielectric constant greater than about 4.0 to provide a relatively dense, highly cross-linked oxygen-doped silicon carbide film. In some embodiments, the oxygen-doped silicon carbide film may be relatively thin and still serve as a sealing and diffusion barrier.

在一些實施例中,沉積的膜可為多孔的。如先前在此討論,含矽前驅物可包括環形矽氧烷與籠狀矽氧烷。該等前驅物、及具有顯著內部開放空間的其他者可將顯著的多孔性導入所沉積之膜的結構內。所沉積之膜中的多孔性可進一步降低介電常數。在一些實施例中,所沉積之氧摻雜矽碳化物膜中的孔隙率在約20%與50%之間。多孔膜的孔尺寸可跟隨環形或籠狀前驅物的孔洞尺寸。在某些實施例中,膜的平均孔洞尺寸在約5Å與20Å之間,例如約16Å。In some embodiments, the deposited film may be porous. As previously discussed herein, the silicon-containing precursors may include cyclic siloxane and cage siloxane. These precursors, and others with significant internal open spaces, can introduce significant porosity into the structure of the deposited film. The porosity in the deposited film can further reduce the dielectric constant. In some embodiments, the porosity in the deposited oxygen-doped silicon carbide film is between about 20% and 50%. The pore size of the porous membrane can follow the pore size of the annular or cage precursor. In some embodiments, the average pore size of the film is between about 5 Å and 20 Å, such as about 16 Å.

藉由本揭露內容的方法所沉積之氧摻雜矽碳化物膜可具有能與習知的SiOC膜區別的化學結構。儘管藉由本揭露內容的方法所沉積之氧摻雜矽碳化物膜可稱為SiOC膜或SiCO膜,將瞭解,習知的SiOC膜不具有如藉由本揭露內容的方法所沉積之SiOC膜或SiCO膜一般的相同化學結構或特性。在一些實施例中,習知的SiOC膜可為能與本揭露內容的SiOC或SiCO膜區別的碳摻雜矽氧化物膜。圖4A顯示習知的SiOC膜的範例化學結構。圖4B顯示藉由本揭露內容的方法沉積之氧摻雜矽碳化物(SiOC或SiCO)膜的範例化學結構。The oxygen-doped silicon carbide film deposited by the method of the present disclosure may have a chemical structure that can be distinguished from a conventional SiOC film. Although the oxygen-doped silicon carbide film deposited by the method of the present disclosure may be referred to as a SiOC film or a SiCO film, it will be understood that the conventional SiOC film does not have the SiOC film or SiCO as deposited by the method of the present disclosure. The membranes generally have the same chemical structure or characteristics. In some embodiments, the conventional SiOC film may be a carbon-doped silicon oxide film that can be distinguished from the SiOC or SiCO film of the present disclosure. FIG. 4A shows an exemplary chemical structure of a conventional SiOC film. FIG. 4B shows an exemplary chemical structure of an oxygen-doped silicon carbide (SiOC or SiCO) film deposited by the method of the present disclosure.

許多習知的沉積技術形成具有類似於圖4A中所顯示的化學結構之習知的SiOC或SiOC:H。例如,如此SiOC或SiOC:H膜藉由使用碳摻雜二氧化矽(SiO2 )而形成。如在圖4A中所示,如此SiOC或SiOC:H膜包括複數末端CH3 鍵,其中碳原子由氧原子及氫原子配位。碳原子或至少實質上部分的碳原子為不交聯的。此外,圖4A中之SiOC或SiOC:H膜具有相對高的氫含量。如在圖4A中所示之碳摻雜矽氧化物結構可保有與在圖4B中顯示之本揭露內容的氧摻雜矽碳化物結構不同之特性。Many conventional deposition techniques form a conventional SiOC or SiOC: H having a chemical structure similar to that shown in Figure 4A. For example, such a SiOC or SiOC: H film is formed by using carbon-doped silicon dioxide (SiO 2 ). As shown in Figures 4A, so SiOC or SiOC: H film comprising a plurality of terminal CH 3 bond, an oxygen atom wherein the carbon atom and a hydrogen atom-coordinated. The carbon atoms or at least a substantial portion of the carbon atoms are non-crosslinked. In addition, the SiOC or SiOC: H film in FIG. 4A has a relatively high hydrogen content. The carbon-doped silicon oxide structure as shown in FIG. 4A may retain different characteristics from the oxygen-doped silicon carbide structure of the present disclosure shown in FIG. 4B.

本揭露內容的方法產生具有類似於圖4B中所顯示的化學結構之氧摻雜矽碳化物(SiOC或SiCO)膜。例如,如此的氧摻雜矽碳化物膜可藉由與含矽前驅物反應之一或更多處於實質上低能態(例如,基態)的自由基物種(例如,氫自由基)形成,其中該一或更多自由基物種自遠程電漿源產生。如在圖4B中所示,氧摻雜矽碳化物膜包括極少至沒有的末端CH3 鍵,其中碳原子大致交聯並藉由矽原子配位。碳原子或至少實質上部分的碳原子係交聯並不藉由氫原子或氧原子配位。此外,圖4B中之氧摻雜矽碳化物膜具有相對低的氫含量。The method of this disclosure produces an oxygen-doped silicon carbide (SiOC or SiCO) film having a chemical structure similar to that shown in FIG. 4B. For example, such an oxygen-doped silicon carbide film may be formed by reacting one or more radical species (e.g., hydrogen radicals) in a substantially low energy state (e.g., ground state) with one or more silicon-containing precursors, where the One or more free radical species are generated from a remote plasma source. As shown in Figure 4B, the oxygen doped silicon carbide film comprises little to no terminal CH 3 bonds, wherein the carbon atoms and a substantially crosslinked by Si atoms coordinated. The carbon atoms or at least a substantial portion of the carbon atoms are cross-linked and are not coordinated by hydrogen or oxygen atoms. In addition, the oxygen-doped silicon carbide film in FIG. 4B has a relatively low hydrogen content.

沉積的氧摻雜矽碳化物膜的特性可與碳摻雜矽氧化物膜或習知的SiOC膜的特性相比較。具有類似於圖4A中所顯示的結構之習知的SiOC或SiOC:H膜可易於水解並具有相對低能態。例如,Si-O-CH3 可易於水解成Si-OH與HO-CH3 。在圖4A中之矽原子藉由氧原子配位的情況下,Si-O鍵的極性造成在例如HF濕式蝕刻製程之蝕刻製程期間,膜對於氟化與後續的解離變得更脆弱。Si-O鍵相對於Si-C鍵的較高極性增加對於酸的反應性。然而,具有類似於圖4B中所顯示的結構之氧摻雜矽碳化物膜不易於水解,但反應緩慢且需要高能量。例如Si-C-Si不易於水解。在圖4B中之碳原子藉由矽原子配位的情況下,Si-C鍵的極性造成在例如HF濕式蝕刻製程之蝕刻製程期間,膜對於氟化與後續的解離變得較不脆弱。Si-C鍵相較於Si-O鍵的相對非極性使得氧摻雜矽碳化物膜對於酸變得更呈惰性。據此,在一些實施例中,氧摻雜矽碳化物膜可比碳摻雜矽氧化物膜具有較好的濕式蝕刻耐受性。The characteristics of the deposited oxygen-doped silicon carbide film can be compared with the characteristics of a carbon-doped silicon oxide film or a conventional SiOC film. A conventional SiOC or SiOC: H film having a structure similar to that shown in FIG. 4A can be easily hydrolyzed and has a relatively low energy state. For example, Si-O-CH 3 can be easily hydrolyzed to Si-OH and HO-CH 3 . In the case where the silicon atom is coordinated by an oxygen atom in FIG. 4A, the polarity of the Si-O bond causes the film to become more vulnerable to fluorination and subsequent dissociation during an etching process such as an HF wet etching process. The higher polarity of the Si-O bond relative to the Si-C bond increases the reactivity to the acid. However, an oxygen-doped silicon carbide film having a structure similar to that shown in FIG. 4B is not easily hydrolyzed, but the reaction is slow and requires high energy. For example, Si-C-Si is not easily hydrolyzed. In the case where the carbon atoms in FIG. 4B are coordinated by silicon atoms, the polarity of the Si-C bond causes the film to be less vulnerable to fluorination and subsequent dissociation during an etching process such as an HF wet etching process. The relative non-polarity of Si-C bonds compared to Si-O bonds makes oxygen-doped silicon carbide films more inert to acids. Accordingly, in some embodiments, the oxygen-doped silicon carbide film may have better wet etching resistance than the carbon-doped silicon oxide film.

圖5A說明習知的SiOC或SiOC:H膜的化學穩定性的傅立葉轉換紅外光譜學(FTIR)繪圖。FTIR繪圖顯示膜在沉積時含有Si-CH3 鍵、Si-C鍵與Si-O-Si鍵。在暴露至例如O2 /N2 剝除製程之蝕刻製程後,Si-CH3 鍵大幅地消失。末端CH3 鍵可輕易被移除,使得在O2 /N2 剝除製程之後,僅Si-C鍵與Si-O-Si鍵大量留存。這顯示範例的習知SiOC或SiOC:H膜並非化學上穩定的,尤其當暴露至蝕刻製程時。5A illustrates a Fourier transform infrared spectroscopy (FTIR) plot of the chemical stability of a conventional SiOC or SiOC: H film. FTIR mapping shows that the film contains Si-CH 3 bonds, Si-C bonds, and Si-O-Si bonds during deposition. After being exposed to an etching process such as an O 2 / N 2 stripping process, the Si—CH 3 bond largely disappears. The terminal CH 3 bond can be easily removed, so that after the O 2 / N 2 stripping process, only the Si-C bond and the Si-O-Si bond remain in large quantities. This shows that the exemplary conventional SiOC or SiOC: H film is not chemically stable, especially when exposed to an etching process.

圖5B說明習知的SiOC或SiOC:H膜的熱穩定性的熱脫附譜法(TDS)繪圖。TDS繪圖顯示在例如大於600℃溫度之較高溫度下,CH3 與H2 的分子從基板表面脫附。末端CH3 鍵可在較高溫度下容易分解,且更多氫將從具有增加氫含量之習知的SiOC或SiOC:H膜脫附。這顯示習知的SiOC或SiOC:H膜並非熱穩定,尤其當暴露至升高的溫度時。FIG. 5B illustrates a thermal desorption spectrum (TDS) plot of the thermal stability of a conventional SiOC or SiOC: H film. TDS plots show that molecules of CH 3 and H 2 are desorbed from the substrate surface at higher temperatures, for example, temperatures greater than 600 ° C. The terminal CH 3 bond can be easily decomposed at higher temperatures, and more hydrogen will be desorbed from a conventional SiOC or SiOC: H film with an increased hydrogen content. This shows that conventional SiOC or SiOC: H films are not thermally stable, especially when exposed to elevated temperatures.

圖6A說明藉由本揭露內容的方法所沉積之氧摻雜矽碳化物(SiOC或SiCO)膜的化學穩定性的FTIR繪圖。FTIR繪圖顯示膜含有Si-O-Si鍵與Si-C鍵但沒有Si-CH3 鍵。在暴露至例如O2 /N2 剝除製程之蝕刻製程後,FTIR繪圖維持相同。這顯示範例的氧摻雜矽碳化物膜為化學上穩定的,尤其當暴露至蝕刻製程時。FIG. 6A illustrates a FTIR plot of the chemical stability of an oxygen-doped silicon carbide (SiOC or SiCO) film deposited by the method of the present disclosure. FTIR mapping shows that the film contains Si-O-Si bonds and Si-C bonds but no Si-CH 3 bonds. After exposure to an etch process such as an O 2 / N 2 stripping process, the FTIR pattern remains the same. This shows that the exemplary oxygen-doped silicon carbide film is chemically stable, especially when exposed to an etching process.

圖6B說明藉由本揭露內容的方法所沉積之氧摻雜矽碳化物膜的熱穩定性的TDS繪圖。TDS繪圖顯示在例如大於600℃溫度之較高溫度下,CH3 的分子不從基板表面脫附。進一步地,相較於圖5B,在圖6B中更少H2 的分子從基板表面脫附。這說明藉由本揭露內容的方法所沉積之氧摻雜矽碳化物膜為熱穩定的。FIG. 6B illustrates a TDS plot of the thermal stability of an oxygen-doped silicon carbide film deposited by the method of the present disclosure. TDS plots show that at higher temperatures, for example, temperatures above 600 ° C, the molecules of CH 3 do not desorb from the surface of the substrate. Further, compared to FIG. 5B, fewer H 2 molecules are desorbed from the substrate surface in FIG. 6B. This shows that the oxygen-doped silicon carbide film deposited by the method of the present disclosure is thermally stable.

因此,氧摻雜矽碳化物膜可具有低蝕刻率、可為熱穩定的且可為化學上穩定的。這容許膜承受高溫退火、乾式/濕式蝕刻、灰化與其他製造製程。在不受任何理論限制的情況下,此穩健性可部分地由於交聯的Si-C鍵結與缺少末端CH3 鍵。此類型的膜可提供例如低k值間隔物應用之半導體應用方面顯著的效能改善。Therefore, the oxygen-doped silicon carbide film may have a low etch rate, may be thermally stable, and may be chemically stable. This allows the film to withstand high temperature annealing, dry / wet etching, ashing, and other manufacturing processes. Without being bound by any theory, this robustness may be due in part to the cross-linked Si-C bonding and the lack of terminal CH 3 bonds. This type of film can provide significant performance improvements in semiconductor applications such as low-k spacer applications.

相較於包括SiOC/SiOC:H、SiO2 與SiBCN之其他習知的介電材料,氧摻雜矽碳化物膜可提供改善的特性。氧摻雜矽碳化物膜不僅可提供低k值介電質,氧摻雜矽碳化物膜尚可提供高崩潰電壓、高化學穩定性、高熱穩定性與對於濕式蝕刻之強耐受性。如此氧摻雜矽碳化物膜在需要涉及不同熱退火與蝕刻步驟之積體化流程之低k值間隔物應用方面為有用的,其中氧摻雜矽碳化物膜可承受積體化流程同時維持低k值。習知的SiOC/SiOC:H、SiO2 與SiBCN膜可提供低k值但可能無法承受如此整合流程。 設備Compared to other conventional dielectric materials including SiOC / SiOC: H, SiO 2 and SiBCN, oxygen-doped silicon carbide films can provide improved characteristics. Oxygen-doped silicon carbide films not only provide low-k dielectrics, oxygen-doped silicon carbide films also provide high breakdown voltage, high chemical stability, high thermal stability, and strong resistance to wet etching. Such an oxygen-doped silicon carbide film is useful in applications requiring low-k spacers that involve an integrated process of different thermal annealing and etching steps, where the oxygen-doped silicon carbide film can withstand the integrated process while maintaining Low k value. Conventional SiOC / SiOC: H, SiO 2 and SiBCN films can provide low k values but may not be able to withstand such integration processes. device

揭露內容的一實施態樣為配置成實現此處描述之方法的設備。合適的設備包括用於實現製程操作的硬體、與具有根據本揭露內容用以控制製程操作之指令的系統控制器。在一些實施例中,用以執行前述製程操作的設備可包括遠程電漿源。相較於直接電漿源,遠程電漿源提供適度的反應條件。合適的遠程電漿設備的範例係在2013年10月24日申請之美國專利申請案第14/062,648號中描述,該案係整體併入於此,以供參考。One embodiment of the disclosure is a device configured to implement the methods described herein. Suitable equipment includes hardware for implementing process operations, and a system controller having instructions for controlling process operations according to the disclosure. In some embodiments, the equipment used to perform the aforementioned process operations may include a remote plasma source. Compared to direct plasma sources, remote plasma sources provide moderate response conditions. An example of a suitable remote plasma device is described in US Patent Application No. 14 / 062,648 filed on October 24, 2013, which is incorporated herein by reference in its entirety.

圖3根據某些實施例呈現遠程電漿設備的示意圖。裝置300包括具有噴淋頭組件320的反應腔室310。在反應腔室310內,將基板330置於基台或基座335上。在一些實施例中,基座335可裝有加熱/冷卻元件。控制器340可連接至裝置300的元件以控制裝置300的操作。例如,控制器340可含有用於裝置300的操作之指令或製程條件,例如溫度製程條件及/或壓力製程條件。Figure 3 presents a schematic diagram of a remote plasma apparatus according to some embodiments. The apparatus 300 includes a reaction chamber 310 having a showerhead assembly 320. In the reaction chamber 310, a substrate 330 is placed on a base or pedestal 335. In some embodiments, the base 335 may be equipped with heating / cooling elements. The controller 340 may be connected to elements of the device 300 to control the operation of the device 300. For example, the controller 340 may contain instructions or process conditions for the operation of the device 300, such as temperature process conditions and / or pressure process conditions.

在操作期間,氣體或氣體混合物經由耦接至反應腔室310之一或更多氣體入口而導入至反應腔室310中。在一些實施例中,二或更多氣體入口耦接至反應腔室310。第一氣體入口355可耦接至反應腔室310並連接至容器350,且第二氣體入口365可耦接至反應腔室310並連接至遠程電漿源360。在包括遠程電漿配置的實施例中,用於前驅物與在遠程電漿源中產生之自由基物種的輸送管線為分開的。因此,前驅物與自由基物種在到達基板330之前實質上不相互作用。During operation, a gas or gas mixture is introduced into the reaction chamber 310 via one or more gas inlets coupled to the reaction chamber 310. In some embodiments, two or more gas inlets are coupled to the reaction chamber 310. The first gas inlet 355 may be coupled to the reaction chamber 310 and connected to the container 350, and the second gas inlet 365 may be coupled to the reaction chamber 310 and connected to a remote plasma source 360. In embodiments that include a remote plasma configuration, the delivery lines for the precursors and the free radical species generated in the remote plasma source are separate. Therefore, the precursor and the radical species do not substantially interact with each other before reaching the substrate 330.

一或更多自由基物種可於遠程電漿源360中產生且配置成經由氣體入口365進入反應腔室310。任何類型的電漿源可用於遠程電漿源360中以產生自由基物種。這包括但不限於電容式耦合電漿、電感式耦合電漿、微波電漿、DC電漿與雷射產生電漿。電容式耦合電漿的範例可為射頻(RF)電漿。高頻電漿可配置成在13.56 MHz或更高的頻率下操作。如此遠程電漿源360的範例可為California之Novellus Systems of San Jose所生產的GAMMA®。如此RF遠程電漿源360的另一範例可為Massachusetts之MKS Instruments of Wilmington所生產之Astron®,其可在440 kHz的頻率下操作,並可作為螺栓在用以平行地處理一或更多基板之較大設備上的次單元而提供。在一些實施例中,微波電漿可作為遠程電漿源360使用,例如同樣由MKS Instruments所生產的Astex®。微波電漿可配置成操作2.45 GHz的頻率。One or more free radical species may be generated in the remote plasma source 360 and configured to enter the reaction chamber 310 via a gas inlet 365. Any type of plasma source can be used in the remote plasma source 360 to generate free radical species. This includes, but is not limited to, capacitive coupling plasma, inductively coupled plasma, microwave plasma, DC plasma and laser-generated plasma. An example of a capacitive coupling plasma is a radio frequency (RF) plasma. The high-frequency plasma can be configured to operate at 13.56 MHz or higher. An example of such a remote plasma source 360 is GAMMA® produced by Novellus Systems of San Jose, California. Another example of such an RF remote plasma source 360 may be Astron® manufactured by MKS Instruments of Wilmington, Massachusetts, which can operate at a frequency of 440 kHz and can be used as a bolt to process one or more substrates in parallel It is provided on a larger unit. In some embodiments, the microwave plasma can be used as a remote plasma source 360, such as Astex® also produced by MKS Instruments. The microwave plasma can be configured to operate at a frequency of 2.45 GHz.

前驅物可在容器350中提供,並可經由第一氣體入口355供給至噴淋頭320。噴淋頭320將前驅物朝基板330分配至反應腔室310中。基板330可位在噴淋頭320的下方。將察知,噴淋頭320可具有任何合適的形狀,並可具有用以將氣體分配至基板330之任何數目與佈置的埠口。可將前驅物以受控流率供給至噴淋頭320,且最終供給至基板330。The precursor may be provided in the container 350 and may be supplied to the shower head 320 via the first gas inlet 355. The shower head 320 distributes the precursors toward the substrate 330 into the reaction chamber 310. The substrate 330 may be located below the shower head 320. It will be appreciated that the showerhead 320 may have any suitable shape and may have any number and arrangement of ports for distributing gas to the substrate 330. The precursor may be supplied to the shower head 320 at a controlled flow rate, and finally supplied to the substrate 330.

形成於遠程電漿源360中的一或更多自由基物種可以氣相朝基板330載送。一或更多自由基物種可流過第二氣體入口365進入反應腔室310。吾人將瞭解,第二氣體入口365不必如同圖3中說明的,橫向於基板330的表面。在某些實施例中,第二氣體入口365可位於基板330正上方或在其他位置中。遠程電漿源360與反應腔室310之間的距離可配置成提供適度的反應條件,使得在遠程電漿源360中產生的離子化物種係實質上中和,但至少一些處於實質上低能態的自由基物種留存在鄰近基板330的環境中。如此低能態自由基物種不再結合而形成穩定化合物。遠程電漿源360與反應腔室310之間的距離可為下列者的函數:電漿的侵略性(例如,部分藉由源RF功率位準而判定)、電漿中氣體的密度(例如,如果有高濃度的氫原子,則顯著部分的氫原子可能在到達反應腔室310前再結合以形成H2 )與其他因素。在一些實施例中,遠程電漿源360與反應腔室310之間的距離可在約10cm與50cm之間,例如約30cm。One or more radical species formed in the remote plasma source 360 may be carried toward the substrate 330 in the gas phase. One or more free radical species may flow through the second gas inlet 365 into the reaction chamber 310. I will understand that the second gas inlet 365 need not be transverse to the surface of the substrate 330 as illustrated in FIG. 3. In some embodiments, the second gas inlet 365 may be located directly above the substrate 330 or in other locations. The distance between the remote plasma source 360 and the reaction chamber 310 may be configured to provide moderate reaction conditions such that the ionized species generated in the remote plasma source 360 are substantially neutralized, but at least some are in a substantially low energy state Of free radical species remain in the environment adjacent to the substrate 330. Such low-energy free radical species no longer combine to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 may be a function of the aggressiveness of the plasma (e.g., determined in part by the source RF power level), the density of the gas in the plasma (e.g., If there is a high concentration of hydrogen atoms, a significant portion of the hydrogen atoms may recombine before reaching the reaction chamber 310 to form H 2 ) and other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 may be between about 10 cm and 50 cm, such as about 30 cm.

在一些實施例中,在沉積反應期間可導入共反應物,其並非為主要的含矽前驅物或氫自由基。在一些實施例中,設備係配置成透過第二氣體入口365導入共反應物,在此情形中,共反應物至少部分地轉變成電漿。在一些實施例中,設備配置成經由第一氣體入口355透過噴淋頭320導入共反應物。共反應物的範例包括氧、氮、二氧化碳等等。In some embodiments, a co-reactant may be introduced during the deposition reaction, which is not the main silicon-containing precursor or hydrogen radical. In some embodiments, the device is configured to introduce the co-reactant through the second gas inlet 365, in which case the co-reactant is at least partially converted into a plasma. In some embodiments, the apparatus is configured to introduce the co-reactants through the showerhead 320 via the first gas inlet 355. Examples of co-reactants include oxygen, nitrogen, carbon dioxide, and the like.

控制器340可含有控制裝置300的操作用製程條件之指令。控制器340典型地將包括一或更多記憶體裝置與一或更多處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等等。用以實施適當控制操作的指令係在處理器上執行。該等指令可儲存在關聯於控制器340的記憶體裝置上,或者其可在網路上提供。The controller 340 may include instructions for controlling process conditions for the operation of the device 300. The controller 340 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and / or digital input / output connections, a stepper motor controller board, and so on. The instructions used to implement the appropriate control operations are executed on the processor. The instructions may be stored on a memory device associated with the controller 340, or it may be provided on a network.

在某些實施例中,控制器340控制於此描述之半導體處理裝置300的全部或大部分活動。例如,控制器340可控制關聯於沉積氧摻雜矽碳化物膜與(可選地)包括氧摻雜矽碳化物膜之製造流程中的其他操作之半導體處理裝置300的全部或大部分活動。控制器340可執行系統控制軟體,該系統控制軟體包括用於控制時序、氣體組成、氣體密度、氣體流率、腔室壓力、腔室溫度、RF功率位準、基板位置、及/或其他參數的指令組。在一些實施例中,可採用儲存在關聯於控制器340之記憶體裝置上的其他電腦程式、腳本或常用程式。為了在鄰近基板330的環境提供相對適度的反應條件,例如RF功率位準、氣體至遠程電漿區域之流率與電漿激發的時序之參數可藉由控制器340調整與維持。此外,調整基板位置可進一步減少高能自由基物種在鄰近基板330之環境的出現。In some embodiments, the controller 340 controls all or most of the activities of the semiconductor processing device 300 described herein. For example, the controller 340 may control all or most of the activities of the semiconductor processing device 300 associated with depositing an oxygen-doped silicon carbide film and (optionally) other operations in the manufacturing process including the oxygen-doped silicon carbide film. The controller 340 may execute system control software including control sequences, gas composition, gas density, gas flow rate, chamber pressure, chamber temperature, RF power level, substrate position, and / or other parameters. Instruction set. In some embodiments, other computer programs, scripts or common programs stored on the memory device associated with the controller 340 may be used. In order to provide relatively moderate response conditions in the environment adjacent to the substrate 330, parameters such as RF power level, gas flow rate to a remote plasma region, and plasma excitation timing can be adjusted and maintained by the controller 340. In addition, adjusting the position of the substrate can further reduce the occurrence of high-energy radical species in the environment adjacent to the substrate 330.

在複數站反應器中,控制器340可包含用於不同設備站之不同的或相同的指令,因而允許設備站獨立地或者同步地操作。In a multiple station reactor, the controller 340 may contain different or identical instructions for different equipment stations, thus allowing the equipment stations to operate independently or synchronously.

在一些實施例中,控制器340可包括用於執行例如下列者之操作的指令:使含矽前驅物透過第一氣體入口355流入反應腔室310內、從遠程電漿源360提供處於實質上低能態的一或更多自由基物種、及使一或更多自由基物種透過第二氣體入口365流入反應腔室310內,以與含矽前驅物反應而在基板330上形成氧摻雜矽碳化物膜。In some embodiments, the controller 340 may include instructions for performing operations such as: flowing a silicon-containing precursor into the reaction chamber 310 through the first gas inlet 355, One or more radical species in a low energy state and one or more radical species are allowed to flow into the reaction chamber 310 through the second gas inlet 365 to react with the silicon-containing precursor to form oxygen-doped silicon on the substrate 330 Carbide film.

在一些實施例中,設備可包括關聯於控制器340的使用者介面。使用者介面可包括顯示螢幕、設備及/或製程條件的圖形軟體顯示與使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等等)。In some embodiments, the device may include a user interface associated with the controller 340. The user interface may include graphical software displays and user input devices (eg, pointing devices, keyboards, touch screens, microphones, etc.) that display screens, equipment, and / or process conditions.

用於控制以上操作的電腦程式碼可以任何習知的電腦可讀的程式語言編寫:例如,組合語言、C、C++、Pascal、Fortran或其他。受編譯的目的碼或腳本係藉由處理器執行,以執行程式中認定的工作。The computer code for controlling the above operations can be written in any conventional computer-readable programming language: for example, combinatorial language, C, C ++, Pascal, Fortran, or others. The compiled object code or script is executed by the processor to perform the tasks identified in the program.

用以監控製程的訊號可藉由系統控制器的類比及/或數位輸入連接而提供。用以控制製程的訊號係在處理系統的類比與數位輸出連接上輸出。The signals used to monitor the process can be provided by analog and / or digital input connections of the system controller. The signals used to control the process are output on the analog and digital output connections of the processing system.

一般來講,此處描述方法可在包括半導體處理設備的系統上執行,該半導體處理設備例如(複數)處理工具、(複數)腔室、(複數)處理平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、以及之後,控制該系統的運作。一般來講,該電子設備係稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於處理條件及/或系統類型,系統控制器可程式設計成控制此處所揭露製程的任何者,包括處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF )產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。In general, the methods described herein can be performed on a system including semiconductor processing equipment such as (plural) processing tools, (plural) chambers, (plural) processing platforms, and / or specific processing elements ( Wafer pedestals, gas flow systems, etc.). The system can be integrated with electronics to control the operation of the system before, during, and after the processing of semiconductor wafers or substrates. Generally speaking, the electronic device is called a "controller", which can control various elements or sub-components of the system or plural systems. Depending on the processing conditions and / or system type, the system controller can be programmed to control any of the processes disclosed herein, including the transfer of process gas, temperature settings (e.g., heating and / or cooling), pressure settings, vacuum settings, Power setting, radio frequency (RF) generator setting, RF matching circuit setting, frequency setting, flow rate setting, fluid transfer setting, position and operation setting, wafer transfer (in and out of a tool connected to or connected to a specific system, and other transfer tools , And / or loading room).

廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包括:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processors)、定義為特殊用途積體電路(ASIC,application specific integrated circuits )的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定的製程(在半導體晶圓上,或針對半導體晶圓)定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:疊層、材料(例如,矽碳化物)、表面、電路、以及/或者晶圓的晶粒。Broadly speaking, a controller can be defined as an electronic device with various integrated circuits, logic, memory, and / or software for receiving instructions, issuing instructions, controlling operations, starting cleaning operations, starting endpoint measurements, and the like. . Integrated circuit may include: a chip in the form of firmware storing program instructions, a digital signal processor (DSP), a chip defined as an application specific integrated circuits (ASIC), and / or Or more microprocessors, or microcontrollers that execute program instructions (eg, software). Program instructions can be instructions that are communicated to the controller or system in the form of various individual settings (or program files) that are implemented in a specific process (on a semiconductor wafer or for semiconductor wafers) ) Define the operating parameters. In some embodiments, the operating parameters may be part of a recipe defined by a process engineer to achieve one or more processing steps during manufacturing of one or more of the following: stack, material (e.g., silicon carbide), Surface, circuit, and / or die of the wafer.

在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些範例中,遠端電腦(例如,伺服器)可通過網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對該參數及/或設定進行程式設計的使用者介面,然後該參數及/或設定自遠端電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間進行之處理步驟的每一者指定參數。應理解,參數可特定地針對待執行之製程的類型、及控制器與之接合或加以控制之工具的類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,本文所描述之製程及控制)而運作的一或更多分離的控制器。用於如此目的之分散式控制器的範例將是與位於遠端的一或更多積體電路(例如,在作業平臺層級、或作為遠端電腦的一部分)進行通訊的腔室上之一或更多積體電路,兩者相結合以控制腔室上的製程。In some embodiments, the controller may be part of a computer, or coupled to a computer, which is integrated with the system, coupled to the system, connected to the system through other networks, or a combination thereof. system. For example, the controller may be in all or part of a "cloud" or factory host computer system that allows remote access to wafer processing. The computer enables the system to be remotely accessed to monitor the current progress of manufacturing operations, check the history of past manufacturing operations, and check trends or performance metrics from multiple manufacturing operations to change the parameters of current processing and set processing after current processing Steps, or start a new process. In some examples, a remote computer (eg, a server) may provide process recipes to the system through a network, which may include a local area network or the Internet. The remote computer may include a user interface that allows access to or programming of the parameters and / or settings, and the parameters and / or settings are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data that specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may specifically target the type of process to be performed and the type of tool with which the controller engages or controls. Thus, as described above, the controllers may be decentralized, such as by including one or more separations that are connected together in a networked manner and that operate toward a common purpose (e.g., the processes and controls described herein). Controller. An example of a decentralized controller for this purpose would be one of the chambers that communicates with one or more integrated circuits located remotely (e.g. at the platform level or as part of a remote computer) or More integrated circuits, the two are combined to control the process on the chamber.

除此處所描述之氧摻雜矽碳化物沉積外,例示性系統還可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD )腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室(track chamber)或模組、以及可關聯於或用於半導體晶圓的製造和加工中的任何其他半導體處理系統。In addition to the oxygen-doped silicon carbide deposition described herein, the exemplary system may include a plasma etching chamber or module, a deposition chamber or module, a spin bath or module, a metal plating chamber, or Module, cleaning chamber or module, beveled edge etching chamber or module, physical vapor deposition deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer ALD chamber or module, atomic layer etching (ALE) chamber or module, ion implantation chamber or module, track chamber or module, and can be associated with or used for Any other semiconductor processing system in the manufacture and processing of semiconductor wafers.

如以上所提及,取決於待藉由工具而執行之(複數)製程步驟,控制器可與半導體加工工廠中之一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具(cluster tools)、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將晶圓容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來晶圓容器。 應用As mentioned above, depending on the (plural) process steps to be performed by the tool, the controller can communicate with one or more of the following in the semiconductor processing plant: other tool circuits or modules, other tool components , Cluster tools, other tool interfaces, adjacent tools, adjacent tools, tools distributed throughout the factory, host computer, another controller, or tools used in material transfer, which are used in the material transfer The tool brings or brings the wafer container to or from the tool location and / or loading port. application

本揭露內容可藉由參照高品質氧摻雜矽碳化物(SiOC或SiCO)膜的以下應用而得以進一步瞭解,該應用意為純粹地示範性。本揭露內容不受限於具體應用的範疇,該等具體應用僅為本揭露內容之實施態樣的說明。This disclosure can be further understood by referring to the following applications of high-quality oxygen-doped silicon carbide (SiOC or SiCO) films, which are intended to be purely exemplary. This disclosure is not limited to the scope of specific applications, and these specific applications are merely illustrations of the implementation aspects of this disclosure.

在一些實施例中,氧摻雜矽碳化物膜可在曝露的銅上沉積。在沉積氧摻雜矽碳化物膜之一些實施例中,基板附近的反應條件可不具有例如O2 、O3 與CO2 的氧化劑,包括其自由基。因此,氧摻雜矽碳化物膜可在不使銅氧化 (例如,產生氧化銅)的情況下直接沉積於曝露的銅上方。如此膜可用作蝕刻停止層,其亦可用作銅擴散阻障。氧摻雜矽碳化物膜的存在可提供具有優異洩漏特性之足夠低的介電常數以用作擴散阻障。氧摻雜矽碳化物膜本身或以雙層堆疊方式(例如,沉積於曝露銅上的SiCO/ SiNC雙層)可作為蝕刻停止及/或擴散阻障。在一些實施例中,氧摻雜矽碳化物膜可設置於鄰近的金屬化層之間,該金屬化層典型地藉由鑲嵌製程產生。氧摻雜矽碳化物膜可抗蝕刻且可足夠緻密,以使銅離子進入介電材料之鄰近區域的擴散最小化。在一些實施例中,針對氧摻雜矽碳化物膜採用的前驅物可為非環形。非環形前驅物可包括PMDSO或TMDSO。非環形前驅物可提供足夠高的密度以用作密封或擴散阻障。在一些實施例中,藉由採用含氮前驅物或電漿活化含氮自由基(例如元素氮自由基或胺自由基),可使氮併入至膜層中。In some embodiments, an oxygen-doped silicon carbide film may be deposited on the exposed copper. In some embodiments where an oxygen-doped silicon carbide film is deposited, the reaction conditions near the substrate may be free of oxidants such as O 2 , O 3 and CO 2 , including their free radicals. Therefore, the oxygen-doped silicon carbide film can be deposited directly over the exposed copper without oxidizing the copper (eg, generating copper oxide). Such a film can be used as an etch stop layer, which can also be used as a copper diffusion barrier. The presence of an oxygen-doped silicon carbide film can provide a sufficiently low dielectric constant with excellent leakage characteristics to serve as a diffusion barrier. The oxygen-doped silicon carbide film itself can be used as an etch stop and / or diffusion barrier in a two-layer stack (for example, a SiCO / SiNC double-layer deposited on exposed copper). In some embodiments, an oxygen-doped silicon carbide film may be disposed between adjacent metallization layers, which are typically produced by a damascene process. The oxygen-doped silicon carbide film is resistant to etching and sufficiently dense to minimize the diffusion of copper ions into adjacent regions of the dielectric material. In some embodiments, the precursor used for the oxygen-doped silicon carbide film may be non-circular. Non-annular precursors may include PMDSO or TMDSO. Non-ring precursors can provide a sufficiently high density to serve as a seal or diffusion barrier. In some embodiments, nitrogen can be incorporated into the film layer by activating a nitrogen-containing radical (eg, an elemental nitrogen radical or an amine radical) with a nitrogen-containing precursor or a plasma.

在一些實施例中,氧摻雜矽碳化物膜可沉積成為鄰近金屬或半導體結構的垂直結構。氧摻雜矽碳化物的沉積沿著金屬或半導體結構的側壁提供優異的階梯覆蓋率以產生垂直結構。在某些實施例中,垂直結構可稱為間隔物或襯墊。圖1B說明在電晶體的閘極電極結構的側壁上沉積之氧摻雜矽碳化物襯墊的橫剖面圖。如圖1B所示,電晶體可為具有矽基板110(具有源極112及汲極113)的CMOS電晶體。閘極介電質114可在矽基板110上沉積,且閘極電極可在閘極介電質114上沉積以形成電晶體。氧摻雜矽碳化物間隔物或襯墊111可在閘極電極115及閘極介電質114的側壁上沉積。在另一範例中,圖1C說明在氣隙類型金屬化層中,在曝露銅線之側壁上沉積之氧摻雜矽碳化物的橫剖面。可將氣隙120導入介於銅線122之間的積體電路層中,該銅線122可能降低該層的有效k值。氧摻雜矽碳化物襯墊121可在銅線122的側壁上沉積,且非保形的介電層123可在氣隙120、襯墊121及銅線122上沉積。如此氣隙類型金屬化層的範例可於Fei Wang等人之美國專利公開申請案第2004/0232552號中描述,該案係整體併入於此,以供參考。In some embodiments, an oxygen-doped silicon carbide film may be deposited into a vertical structure adjacent to a metal or semiconductor structure. The deposition of oxygen-doped silicon carbide provides excellent step coverage along the sidewalls of the metal or semiconductor structure to produce a vertical structure. In some embodiments, the vertical structure may be referred to as a spacer or a pad. FIG. 1B illustrates a cross-sectional view of an oxygen-doped silicon carbide liner deposited on a sidewall of a gate electrode structure of a transistor. As shown in FIG. 1B, the transistor may be a CMOS transistor having a silicon substrate 110 (having a source 112 and a drain 113). A gate dielectric 114 may be deposited on the silicon substrate 110, and a gate electrode may be deposited on the gate dielectric 114 to form a transistor. Oxygen-doped silicon carbide spacers or pads 111 may be deposited on the sidewalls of the gate electrode 115 and the gate dielectric 114. In another example, FIG. 1C illustrates a cross section of an oxygen-doped silicon carbide deposited on a sidewall of an exposed copper wire in an air-gap type metallization layer. The air gap 120 may be introduced into the integrated circuit layer between the copper wires 122, which may reduce the effective k value of the layer. An oxygen-doped silicon carbide liner 121 may be deposited on a sidewall of the copper wire 122, and a non-conformal dielectric layer 123 may be deposited on the air gap 120, the liner 121, and the copper wire 122. An example of such an air-gap type metallization layer can be described in US Patent Application Publication No. 2004/0232552 by Fei Wang et al., Which is incorporated herein by reference in its entirety.

在一些實施例中,氧摻雜矽碳化物膜可在圖案化的多孔介電材料的側壁上沉積。極低k值介電材料可由多孔結構構成。如此材料中的孔洞可在後續層的沉積期間提供金屬的進入區域,該後續層的沉積包含擴散阻障(含有例如鉭(Ta)之金屬)的沉積。如果過多金屬遷移至介電材料中,則介電材料可能在鄰近銅金屬化線路之間提供短路。圖1D說明作為用於多孔介電材料之孔洞密封物的氧摻雜矽碳化物的橫剖面。多孔介電層132可具有切進多孔介電層132的複數溝槽或穿孔以形成孔洞130。氧摻雜矽碳化物131可沿著孔洞130沉積以有效地密封孔洞130。使用氧摻雜矽碳化物131來密封孔洞130可避免損傷多孔介電層132,損傷多孔介電層132可能以其他方式由使用電漿之其他密封技術所致使。氧摻雜矽碳化物131作為孔洞密封物可足夠緻密,且可包括如PMDSO及TMDSO之非環形含矽前驅物。在一些實施例中,例如多孔介電層132之蝕刻的介電材料可首先藉由「k值恢復」製程處理,該製程將多孔介電層132曝露至UV輻射與還原劑。此恢復製程係在Varadarajan等人共同擁有之美國專利公開申請案第2011/0111533號中進一步描述,其整體與所有目的係併入於此,以供參考。在另一「k值恢復」製程中,多孔介電層132可曝露至UV輻射及化學矽烷化劑。此恢復製程係在Varadarajan等人共同擁有之美國專利公開申請案第2011/0117678號中進一步描述,其整體與所有目的係併入於此,以供參考。將孔洞130曝露至使表面更為親水且提供單層材料的恢復處理後,可沉積一層保形沉積的氧摻雜矽碳化物131以有效地密封多孔介電層132的孔洞。In some embodiments, an oxygen-doped silicon carbide film may be deposited on the sidewalls of the patterned porous dielectric material. A very low-k dielectric material may be composed of a porous structure. The holes in such a material can provide an area of metal entry during the deposition of a subsequent layer that includes the deposition of a diffusion barrier (containing a metal such as tantalum (Ta)). If too much metal migrates into the dielectric material, the dielectric material may provide a short circuit between adjacent copper metallization lines. FIG. 1D illustrates a cross-section of an oxygen-doped silicon carbide as a hole sealer for a porous dielectric material. The porous dielectric layer 132 may have a plurality of trenches or perforations cut into the porous dielectric layer 132 to form the holes 130. Oxygen-doped silicon carbide 131 may be deposited along the holes 130 to effectively seal the holes 130. The use of oxygen-doped silicon carbide 131 to seal the pores 130 can avoid damaging the porous dielectric layer 132. Damage to the porous dielectric layer 132 may be caused by other sealing techniques using plasma in other ways. The oxygen-doped silicon carbide 131 may be sufficiently dense as a hole sealer, and may include non-circular silicon-containing precursors such as PMDSO and TMDSO. In some embodiments, the etched dielectric material such as the porous dielectric layer 132 may be first processed by a "k-value recovery" process, which exposes the porous dielectric layer 132 to UV radiation and a reducing agent. This restoration process is further described in US Patent Application Publication No. 2011/0111533, which is co-owned by Varadarajan et al., Which is incorporated herein by reference in its entirety for all purposes. In another "k-value recovery" process, the porous dielectric layer 132 may be exposed to UV radiation and chemical silylating agents. This recovery process is further described in U.S. Patent Application Publication No. 2011/0117678, which is co-owned by Varadarajan et al., Which is incorporated herein by reference in its entirety for all purposes. After exposing the pores 130 to make the surface more hydrophilic and provide a single-layer recovery process, a layer of conformally deposited oxygen-doped silicon carbide 131 can be deposited to effectively seal the pores of the porous dielectric layer 132.

在一些實施例中,氧摻雜矽碳化物膜本身可沉積成為極低k值介電材料。極低k值介電質係習知地定義為具有低於2.5之介電常數的材料。在如此配置中,氧摻雜矽碳化物的極低k值介電材料可為多孔介電層。介電層的孔洞可藉由使用環形或籠狀前驅物分子導入,該環形或籠狀前驅物分子包括環形矽氧烷與倍半矽氧烷。在一範例中,氧摻雜矽碳化物之極低k值介電層的孔隙率可在約20%與50%之間。進一步地,極低k值介電層可具有小於約100 Å的平均孔洞尺寸,例如在約5 Å與20 Å之間。例如,環矽氧烷環可具有約6.7 Å的半徑。儘管增加孔洞的數目與尺寸可降低介電常數,但如果孔洞過多,則可能損壞介電層的機械完整性。In some embodiments, the oxygen-doped silicon carbide film itself can be deposited as a very low-k dielectric material. Very low-k dielectrics are conventionally defined as materials with a dielectric constant below 2.5. In such a configuration, the very low-k dielectric material of oxygen-doped silicon carbide may be a porous dielectric layer. The holes in the dielectric layer can be introduced by using a ring-shaped or cage-shaped precursor molecule, the ring-shaped or cage-shaped precursor molecule including a cyclic siloxane and a silsesquioxane. In one example, the porosity of the very low-k dielectric layer of oxygen-doped silicon carbide can be between about 20% and 50%. Further, the extremely low-k dielectric layer may have an average hole size of less than about 100 Å, such as between about 5 Å and 20 Å. For example, a cyclosiloxane ring may have a radius of about 6.7 Å. Although increasing the number and size of holes can reduce the dielectric constant, if there are too many holes, the mechanical integrity of the dielectric layer may be damaged.

在一些實施例中,氧摻雜矽碳化物膜151可在鰭式場效電晶體(finFET)結構中沉積成為側壁間隔物。隨著電子元件中的技術節點縮減與節距變得愈來愈小,導電性特徵部係愈來愈近地定位。在如此導電性特徵部之間的分隔變得更小,其可導致寄生電容增加。寄生電容可能造成例如從電晶體至互連線路之傳輸信號的延遲。具有低介電常數的材料可限制寄生電容(尤其當技術節點縮減時),而非增加在導電性特徵部之間的介電材料的厚度。In some embodiments, the oxygen-doped silicon carbide film 151 may be deposited as a sidewall spacer in a fin-type field effect transistor (finFET) structure. As technology nodes in electronic components shrink and pitches become smaller, conductive features are positioned closer and closer. The separation between such conductive features becomes smaller, which can lead to an increase in parasitic capacitance. Parasitic capacitance can cause, for example, delays in the transmission of signals from the transistor to the interconnect. Materials with low dielectric constants can limit parasitic capacitance (especially when technology nodes shrink), rather than increasing the thickness of the dielectric material between conductive features.

作為側壁間隔物之矽氮化物(Si3 N4 )可提供良好的階梯覆蓋率、熱穩定性、化學穩定性、化學選擇性與高崩潰電壓。然而,矽氮化物的介電常數對許多電子元件而言可能為不合適地高。二氧化矽(SiO2 )膜可保有對許多電子元件而言足夠的低介電常數,但可能不具有足夠的濕式蝕刻耐受性以承受典型的整合流程。使用碳或氮原子摻雜SiO2 膜可針對濕式蝕刻改善其耐受性,但仍可能造成不良的熱穩定性與化學穩定性。氧摻雜矽碳化物(SiCO)膜可提供足夠低的介電常數,其具有針對濕式蝕刻製程而改善的耐受性、熱穩定性、化學穩定性、高崩潰電壓、優異的化學選擇性與良好的階梯覆蓋率。如此氧摻雜矽碳化物膜可維持低介電常數與承受涉及不同熱退火與蝕刻步驟之整合流程。Silicon nitride (Si 3 N 4 ) as a sidewall spacer can provide good step coverage, thermal stability, chemical stability, chemical selectivity, and high breakdown voltage. However, the dielectric constant of silicon nitride may be inappropriately high for many electronic components. A silicon dioxide (SiO 2 ) film may maintain a low dielectric constant sufficient for many electronic components, but may not have sufficient wet etch resistance to withstand typical integration processes. The use of carbon or nitrogen-doped SiO 2 films can improve their resistance to wet etching, but may still cause poor thermal and chemical stability. Oxygen-doped silicon carbide (SiCO) films can provide a sufficiently low dielectric constant with improved resistance to wet etching processes, thermal stability, chemical stability, high breakdown voltage, and excellent chemical selectivity With good step coverage. In this way, the oxygen-doped silicon carbide film can maintain a low dielectric constant and withstand integration processes involving different thermal annealing and etching steps.

圖1E說明用以製造finFET結構之範例積體化流程之三維示意圖。finFET結構可包括彼此相互平行之複數閘極電極(例如,多晶矽)、與彼此相互平行且從閘極電極的兩端垂直延伸之複數薄半導體材料的「鰭」。自閘極電極的一側延伸的鰭可對應至源極區域,同時自閘極電極的相反側延伸的鰭可對應至汲極區域。閘極電極的各頂部可使用閘極遮罩覆蓋。氧摻雜矽碳化物側壁間隔物151可保形地沉積在鰭與閘極電極的側壁上,以及沉積在閘極遮罩與鰭的頂部表面上。間隔物蝕刻可從鰭與閘極電極的閘極遮罩移除部分的氧摻雜矽碳化物側壁間隔物151。NMOS外延成長步驟可在鰭上形成源極/汲極區域。介電材料可利用蝕刻停止及/或前金屬介電質填充finFET結構。閘極電極可經受用於閘極切除與金屬填充之微影處理步驟。如此處理步驟可涉及一或更多蝕刻製程、濕式清潔製程與光阻剝除製程。氧摻雜矽碳化物側壁間隔物151在如此處理步驟的整個過程間可為穩定的。閘極電極可進一步經受接觸或自對準接觸(SAC)蝕刻步驟,其可需要氧摻雜矽碳化物側壁間隔物151中的選擇性、電漿耐受性與濕式清潔耐受性。FIG. 1E illustrates a three-dimensional schematic diagram of an example integration process used to fabricate a finFET structure. A finFET structure may include a plurality of gate electrodes (for example, polycrystalline silicon) parallel to each other, and a plurality of thin semiconductor materials "fins" parallel to each other and extending perpendicularly from both ends of the gate electrode. A fin extending from one side of the gate electrode may correspond to a source region, and a fin extending from an opposite side of the gate electrode may correspond to a drain region. Each top of the gate electrode can be covered with a gate mask. The oxygen-doped silicon carbide sidewall spacers 151 may be deposited conformally on the sidewalls of the fins and the gate electrodes, and on the top surfaces of the gate mask and the fins. The spacer etch removes a portion of the oxygen-doped silicon carbide sidewall spacer 151 from the gate mask of the fin and the gate electrode. The NMOS epitaxial growth step can form a source / drain region on the fin. The dielectric material may utilize an etch stop and / or a front metal dielectric to fill the finFET structure. The gate electrode can be subjected to lithographic processing steps for gate removal and metal filling. Such processing steps may involve one or more etching processes, wet cleaning processes, and photoresist stripping processes. The oxygen-doped silicon carbide sidewall spacer 151 may be stable throughout the entire process of such a processing step. The gate electrode may further undergo a contact or self-aligned contact (SAC) etch step, which may require selectivity in the oxygen-doped silicon carbide sidewall spacer 151, plasma resistance, and wet cleaning resistance.

儘管上述者已針對清楚及理解的目的而加以詳細描述,但顯然某些改變與修正可在隨附申請專利範圍之範疇內加以實施。應注意,有許多替代方式實行所描述之製程、系統、及設備。因此,所描述的實施例應被考慮為說明性而非限制性。Although the above has been described in detail for the purpose of clarity and understanding, it is clear that certain changes and modifications can be implemented within the scope of the accompanying patent application. It should be noted that there are many alternative ways to implement the processes, systems, and equipment described. Therefore, the described embodiments should be considered as illustrative and not restrictive.

100‧‧‧基板100‧‧‧ substrate

101‧‧‧氧摻雜矽碳化物膜101‧‧‧ oxygen-doped silicon carbide film

110‧‧‧矽基板110‧‧‧ silicon substrate

111‧‧‧襯墊111‧‧‧ cushion

112‧‧‧源極112‧‧‧Source

113‧‧‧汲極113‧‧‧ Drain

114‧‧‧閘極介電質114‧‧‧Gate dielectric

115‧‧‧閘極電極115‧‧‧Gate electrode

120‧‧‧氣隙120‧‧‧air gap

121‧‧‧襯墊121‧‧‧ cushion

122‧‧‧銅線122‧‧‧copper wire

123‧‧‧介電層123‧‧‧Dielectric layer

130‧‧‧孔洞130‧‧‧ Hole

131‧‧‧氧摻雜矽碳化物131‧‧‧ oxygen-doped silicon carbide

132‧‧‧多孔介電層132‧‧‧ porous dielectric layer

151‧‧‧氧摻雜矽碳化物側壁間隔物151‧‧‧ oxygen-doped silicon carbide sidewall spacer

300‧‧‧裝置300‧‧‧ device

310‧‧‧反應腔室310‧‧‧ reaction chamber

320‧‧‧噴淋頭320‧‧‧ sprinkler

330‧‧‧基板330‧‧‧ substrate

335‧‧‧基座335‧‧‧ base

340‧‧‧控制器340‧‧‧controller

350‧‧‧容器350‧‧‧ container

355‧‧‧氣體入口355‧‧‧Gas inlet

360‧‧‧遠程電漿源360‧‧‧Remote Plasma Source

365‧‧‧氣體入口365‧‧‧Gas inlet

圖1A說明在基板上沉積的氧摻雜矽碳化物膜的範例的橫剖面。FIG. 1A illustrates a cross-section of an example of an oxygen-doped silicon carbide film deposited on a substrate.

圖1B說明電晶體的閘極電極結構的側壁上的氧摻雜矽碳化物垂直結構。FIG. 1B illustrates an oxygen-doped silicon carbide vertical structure on a sidewall of a gate electrode structure of a transistor.

圖1C說明氣隙類型金屬化層中銅線的暴露側壁上的氧摻雜矽碳化物垂直結構。FIG. 1C illustrates an oxygen-doped silicon carbide vertical structure on exposed sidewalls of a copper wire in an air-gap type metallization layer.

圖1D說明用於多孔介電材料之氧摻雜矽碳化物孔洞密封物。FIG. 1D illustrates an oxygen-doped silicon carbide hole seal for a porous dielectric material.

圖1E說明用以製造鰭式場效電晶體(finFET)結構之範例整合流程之三維示意圖。FIG. 1E illustrates a three-dimensional schematic diagram of an exemplary integration process for manufacturing a finFET structure.

圖2說明代表性籠狀矽氧烷前驅物的範例。Figure 2 illustrates an example of a representative caged siloxane precursor.

圖3說明具有遠程電漿源之處理設備的示意圖。Figure 3 illustrates a schematic diagram of a processing facility with a remote plasma source.

圖4A說明例示性習知的碳氧化矽或碳摻雜矽氧化物(SiOC或SiOC:H)的化學結構。FIG. 4A illustrates the chemical structure of an exemplary conventional silicon oxycarbide or carbon-doped silicon oxide (SiOC or SiOC: H).

圖4B說明例示性氧摻雜矽碳化物(SiCO)的化學結構。FIG. 4B illustrates the chemical structure of an exemplary oxygen-doped silicon carbide (SiCO).

圖5A說明例示性習知的碳氧化矽或碳摻雜矽氧化物(SiOC或SiOC:H)的化學穩定性的傅立葉轉換紅外光譜學(FTIR)繪圖。5A illustrates a Fourier transform infrared spectroscopy (FTIR) plot of the chemical stability of an exemplary conventional silicon oxycarbide or carbon-doped silicon oxide (SiOC or SiOC: H).

圖5B說明例示性習知的碳氧化矽或碳摻雜矽氧化物(SiOC或SiOC:H)的熱穩定性的熱脫附譜法(TDS)繪圖。FIG. 5B illustrates a thermal desorption spectrum (TDS) plot of the thermal stability of an exemplary conventional silicon oxycarbide or carbon-doped silicon oxide (SiOC or SiOC: H).

圖6A說明例示性氧摻雜矽碳化物(SiCO)的化學穩定性的FTIR繪圖。6A illustrates a FTIR plot of the chemical stability of an exemplary oxygen-doped silicon carbide (SiCO).

圖6B說明例示性氧摻雜矽碳化物(SiCO)的熱穩定性的TDS繪圖。6B illustrates a TDS plot of the thermal stability of an exemplary oxygen-doped silicon carbide (SiCO).

Claims (16)

一種沉積氧摻雜矽碳化物(SiCO)膜的方法,該方法包含: 提供一基板; 使一或更多含矽前驅物流至該基板上,其中該一或更多含矽前驅物的各者具有: (i)一或更多矽-氫鍵及/或矽-矽鍵及 (ii) 一或更多矽-氧鍵與一或更多矽-碳鍵; 使一來源氣體流至一遠程電漿源中; 從該來源氣體在該遠程電漿源中產生氫的自由基;及 將該氫的自由基導入至該基板上,其中該自由基之至少90%為處於實質上低能態之氫的自由基,在斷開矽-氫鍵與矽-矽鍵的一或兩者但保留該矽-氧鍵與該矽-碳鍵的條件下,處於實質上低能態之該氫的自由基與一或更多該含矽前驅物反應以在該基板上形成SiCO膜。A method for depositing an oxygen-doped silicon carbide (SiCO) film, the method comprising: providing a substrate; and flowing one or more silicon-containing precursors onto the substrate, wherein each of the one or more silicon-containing precursors Having: (i) one or more silicon-hydrogen bonds and / or silicon-silicon bonds and (ii) one or more silicon-oxygen bonds and one or more silicon-carbon bonds; allowing a source gas to flow to a remote location In a plasma source; generating hydrogen radicals from the source gas in the remote plasma source; and introducing the hydrogen radicals onto the substrate, wherein at least 90% of the radicals are in a substantially low energy state Free radicals of hydrogen that are in a substantially low energy state under conditions that break one or both of the silicon-hydrogen bond and the silicon-silicon bond but retain the silicon-oxygen bond and the silicon-carbon bond Reacting with one or more of the silicon-containing precursors to form a SiCO film on the substrate; 如申請專利範圍第1項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該含矽前驅物包括環形矽氧烷。For example, the method for depositing an oxygen-doped silicon carbide (SiCO) film according to item 1 of the application, wherein the silicon-containing precursor includes a cyclic siloxane. 如申請專利範圍第2項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該環形矽氧烷係選自由TMCTS、OMCTS與HMCTS構成的群組。For example, the method for depositing an oxygen-doped silicon carbide (SiCO) film according to item 2 of the application, wherein the cyclic siloxane is selected from the group consisting of TMCTS, OMCTS, and HMCTS. 如申請專利範圍第2項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該環形矽氧烷為籠狀矽氧烷。For example, the method for depositing an oxygen-doped silicon carbide (SiCO) film according to item 2 of the application, wherein the cyclic siloxane is a cage siloxane. 如申請專利範圍第1項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該含矽前驅物包括烷氧基矽烷。For example, the method for depositing an oxygen-doped silicon carbide (SiCO) film according to item 1 of the application, wherein the silicon-containing precursor includes an alkoxysilane. 如申請專利範圍第1項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該含矽前驅物包括烴基矽烷。For example, the method for depositing an oxygen-doped silicon carbide (SiCO) film according to item 1 of the application, wherein the silicon-containing precursor includes a hydrocarbon-based silane. 如申請專利範圍第1-6項其中任一項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該自由基係產生自一氫的來源氣體。The method for depositing an oxygen-doped silicon carbide (SiCO) film according to any one of claims 1-6, wherein the radical is generated from a source gas of hydrogen. 如申請專利範圍第1-6項其中任一項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中導入該氫的自由基包含使該來源氣體暴露至一遠程電漿。The method for depositing an oxygen-doped silicon carbide (SiCO) film according to any one of claims 1-6, wherein introducing the hydrogen radical includes exposing the source gas to a remote plasma. 如申請專利範圍第8項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該遠程電漿係藉由一RF功率源或一微波功率源產生。For example, the method for depositing an oxygen-doped silicon carbide (SiCO) film according to item 8 of the application, wherein the remote plasma is generated by an RF power source or a microwave power source. 如申請專利範圍第1-6項其中任一項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該SiCO膜中的矽-氧鍵對矽-碳鍵的比率係在約0.5:1與約3:1之間。For example, the method for depositing an oxygen-doped silicon carbide (SiCO) film according to any one of claims 1-6, wherein the ratio of silicon-oxygen bonds to silicon-carbon bonds in the SiCO film is about 0.5: Between 1 and about 3: 1. 如申請專利範圍第1-6項其中任一項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該SiCO膜包含該基板上之一保形薄膜。For example, the method for depositing an oxygen-doped silicon carbide (SiCO) film according to any one of claims 1-6, wherein the SiCO film includes a conformal film on the substrate. 如申請專利範圍第1-6項其中任一項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該基板包含暴露的銅,該方法更包含在該暴露的銅的上方直接形成該SiCO膜。For example, a method for depositing an oxygen-doped silicon carbide (SiCO) film according to any one of claims 1-6, wherein the substrate includes exposed copper, and the method further includes forming the exposed copper directly over the exposed copper. SiCO film. 如申請專利範圍第1-6項其中任一項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該基板包含具有一閘極電極的一電晶體,該方法更包含在該閘極電極的一或更多側壁上形成該SiCO膜。For example, a method for depositing an oxygen-doped silicon carbide (SiCO) film according to any one of claims 1-6, wherein the substrate includes an transistor having a gate electrode, and the method further includes the gate electrode. The SiCO film is formed on one or more sidewalls of the electrode. 如申請專利範圍第1-6項其中任一項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該基板包含具有複數孔洞的一介電質,該方法更包含使用該SiCO膜密封該複數孔洞。For example, a method for depositing an oxygen-doped silicon carbide (SiCO) film according to any one of claims 1-6, wherein the substrate includes a dielectric having a plurality of holes, and the method further includes sealing using the SiCO film. The plural holes. 如申請專利範圍第1-6項其中任一項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該SiCO膜包含一極低k值介電薄膜。For example, the method for depositing an oxygen-doped silicon carbide (SiCO) film according to any one of claims 1-6, wherein the SiCO film includes a very low-k dielectric film. 如申請專利範圍第15項之沉積氧摻雜矽碳化物(SiCO)膜的方法,其中該極低k值介電薄膜為多孔的。For example, the method for depositing an oxygen-doped silicon carbide (SiCO) film according to item 15 of the application, wherein the extremely low-k dielectric film is porous.
TW106130591A 2016-09-09 2017-09-07 Remote plasma based deposition of oxygen doped silicon carbide films TW201822259A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662385784P 2016-09-09 2016-09-09
US62/385,784 2016-09-09
US15/696,045 US10832904B2 (en) 2012-06-12 2017-09-05 Remote plasma based deposition of oxygen doped silicon carbide films
US15/696,045 2017-09-05

Publications (1)

Publication Number Publication Date
TW201822259A true TW201822259A (en) 2018-06-16

Family

ID=61643238

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106130591A TW201822259A (en) 2016-09-09 2017-09-07 Remote plasma based deposition of oxygen doped silicon carbide films

Country Status (3)

Country Link
KR (5) KR102394722B1 (en)
CN (2) CN116487246A (en)
TW (1) TW201822259A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI818216B (en) * 2019-12-20 2023-10-11 台灣積體電路製造股份有限公司 Methods for forming emiconductor devices
US11901220B2 (en) 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
CN112469846B (en) * 2018-07-24 2023-10-27 朗姆研究公司 Conformal deposition of silicon carbide films using heterogeneous precursor interactions
CN109119339B (en) * 2018-08-26 2022-02-08 合肥安德科铭半导体科技有限公司 SiCO spacer layer material with low dielectric constant and preparation method and application thereof
CN110921670B (en) * 2018-09-19 2022-01-07 比亚迪股份有限公司 Silicon carbide and preparation method thereof
CN113195786A (en) 2018-10-19 2021-07-30 朗姆研究公司 Remote hydrogen plasma exposure and doped or undoped silicon carbide deposition for gap fill
CN115522180A (en) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 Preparation method and application of silicon-based thin film with low dielectric constant

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003015129A2 (en) * 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
CN101310370A (en) * 2006-01-13 2008-11-19 东京毅力科创株式会社 Method of forming porous film and computer-readable recording medium
KR20090106112A (en) * 2008-04-04 2009-10-08 울산대학교 산학협력단 Depostion of polycrystalline AlN films on 3C-SiC buffer layers for MEMS or NEMS applications
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) * 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
WO2014097280A1 (en) * 2012-12-21 2014-06-26 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
TWI693295B (en) * 2015-02-06 2020-05-11 美商諾發系統有限公司 Conformal deposition of silicon carbide films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI818216B (en) * 2019-12-20 2023-10-11 台灣積體電路製造股份有限公司 Methods for forming emiconductor devices
US11901220B2 (en) 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices

Also Published As

Publication number Publication date
KR20220035079A (en) 2022-03-21
KR20230074697A (en) 2023-05-31
KR102480201B1 (en) 2022-12-22
CN107833825A (en) 2018-03-23
KR102394722B1 (en) 2022-05-04
KR20220035358A (en) 2022-03-22
KR102537837B1 (en) 2023-05-30
KR102492447B1 (en) 2023-01-26
KR20180028972A (en) 2018-03-19
CN116487246A (en) 2023-07-25
KR20220034758A (en) 2022-03-18

Similar Documents

Publication Publication Date Title
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
KR102406467B1 (en) Remote Plasma Based Deposition of Graded Silicon Carbide Films or Multilayer Silicon Carbide Films
US11894227B2 (en) Conformal deposition of silicon carbide films
CN110313051B (en) Densification of silicon carbide films using remote plasma treatment
KR102480201B1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
KR102515238B1 (en) Conformal deposition of silicon carbide films
TWI837151B (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
TW202016340A (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
TW202018115A (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction