KR20220034758A - Remote plasma based deposition of oxygen doped silicon carbide films - Google Patents

Remote plasma based deposition of oxygen doped silicon carbide films Download PDF

Info

Publication number
KR20220034758A
KR20220034758A KR1020220029224A KR20220029224A KR20220034758A KR 20220034758 A KR20220034758 A KR 20220034758A KR 1020220029224 A KR1020220029224 A KR 1020220029224A KR 20220029224 A KR20220029224 A KR 20220029224A KR 20220034758 A KR20220034758 A KR 20220034758A
Authority
KR
South Korea
Prior art keywords
silicon
substrate
film
bonds
oxygen
Prior art date
Application number
KR1020220029224A
Other languages
Korean (ko)
Other versions
KR102537837B1 (en
Inventor
바드리 엔. 바라다라잔
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/696,045 external-priority patent/US10832904B2/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20220034758A publication Critical patent/KR20220034758A/en
Priority to KR1020230066790A priority Critical patent/KR20230074697A/en
Application granted granted Critical
Publication of KR102537837B1 publication Critical patent/KR102537837B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

A device (300) for depositing oxygen doped silicon carbide (SiOC) films on a substrate (330) includes a reaction chamber (310) including a pedestal (334) to support the substrate (330); a vessel (350) configured to supply silicon-containing precursors into the reaction chamber (310) through a first gas inlet (355); a plasma source (360) separated from the reaction chamber (310); a means for controlling the plasma source (360) to produce, from a source gas, hydrogen radicals in the plasma source (360); a second gas inlet (365) for introducing the hydrogen radicals from the plasma source (360) into the reaction chamber (310); and a controller (340).

Description

산소 도핑된 실리콘 카바이드 막들의 리모트 플라즈마 기반 증착{REMOTE PLASMA BASED DEPOSITION OF OXYGEN DOPED SILICON CARBIDE FILMS}REMOTE PLASMA BASED DEPOSITION OF OXYGEN DOPED SILICON CARBIDE FILMS

본 개시는 일반적으로 산소 도핑된 실리콘 카바이드 막들의 형성에 관한 것이다.The present disclosure relates generally to the formation of oxygen doped silicon carbide films.

실리콘 카바이드 (SiC) 류 (class) 의 박막들은 고유의 물리적, 화학적, 및 기계적 속성들을 갖고, 다양한 적용예들, 특히 집적 회로 적용예들에 사용된다. 이러한 SiC류의 박막들 중 하나는 산소 도핑된 SiC를 포함한다.Thin films of the silicon carbide (SiC) class have intrinsic physical, chemical and mechanical properties and are used in various applications, especially integrated circuit applications. One of these SiC-like thin films contains oxygen-doped SiC.

본 개시는 산소 도핑된 실리콘 카바이드 (SiCO) 막을 증착하는 방법에 관한 것이다. 방법은 기판을 제공하는 단계, 및 하나 이상의 실리콘 포함 전구체들을 기판 상으로 흘리는 단계를 포함하고, 하나 이상의 실리콘 포함 전구체들 각각은 (i) 하나 이상의 실리콘-수소 결합들 및/또는 실리콘-실리콘 결합들 및 (ii) 하나 이상의 실리콘-산소 결합들 및 하나 이상의 실리콘-탄소 결합들을 갖는다. 방법은, 소스 가스를 리모트 플라즈마 소스 내로 흘리는 단계, 리모트 플라즈마 소스 내에서 소스 가스로부터, 수소 라디칼들을 생성하는 단계 및 수소 라디칼들을 기판 상으로 도입하는 단계를 포함하고, 라디칼들의 적어도 90 %는, 실리콘-수소 결합들 및 실리콘-실리콘 결합들 중 하나 또는 둘다를 파괴하지만 실리콘-산소 결합들 및 실리콘-탄소 결합들은 보존하는 조건들 하에서 기판 상에 SiCO 막을 형성하도록 하나 이상의 실리콘 포함 전구체와 반응하는 실질적으로 저 에너지 상태의 수소 라디칼들이다.The present disclosure relates to a method of depositing an oxygen doped silicon carbide (SiCO) film. The method includes providing a substrate, and flowing one or more silicon-comprising precursors onto the substrate, wherein each of the one or more silicon-comprising precursors comprises (i) one or more silicon-hydrogen bonds and/or silicon-silicon bonds. and (ii) one or more silicon-oxygen bonds and one or more silicon-carbon bonds. The method includes flowing a source gas into a remote plasma source, generating hydrogen radicals from the source gas in the remote plasma source, and introducing the hydrogen radicals onto a substrate, wherein at least 90% of the radicals are silicon - substantially react with one or more silicon containing precursors to form a SiCO film on the substrate under conditions that break one or both of the hydrogen bonds and silicon-silicon bonds but preserve the silicon-oxygen bonds and silicon-carbon bonds Hydrogen radicals in a low energy state.

일부 구현예들에서, 실리콘 포함 전구체들은 고리형 실록산들을 포함한다. 일부 구현예들에서, 실리콘 포함 전구체들은 알콕시 실란들을 포함한다. 일부 구현예들에서, 라디칼들은 수소 소스 가스로부터 생성된다. 일부 구현예들에서, SiCO 막 내에서 실리콘-산소 결합들 대 실리콘-탄소 결합들의 비는 약 0.5:1 내지 약 3:1이다. 일부 구현예들에서, SiCO 막은 기판 상에 컨포멀한 (conformal) 박막을 포함한다. 일부 구현예들에서, 기판은 게이트 전극을 갖는 트랜지스터를 포함하고, 방법은 게이트 전극의 하나 이상의 측벽들 상에 SiCO 막을 형성하는 단계를 더 포함한다.In some embodiments, silicon-comprising precursors include cyclic siloxanes. In some embodiments, silicon comprising precursors comprise alkoxy silanes. In some implementations, the radicals are generated from a hydrogen source gas. In some implementations, the ratio of silicon-oxygen bonds to silicon-carbon bonds in the SiCO film is from about 0.5:1 to about 3:1. In some implementations, the SiCO film comprises a conformal thin film on the substrate. In some implementations, the substrate includes a transistor having a gate electrode, and the method further includes forming a SiCO film on one or more sidewalls of the gate electrode.

본 개시는 또한 기판 상에 산소 도핑된 실리콘 카바이드 막을 증착하는 장치에 관한 것이다. 장치는 기판을 지지하기 위한 기판 지지부를 포함하는 반응 챔버, 반응 챔버에 커플링되고 반응 챔버 외부에 플라즈마를 생성하도록 구성된 리모트 플라즈마 소스, 반응 챔버에 커플링된 하나 이상의 가스 유입부들, 및 이하의 동작들: (a) 하나 이상의 실리콘 포함 전구체들을 기판 상으로 흘리는 동작으로서, 하나 이상의 실리콘 포함 전구체들 각각은 (i) 하나 이상의 실리콘-수소 결합들 및/또는 실리콘-실리콘 결합들 및 (ii) 하나 이상의 실리콘-산소 결합들 및 하나 이상의 실리콘-탄소 결합들을 갖는, 하나 이상의 실리콘 포함 전구체들을 기판 상으로 흘리는 동작, (b) 소스 가스를 리모트 플라즈마 소스 내로 흘리는 동작, (c) 리모트 플라즈마 소스 내에서 소스 가스로부터, 수소 라디칼들을 생성하는 동작 및 (d) 수소 라디칼들을 기판 상으로 도입하는 동작으로서, 라디칼들의 적어도 90 %는, 실리콘-수소 결합들 및 실리콘-실리콘 결합들 중 하나 또는 둘다를 파괴하지만 실리콘-산소 결합들 및 실리콘-탄소 결합들은 보존하는 조건들 하에서 기판 상에 SiCO 막을 형성하도록 하나 이상의 실리콘 포함 전구체와 반응하는 실질적으로 저 에너지 상태의 수소 라디칼들인, 수소 라디칼들을 기판 상으로 도입하는 동작을 수행하기 위한 인스트럭션들을 포함하는 제어기를 포함한다.The present disclosure also relates to an apparatus for depositing an oxygen-doped silicon carbide film on a substrate. The apparatus includes a reaction chamber including a substrate support for supporting a substrate, a remote plasma source coupled to the reaction chamber and configured to generate a plasma outside the reaction chamber, one or more gas inlets coupled to the reaction chamber, and operation of: s: (a) flowing one or more silicon-comprising precursors onto a substrate, each of the one or more silicon-comprising precursors comprising (i) one or more silicon-hydrogen bonds and/or silicon-silicon bonds and (ii) one or more silicon-containing precursors; flowing one or more silicon comprising precursors onto a substrate having silicon-oxygen bonds and one or more silicon-carbon bonds, (b) flowing a source gas into a remote plasma source, (c) a source gas in the remote plasma source generating hydrogen radicals from and (d) introducing hydrogen radicals onto the substrate, wherein at least 90% of the radicals break one or both of silicon-hydrogen bonds and silicon-silicon bonds, but silicon- Oxygen bonds and silicon-carbon bonds perform the operation of introducing hydrogen radicals onto the substrate, which are hydrogen radicals in a substantially low energy state that react with one or more silicon-comprising precursors to form a SiCO film on the substrate under conditions that preserve it. and a controller including instructions for doing so.

일부 구현예들에서, 실리콘 포함 전구체들은 고리형 실록산들을 포함한다. 일부 구현예들에서, 실리콘 포함 전구체들은 알콕시 실란들을 포함한다. 일부 구현예들에서, 라디칼들은 수소 소스 가스로부터 생성된다. 일부 구현예들에서, SiCO 막 내에서 실리콘-산소 결합들 대 실리콘-탄소 결합들의 비는 약 0.5:1 내지 약 3:1이다. 일부 구현예들에서, SiCO 막은 기판 상에 컨포멀한 (conformal) 박막을 포함한다. 일부 구현예들에서, 기판은 게이트 전극을 갖는 트랜지스터를 포함하고, 제어기는 게이트 전극의 하나 이상의 측벽들 상에 SiCO 막을 형성하는 동작을 위한 인스트럭션들을 더 포함한다.In some embodiments, silicon-comprising precursors include cyclic siloxanes. In some embodiments, silicon comprising precursors comprise alkoxy silanes. In some implementations, the radicals are generated from a hydrogen source gas. In some implementations, the ratio of silicon-oxygen bonds to silicon-carbon bonds in the SiCO film is from about 0.5:1 to about 3:1. In some implementations, the SiCO film comprises a conformal thin film on the substrate. In some implementations, the substrate includes a transistor having a gate electrode, and the controller further includes instructions for forming a SiCO film on one or more sidewalls of the gate electrode.

도 1a는 기판 위에 증착된 산소 도핑된 실리콘 카바이드 막의 예의 단면을 예시한다.
도 1b는 트랜지스터의 게이트 전극 구조체의 측벽들 상의 산소 도핑된 실리콘 카바이드 수직 구조체들을 예시한다.
도 1c는 에어 갭 타입 금속화 층의 구리 라인들의 노출된 측벽들 상의 산소 도핑된 실리콘 카바이드 수직 구조체들을 예시한다.
도 1d는 다공성 유전체 재료들에 대한 산소 도핑된 실리콘 카바이드 포어 실런트들 (sealants) 을 예시한다.
도 1e는 finFET (fin field-effect transistor) 구조체들을 제작하기 위한 예시적인 집적 플로우의 3차원 개략도를 예시한다.
도 2는 대표적인 케이지된 실록산 전구체들의 예들을 예시한다.
도 3은 리모트 플라즈마 소스를 갖는 프로세싱 장치의 개략도를 예시한다.
도 4a는 예시적인 종래의 실리콘 옥시카바이드 또는 탄소 도핑된 실리콘 옥사이드 (SiOC 또는 SiOC:H) 의 화학적 구조를 예시한다.
도 4b는 예시적인 산소 도핑된 실리콘 카바이드 (SiCO) 의 화학적 구조를 예시한다.
도 5a는 예시적인 종래의 실리콘 옥시카바이드 또는 탄소 도핑된 실리콘 옥사이드 (SiOC 또는 SiOC:H) 의 화학적 안정성의 FTIR (Fourier Transform Infrared Spectroscopy) 플롯을 예시한다.
도 5b는 예시적인 종래의 실리콘 옥시카바이드 또는 탄소 도핑된 실리콘 옥사이드 (SiOC 또는 SiOC:H) 의 열적 안정성의 TDS (thermal desorption spectroscopy) 플롯을 예시한다.
도 6a는 예시적인 산소 도핑된 실리콘 카바이드 (SiCO) 의 화학적 안정성의 FTIR 플롯을 예시한다.
도 6b는 예시적인 산소 도핑된 실리콘 카바이드 (SiCO) 의 TDS 플롯을 예시한다.
1A illustrates a cross-section of an example of an oxygen doped silicon carbide film deposited over a substrate.
1B illustrates oxygen doped silicon carbide vertical structures on sidewalls of a gate electrode structure of a transistor.
1C illustrates oxygen doped silicon carbide vertical structures on exposed sidewalls of copper lines of an air gap type metallization layer.
1D illustrates oxygen doped silicon carbide pore sealants for porous dielectric materials.
1E illustrates a three-dimensional schematic diagram of an example integration flow for fabricating fin field-effect transistor (finFET) structures.
2 illustrates examples of representative caged siloxane precursors.
3 illustrates a schematic diagram of a processing apparatus having a remote plasma source.
4A illustrates the chemical structure of an exemplary conventional silicon oxycarbide or carbon doped silicon oxide (SiOC or SiOC:H).
4B illustrates the chemical structure of an exemplary oxygen doped silicon carbide (SiCO).
5A illustrates a Fourier Transform Infrared Spectroscopy (FTIR) plot of the chemical stability of an exemplary conventional silicon oxycarbide or carbon doped silicon oxide (SiOC or SiOC:H).
5B illustrates a thermal desorption spectroscopy (TDS) plot of the thermal stability of an exemplary conventional silicon oxycarbide or carbon doped silicon oxide (SiOC or SiOC:H).
6A illustrates an FTIR plot of the chemical stability of an exemplary oxygen doped silicon carbide (SiCO).
6B illustrates a TDS plot of an exemplary oxygen doped silicon carbide (SiCO).

이하의 기술에서, 다수의 구체적인 상세들이 제시된 개념들의 전체적인 이해를 제공하기 위해 언급된다. 제시된 개념들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 제시된 개념들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 일부 개념들이 구체적인 실시예들에 관하여 기술되지만, 이는 이들 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.In the following description, numerous specific details are set forth in order to provide a thorough understanding of the concepts presented. The concepts presented may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail so as not to unnecessarily obscure the concepts presented. While some concepts are described with respect to specific embodiments, it will be understood that they are not intended to limit these embodiments.

본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환 가능하게 사용될 것이다. 당업자는 용어 "부분적으로 제조된 집적 회로" 가 그 위의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 설명은 본 발명이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 발명은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 부가하여, 본 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계 디바이스들 등과 같은 다양한 물품들을 포함한다.In this application, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate", and "partially fabricated integrated circuit" will be used interchangeably. Those skilled in the art will understand that the term “partially fabricated integrated circuit” may refer to a silicon wafer during any of the many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. The detailed description below assumes that the invention is implemented on a wafer. However, the present invention is not so limited. The workpiece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that may benefit from the present invention include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micro-mechanical devices, and the like. include

도입introduction

반도체 디바이스들의 제작은 통상적으로 통합된 제조 프로세스에서 기판 상에 하나 이상의 박막들을 증착하는 것을 수반한다. 제조 프로세스의 일 양태들에서, SiC 및 SiCN과 같은 분류들의 박막들은 ALD (atomic layer deposition), CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), 또는 임의의 다른 적합한 증착 방법을 사용하여 증착된다.Fabrication of semiconductor devices typically involves depositing one or more thin films on a substrate in an integrated manufacturing process. In aspects of the manufacturing process, thin films of classes such as SiC and SiCN use atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), or any other suitable deposition method. is deposited by

증착될 수 있는 또 다른 분류의 박막들은 실리콘 옥시카바이드 (SiOC) 를 포함한다. 종래의 SiOC 막들은 통상적으로 탄소를 실리콘 옥사이드에 도핑함으로써 형성된다. 실리콘 포함 전구체들은 메탄, 이산화탄소, 또는 일산화탄소와 같은 탄소 포함 전구체들과 함께 전달될 수도 있다. 탄소를 포함한 실리콘 옥사이드 막은 적합한 증착 프로세스를 사용하여 형성될 수도 있다. 일부 구현예들에서, SiOC를 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들, 실리콘-실리콘 (Si-Si) 결합들, 실리콘-탄소 (Si-C) 결합들, 및/또는 실리콘-산소 (Si-O) 결합들을 갖는 실리콘 포함 분자들을 포함할 수 있다. 현재 PECVD 프로세스들은 플라즈마가 프로세싱된 기판에 바로 인접하게 제공되는 시츄 플라즈마 프로세싱을 사용할 수도 있다.Another class of thin films that can be deposited includes silicon oxycarbide (SiOC). Conventional SiOC films are typically formed by doping carbon into silicon oxide. Silicon containing precursors may be delivered together with carbon containing precursors such as methane, carbon dioxide, or carbon monoxide. A silicon oxide film comprising carbon may be formed using a suitable deposition process. In some implementations, precursor molecules for depositing SiOC are silicon-hydrogen (Si-H) bonds, silicon-silicon (Si-Si) bonds, silicon-carbon (Si-C) bonds, and/or silicon-containing molecules having silicon-oxygen (Si-O) bonds. Current PECVD processes may use in situ plasma processing in which plasma is provided directly adjacent to the processed substrate.

고품질 SiOC 박막들을 증착하는 것은 우수한 단차 커버리지, 저 유전상수들, 고 파괴 전압들, 저 누설 전류들, 고 다공성, 및/또는 노출된 금속 표면들을 산화시키지 않는 금속 표면들 위의 커버리지를 갖는 막들을 제공하는 것과 같은 특정한 과제들이 있을 수 있다는 것을 알 수 있다.Depositing high quality SiOC thin films can produce films with excellent step coverage, low dielectric constants, high breakdown voltages, low leakage currents, high porosity, and/or coverage over metal surfaces that do not oxidize exposed metal surfaces. It can be seen that there may be specific challenges such as providing.

본 개시는 임의의 특정한 이론으로 제한되지 않지만, 통상적인 PECVD 프로세스들의 플라즈마 조건들은 바람직하지 않은 효과들을 생성하는 방식으로 실리콘 포함 전구체 분자들을 단편화한다고 (fragment) 여겨진다. 예를 들어, PECVD는 매우 반응성 라디칼들 또는 고 부착 계수들을 갖는 다른 단편 타입들을 생성하기 위해 전구체 분자들 내 Si-O 및/또는 Si-C 결합들을 파괴할 수도 있다. 단편들 및 발생하는 SiOC 막은 실리콘, 탄소, 및/또는 산소 원자들이 반응성 쌍을 이루지 않은 원자가 전자들을 갖는다는 것을 의미하는, "댕글링" 결합하는 실리콘, 탄소, 및/또는 산소 원자들을 포함할 수 있다. 전구체 분자들의 고 부착 계수들 및 이들의 단편들은, 반응성 전구체 단편들이 측벽들의 상부 영역들 및 리세스된 피처들의 다른 구조체들에 불균형하게 부착할 수도 있기 때문에, 불량한 단차 커버리지를 갖는 SiOC 막들을 증착할 수 있다. 댕글링 본드들은 증착된 SiOC 막 내에 실라놀 기들 (Si-OH) 을 생성할 수 있다. 그 결과, 막은 무익하게 높은 유전상수들을 가질 수도 있다. 막 품질은 또한 다이렉트 플라즈마 조건들이 증착된 막으로부터 탄소를 추출하는 경향이 있기 때문에 악화될 수도 있다.While this disclosure is not limited to any particular theory, it is believed that the plasma conditions of conventional PECVD processes fragment silicon containing precursor molecules in a manner that creates undesirable effects. For example, PECVD may break Si-O and/or Si-C bonds in precursor molecules to create highly reactive radicals or other fragment types with high adhesion coefficients. The fragments and the resulting SiOC film may contain silicon, carbon, and/or oxygen atoms that are "dangling" bonding, meaning that the silicon, carbon, and/or oxygen atoms have valence electrons that are not reactively paired. there is. The high adhesion coefficients of the precursor molecules and their fragments will not deposit SiOC films with poor step coverage, as the reactive precursor fragments may adhere disproportionately to the upper regions of the sidewalls and other structures of the recessed features. can Dangling bonds can create silanol groups (Si—OH) in the deposited SiOC film. As a result, the film may have uselessly high dielectric constants. Film quality may also deteriorate because direct plasma conditions tend to extract carbon from the deposited film.

게다가, 댕글링 본드들은 증착된 SiOC 막들 내에 증가된 실리콘-수소 본딩 (Si-H) 을 생성할 수 있다. Si-C의 파괴된 결합들은 다이렉트 플라즈마 증착 조건들에서 Si-H를 대체할 수 있다. SiOC 막들 내 Si-H 결합들의 존재는 불량한 전기적 속성들을 갖는 막들을 생성할 수 있다. 예를 들어, Si-H 결합들의 존재는 파괴 전압들을 감소시킬 수 있고 Si-H 결합들이 전자들의 누설 전류를 제공할 수 있기 때문에 누설 전류를 상승시킬 수 있다.In addition, dangling bonds can create increased silicon-hydrogen bonding (Si-H) in the deposited SiOC films. Broken bonds of Si-C can displace Si-H in direct plasma deposition conditions. The presence of Si-H bonds in SiOC films can produce films with poor electrical properties. For example, the presence of Si-H bonds can reduce breakdown voltages and increase leakage current because Si-H bonds can provide a leakage current of electrons.

또한, 댕글링 본드들은 SiOC 막들 내 제어되지 않은 화학적 또는 형태학적 구조들을 야기할 수 있다. 일부 경우들에서, 이러한 구조들은 막이 허용가능하지 않게 높은 유전 상수를 갖도록, 저 다공성 또는 무 다공성을 갖는 치밀한 필라멘트들 (filaments) 이다. 다공성의 결여는 고리형 실록산들의 Si-C 및/또는 Si-O 결합들을 파괴하는 다이렉트 플라즈마 조건들의 결과일 수 있고, 그렇지 않으면 초저-k 유전체 재료에 다공성을 제공한다.Also, dangling bonds can lead to uncontrolled chemical or morphological structures in SiOC films. In some cases, these structures are dense filaments with low porosity or no porosity, such that the film has an unacceptably high dielectric constant. The lack of porosity may be the result of direct plasma conditions that break the Si-C and/or Si-O bonds of the cyclic siloxanes, otherwise providing porosity to the ultra-low-k dielectric material.

전구체 분자들을 분해하는 (break up) 에너지가 표면에서 대부분의 이온 충돌을 생성하는 저 주파수일 수 있기 때문에, PECVD에 채용된 다이렉트 플라즈마 조건들은 때때로 증착시 지향성을 야기할 수 있다. 지향성 증착은 또한 불량한 단차 커버리지를 갖는 SiOC 막들의 증착을 야기할 수 있다. 다이렉트 플라즈마는, 플라즈마가 증착 동안 기판 표면에 매우 근접하게 체류하는 플라즈마 (적절한 농도의 전자들 및 양이온들) 이고, 때때로 플라즈마 시스에 의해서만 기판 표면으로부터 분리된다.The direct plasma conditions employed in PECVD can sometimes cause directivity in deposition, as the energy to break up precursor molecules can be at a low frequency that creates most of the ion bombardment at the surface. Directed deposition can also lead to deposition of SiOC films with poor step coverage. A direct plasma is a plasma (adequate concentration of electrons and positive ions) that resides in close proximity to the substrate surface during deposition, and is sometimes separated from the substrate surface only by a plasma sheath.

통상적인 PECVD 프로세스들은 때때로 이러한 프로세스들이 금속을 산화시킬 수 있기 때문에 노출된 구리 또는 다른 금속 표면들 위에 SiOC 막들을 증착하는데 부적절하다. PECVD 프로세스는 SiOC를 형성하기 위해 산소 (O2), 오존 (O3), 이산화탄소 (CO2), 일산화탄소 (CO), 또는 다른 산화종과 같은 산화제를 사용할 수도 있다.Conventional PECVD processes are sometimes unsuitable for depositing SiOC films over exposed copper or other metal surfaces because these processes can oxidize the metal. The PECVD process may use an oxidizing agent such as oxygen (O 2 ), ozone (O 3 ), carbon dioxide (CO 2 ), carbon monoxide (CO), or other oxidizing species to form SiOC.

증착 동안 기판 표면의 분위기Atmosphere of the substrate surface during deposition

도 1a는 기판 위에 증착된 산소 도핑된 실리콘 카바이드 막의 예의 단면을 예시한다. 산소 도핑된 실리콘 카바이드 막 (101) 은 프로세스 조건들 하에서 형성될 수 있고 기판 (100) 에 인접하게 상대적으로 약한 분위기를 생성할 수 있다. 기판 (100) 은 임의의 웨이퍼, 반도체 웨이퍼, 부분적으로 제조된 집적 회로, 인쇄 회로 기판, 디스플레이 스크린 또는 다른 적절한 워크피스일 수 있다. 산소 도핑된 실리콘 카바이드 막 (101) 을 증착하기 위한 프로세스는 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합들을 갖는 하나 이상의 실리콘 포함 전구체들을 포함할 수 있다.1A illustrates a cross-section of an example of an oxygen doped silicon carbide film deposited over a substrate. The oxygen-doped silicon carbide film 101 can be formed under process conditions and can create a relatively weak atmosphere adjacent to the substrate 100 . Substrate 100 may be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other suitable workpiece. The process for depositing the oxygen-doped silicon carbide film 101 may include one or more silicon-comprising precursors having one or more Si-H bonds and/or one or more Si-Si bonds.

산소 도핑된 실리콘 카바이드 막들을 채용하는 특정한 적용예들이 도 1b 및 도 1d에 도시된다. 일부 실시예들에서, 실리콘 포함 전구체들은 실리콘-산소 포함 전구체들 및/또는 실리콘-탄소 포함 전구체들을 포함할 수 있다. 실리콘-산소 포함 전구체들은 하나 이상의 Si-O 결합들을 포함할 수 있고, 실리콘-탄소 포함 전구체들은 하나 이상의 Si-C 결합들을 포함할 수 있다. 일부 실시예들에서, 예를 들어, 실리콘 포함 전구체들은 Si-O 및 Si-C 결합들을 갖는 단일 반응물질 A를 포함할 수 있다. 일부 실시예들에서, 실리콘 포함 전구체들은 Si-O 결합들을 갖는 반응물질 B 및 Si-C 결합들을 갖는 반응물질 C를 포함할 수 있다. 임의의 수의 적합한 반응물질들이 본 개시의 범위에서 채용될 수도 있다는 것이 이해될 것이다. 예시적인 실리콘 포함 전구체들의 화학적 구조들은 이하에 더 상세히 논의된다.Specific applications employing oxygen doped silicon carbide films are shown in FIGS. 1B and 1D . In some embodiments, silicon comprising precursors may comprise silicon-oxygen comprising precursors and/or silicon-carbon comprising precursors. Silicon-oxygen containing precursors may include one or more Si-O bonds, and silicon-carbon containing precursors may include one or more Si-C bonds. In some embodiments, for example, silicon comprising precursors may comprise a single reactant A having Si-O and Si-C bonds. In some embodiments, silicon-comprising precursors may include reactant B having Si-O bonds and reactant C having Si-C bonds. It will be understood that any number of suitable reactants may be employed within the scope of the present disclosure. Chemical structures of exemplary silicon-comprising precursors are discussed in greater detail below.

실리콘 포함 전구체들은 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합들을 포함한다. 증착 프로세스 동안, Si-H 결합들 및/또는 Si-Si 결합들은 파괴될 것이고, 증착된 산소 도핑된 실리콘 카바이드 막 (101) 의 실리콘 포함 전구체들 사이에 결합들을 형성하기 위한 반응 사이트들로서 역할을 할 것이다. 파괴된 결합들은 또한 증착 동안 또는 증착 후, 수행된 열 프로세싱 동안 교차결합을 위한 사이트들로서 역할을 할 수 있다. 반응 사이트들에서의 결합 및 교차결합은 발생되는 산소 도핑된 실리콘 카바이드 막 (101) 내에 집합적으로 매트릭스 또는 주 백본을 형성할 수 있다.Silicon comprising precursors include one or more Si-H bonds and/or one or more Si-Si bonds. During the deposition process, Si-H bonds and/or Si-Si bonds will be broken and will serve as reaction sites for forming bonds between silicon-containing precursors of the deposited oxygen doped silicon carbide film 101 . will be. Broken bonds can also serve as sites for crosslinking during or after deposition, during thermal processing performed. The bonding and crosslinking at the reaction sites may collectively form a matrix or main backbone within the resulting oxygen doped silicon carbide film 101 .

일부 실시예들에서, 프로세스 조건들은 산소 도핑된 실리콘 카바이드 막 (101) 의 증착될 때 층에 Si-O 및 Si-C 결합들을 보존하거나 적어도 실질적으로 보존할 수 있다. 이에 따라, 기판 (100) 에 인접한 반응 조건들은 Si-H 및/또는 Si-Si 결합들의 파괴, 예를 들어, 파괴된 Si-H 결합들로부터 수소를 추출을 제공하지만, 반응 조건들은 Si-O 결합들로부터 산소 또는 Si-C 결합들로부터 탄소 추출을 제공하지 않는다. 일반적으로, 기술된 반응 조건들은 기판의 노출된 면 (산소 도핑된 실리콘 카바이드 막이 증착되는 면) 에 존재한다. 이 조건들은 워크피스 위로 어느 정도 거리, 예를 들어, 워크피스 위로 약 0.5 ㎛ 내지 약 150 ㎜에 더 존재할 수도 있다. 실제로, 전구체의 활성화는 워크피스 위로 상당한 거리에서 가스 상으로 일어날 수 있다. 통상적으로, 적절한 반응 조건들은 기판의 전체 노출된 면 위에서 균일하거나 실질적으로 균일할 것이지만, 특정한 적용예들은 일부 변동들을 허용할 수도 있다.In some embodiments, the process conditions may preserve, or at least substantially preserve, Si-O and Si-C bonds in the layer as deposited of the oxygen doped silicon carbide film 101 . Accordingly, the reaction conditions adjacent to the substrate 100 provide for breaking of Si-H and/or Si-Si bonds, eg, extraction of hydrogen from the broken Si-H bonds, while the reaction conditions are not Si-O It does not provide oxygen from bonds or carbon extraction from Si-C bonds. In general, the reaction conditions described are present on the exposed side of the substrate (the side on which the oxygen-doped silicon carbide film is deposited). These conditions may further exist at some distance above the workpiece, for example, from about 0.5 μm to about 150 mm above the workpiece. Indeed, activation of the precursor can occur in the gaseous phase at considerable distances above the workpiece. Typically, suitable reaction conditions will be uniform or substantially uniform over the entire exposed side of the substrate, although certain applications may allow for some variations.

실리콘 포함 전구체들에 더하여, 워크피스에 인접한 분위기는, 바람직하게 실질적으로 저 에너지 상태의 하나 이상의 라디칼 종을 포함할 수 있다. 이러한 종의 예는 수소 원자 라디칼들을 포함한다. 일부 실시예들에서, 모든, 또는 실질적으로 모든, 또는 상당한 분율 (fraction) 의 수소 원자 라디칼들은 바닥 상태일 수 있고, 예를 들어, 워크피스에 인접한 수소 원자 라디칼들의 적어도 약 90 % 또는 95 %가 바닥 상태이다. 특정한 실시예들에서, 수소는 이러한 헬륨과 같은 캐리어에 제공된다. 에로서, 수소 가스는 약 1 내지 10 % 수소 농도로 헬륨 캐리어에 제공될 수도 있다. 저 에너지 상태의 라디칼들이 재결합하지 않을 때 수소 원자들이 기판과 마주하도록, 압력, 헬륨과 같은 캐리어 가스의 분율 및 다른 프로세스 조건들이 선택된다.In addition to silicon-comprising precursors, the atmosphere adjacent the workpiece may include one or more radical species, preferably in a substantially low energy state. Examples of such species include hydrogen atom radicals. In some embodiments, all, or substantially all, or a significant fraction of the hydrogen atom radicals may be in the ground state, eg, at least about 90% or 95% of the hydrogen atom radicals adjacent to the workpiece is in the ground state. In certain embodiments, hydrogen is provided in a carrier such as helium. As an example, hydrogen gas may be provided to the helium carrier at a hydrogen concentration of about 1 to 10%. The pressure, fraction of a carrier gas such as helium, and other process conditions are chosen so that the hydrogen atoms face the substrate when the radicals in the low energy state do not recombine.

다른 곳에 설명된 바와 같이, 수소 가스는 수소 라디칼들을 생성하도록 리모트 플라즈마 소스 내로 공급될 수도 있다. 일단 생성되면, 수소 라디칼들은 여기된 에너지 상태일 수도 있다. 예를 들어, 여기된 에너지 상태의 수소는 적어도 10.2 eV (제 1 여기된 상태) 의 에너지를 가질 수 있다. 여기된 수소 라디칼들은 실리콘 포함 전구체의 비선택적인 분해를 유발할 수도 있다. 예를 들어, 여기된 상태의 수소 라디칼들은 Si-H, Si-Si, Si-O, 및 Si-C 결합들을 손쉽게 파괴할 수 있고, 이는 실리콘 카바이드 막의 조성 또는 물리적 또는 전기적 특성들을 변경할 수 있다. 일부 구현예들에서, 여기된 수소 라디칼들이 에너지를 잃을 때, 또는 릴랙스될 때, 여기된 수소 라디칼은 실질적으로 저 에너지 상태 수소 라디칼 또는 바닥 상태 수소 라디칼이 될 수도 있다. 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 라디칼들은 Si-H 및 Si-Si 결합들을 선택적으로 파괴할 수 있는 한편, 일반적으로 Si-O 및 Si-C 결합들을 보존한다. 일부 구현예들에서, 프로세스 조건들은, 여기된 수소 라디칼들이 실질적으로 저 에너지 상태 또는 바닥 상태 수소 라디칼들을 형성하도록 에너지를 잃거나 릴랙스하도록 제공될 수도 있다. 예를 들어, 리모트 플라즈마 소스 또는 연관된 컴포넌트들은, 리모트 플라즈마 소스로부터 기판으로 확산하는 수소 라디칼들의 체류 시간이 여기된 수소 라디칼의 에너제틱 (energetic) 릴랙스 시간보다 크도록, 설계될 수도 있다. 여기된 수소 라디칼에 대한 에너제틱 릴랙스 시간은 1x10-3 초와 거의 같거나 보다 작을 수 있다.As described elsewhere, hydrogen gas may be supplied into the remote plasma source to generate hydrogen radicals. Once generated, the hydrogen radicals may be in an excited energy state. For example, hydrogen in an excited energy state can have an energy of at least 10.2 eV (first excited state). Excited hydrogen radicals may cause non-selective decomposition of the silicon containing precursor. For example, hydrogen radicals in an excited state can readily break Si-H, Si-Si, Si-O, and Si-C bonds, which can change the composition or physical or electrical properties of the silicon carbide film. In some embodiments, when excited hydrogen radicals lose energy, or are relaxed, the excited hydrogen radical may become substantially a low energy state hydrogen radical or a ground state hydrogen radical. Substantially low energy state or ground state hydrogen radicals can selectively break Si-H and Si-Si bonds, while generally preserving Si-O and Si-C bonds. In some implementations, process conditions may be provided such that the excited hydrogen radicals substantially lose energy or relax to form low energy state or ground state hydrogen radicals. For example, the remote plasma source or associated components may be designed such that the residence time of hydrogen radicals diffusing from the remote plasma source to the substrate is greater than the energetic relaxation time of the excited hydrogen radical. The energetic relaxation time for the excited hydrogen radical may be approximately equal to or less than 1x10 -3 seconds.

상당한 분율의 수소 원자 라디칼들이 바닥 상태에 있는 상태가 다양한 기법들에 의해 달성될 수 있다. 이하에 기술된 바와 같은 일부 장치는 이 상태를 달성하도록 설계된다. 장치 피처들 및 프로세스 제어 피처들이 상당한 분율의 수소 원자 라디칼들이 바닥 상태에 있는 약한 상태를 생성하도록 테스트되고 튜닝될 수 있다. 예를 들어, 장치는 플라즈마 소스의 다운스트림; 즉, 기판 근방의 대전된 입자들에 대해 동작하고 테스트될 수도 있다. 프로세스 및 장치는 대전된 종이 기판 근방에 실질적으로 없을 때까지 튜닝될 수도 있다. 부가적으로, 장치 및 프로세스 피처들은 트리메틸실란과 같은 표준 전구체로부터 고품질 실리콘 카바이드 막을 생성하도록 시작하는 구성으로 튜닝될 수도 있다. 이러한 막 증착을 지지하는 상대적으로 약한 조건들이 선택된다.A state in which a significant fraction of hydrogen atom radicals are in the ground state can be achieved by various techniques. Some devices as described below are designed to achieve this state. Device features and process control features can be tested and tuned to create a weak state in which a significant fraction of hydrogen atom radicals are in the ground state. For example, the apparatus may be downstream of a plasma source; That is, it may operate and be tested on charged particles in the vicinity of the substrate. The process and apparatus may be tuned until there is substantially no charged paper in the vicinity of the substrate. Additionally, the apparatus and process features may be tuned to a configuration starting with producing high quality silicon carbide films from standard precursors such as trimethylsilane. Relatively weak conditions that support such film deposition are selected.

라디칼 종의 다른 예들은 질소 포함 종, 예컨대 원소 질소 라디칼들 (원자 또는 이원자) 및 N-H 포함 라디칼들 예컨대 암모니아 라디칼들을 포함하고, 질소는 선택가능하게, 막 내에 통합된다. N-H 포함 라디칼들의 예들은 이로 제한되는 것은 아니지만, 메틸아민, 디메틸아민, 및 아닐린을 포함한다. 전술한 라디칼 종은 수소, 질소, N-H 포함 종, 또는 이들의 혼합물들을 포함하는 가스로부터 생성될 수도 있다. 일부 실시예들에서, 증착된 막의 본질적으로 모든 원자들이 전구체 분자들에 의해 제공된다. 이러한 경우들에서, 증착 반응을 구동하기 위해 사용된 저 에너지 라디칼들은 배타적으로 수소 또는 증착된 층의 질량에 실질적으로 기여하지 않는 종일 수도 있다. 일부 실시예들에서, 이하에 더 상세히 논의된 바와 같이, 라디칼 종은 리모트 플라즈마 소스에 의해 생성될 수 있다. 일부 실시예들에서, 보다 고 에너지 상태의 라디칼들 또는 심지어 이온들이 웨이퍼 평면 근방에 잠재적으로 존재할 수 있다.Other examples of radical species include nitrogen containing species such as elemental nitrogen radicals (atomic or diatomic) and N—H containing radicals such as ammonia radicals, wherein nitrogen is optionally incorporated into the film. Examples of N-H containing radicals include, but are not limited to, methylamine, dimethylamine, and aniline. The aforementioned radical species may be generated from gases comprising hydrogen, nitrogen, N—H comprising species, or mixtures thereof. In some embodiments, essentially all atoms of the deposited film are provided by precursor molecules. In such cases, the low energy radicals used to drive the deposition reaction may be exclusively hydrogen or a species that does not substantially contribute to the mass of the deposited layer. In some embodiments, as discussed in more detail below, the radical species may be generated by a remote plasma source. In some embodiments, higher energy state radicals or even ions may potentially be present near the wafer plane.

일부 실시예들에서, 프로세스 조건들은 Si-H 결합들 및/또는 Si-Si 결합들을 파괴하지만, Si-O 및 Si-C 결합들을 보존 또는 실질적으로 보존하기 충분한 실질적으로 저 에너지 상태의 라디칼 종을 채용한다. 이러한 프로세스 조건들은 바닥 상태 위의 상태들과 같은 고 에너지 상태들의 상당한 양의 이온들, 전자들, 또는 라디칼 종들을 갖지 않을 수도 있다. 일부 실시예들에서, 막에 인접한 영역에서 이온들의 농도는 약 107/㎤ 이하이다. 상당한 양의 이온들 또는 고 에너지 라디칼들의 존재는 Si-O 또는 Si-C 결합들을 파괴하는 경향이 있을 수도 있고, 바람직하지 않은 전기적 속성들 (예를 들어, 고 유전 상수들 및/또는 저 파괴 전압들) 및 불량한 컨포멀성 (conformality) 을 갖는 막들을 생성할 수 있다. 과도하게 반응성인 분위기는 고 부착 계수들 (워크피스 측벽들에 화학적으로 또는 물리적으로 부착하는 성향을 나타냄) 을 갖는 반응성 전구체 단편들을 생성하여, 불량한 컨포멀성을 발생시킨다고 여겨진다.In some embodiments, the process conditions break Si-H bonds and/or Si-Si bonds, but generate radical species in a substantially low energy state sufficient to preserve or substantially preserve Si-O and Si-C bonds. hire Such process conditions may not have significant amounts of ions, electrons, or radical species of high energy states, such as those above the ground state. In some embodiments, the concentration of ions in the region adjacent to the film is less than or equal to about 10 7 /cm 3 . The presence of significant amounts of ions or high-energy radicals may tend to break Si-O or Si-C bonds, leading to undesirable electrical properties (eg, high dielectric constants and/or low breakdown voltage). ) and films with poor conformality. It is believed that an overly reactive atmosphere produces reactive precursor fragments with high adhesion modulus (indicating a tendency to chemically or physically adhere to workpiece sidewalls), resulting in poor conformality.

실리콘 포함 전구체들은 통상적으로 기판 (100) 에 인접한 분위기에서, 다른 종, 특히 캐리어 가스와 함께 전달된다. 일부 구현예들에서, 실리콘 포함 전구체들은 다른 반응성 종 및/또는 캐리어 가스들을 포함하는, 라디칼 종 및 다른 종과 함께 존재한다. 일부 실시예들에서, 실리콘 포함 전구체들은 혼합물로서 도입될 수도 있다. 증착 반응 표면으로부터의 업스트림에서, 실리콘 포함 전구체들은 불활성 캐리어 가스와 혼합될 수 있다. 예시적인 불활성 캐리어 가스들은, 이로 제한되는 것은 아니지만, 질소 (N2), 아르곤 (Ar), 및 헬륨 (He) 을 포함한다. 이에 더하여, 실리콘 포함 전구체들은 주요 (major) 종 및 부수적인 (minor) 종을 갖는 혼합물로 도입될 수 있고, 부수적인 종은 상대적으로 낮은 농도로 산소 도핑된 실리콘 카바이드 막 (101) 내에 존재하는, 일부 원소 또는 구조적 피처 (예를 들어, 링 구조, 케이지 구조, 포화되지 않은 결합, 등) 를 포함한다. 복수의 전구체들이 발생되는 산소 도핑된 실리콘 카바이드 막 (101) 의 1차 백본 또는 매트릭스를 형성하기 적절하게 등몰 또는 상대적으로 유사한 비율들로 존재할 수도 있다. 다른 실시예들에서, 상대적인 양들의 상이한 전구체들이 등몰로부터 실질적으로 왜곡된다.Silicon-comprising precursors are delivered together with another species, particularly a carrier gas, typically in an atmosphere adjacent to the substrate 100 . In some embodiments, silicon-comprising precursors are present with radical species and other species, including other reactive species and/or carrier gases. In some embodiments, silicon comprising precursors may be introduced as a mixture. Upstream from the deposition reaction surface, silicon-comprising precursors may be mixed with an inert carrier gas. Exemplary inert carrier gases include, but are not limited to, nitrogen (N 2 ), argon (Ar), and helium (He). In addition, silicon containing precursors may be introduced as a mixture having a major and minor species, the minor species being present in the oxygen doped silicon carbide film 101 at a relatively low concentration. Some elements or structural features (eg, ring structures, cage structures, unsaturated bonds, etc.). A plurality of precursors may be present in equimolar or relatively similar proportions as appropriate to form the primary backbone or matrix of the oxygen-doped silicon carbide film 101 generated. In other embodiments, the relative amounts of different precursors are substantially distorted from equimolarity.

일부 실시예들에서, 하나 이상의 실리콘 포함 전구체들은 막 질량의 약 5 원자% 미만 또는 약 2 원자%를 제공하는 리모트 플라즈마로부터 소량의 수소 또는 다른 원소와 함께 증착된 실리콘 카바이드 막의 본질적으로 전체 중량을 제공한다. 일부 실시예들에서, 라디칼 종 및 하나 이상의 실리콘 포함 전구체들만이 증착된 실리콘 카바이드 막의 조성에 기여한다. 다른 실시예들에서, 증착 반응은 하나 이상의 실리콘 포함 전구체들 및 라디칼 종과 다른 공반응물질을 포함한다. 이러한 공반응물질의 예들은 이산화탄소 (CO2), 일산화탄소 (CO), 물 (H2O), 메탄올 (CH3OH), 산소 (O2), 오존 (O3), 질소 (N2), 아산화질소 (N2O), 암모니아 (NH3), 메탄 (CH4), 에탄 (C2H6), 아세틸렌 (C2H2), 에틸렌 (C2H4), 디보란 및 이들의 조합들을 포함한다. 이러한 재료들은 질화제, 산화제들, 환원제들, 등으로서 사용될 수도 있다. 일부 경우들에서, 이들은 실리콘 포함 전구체와 함께 제공된 탄소의 분율을 제거함으로써 증착된 막의 탄소의 양을 튜닝하도록 사용될 수 있다. 비수소 공반응물질을 채용하는 일부 구현예들에서, 공반응물질은 실리콘 포함 전구체로서 동일한 플로우 경로, 예를 들어, 샤워헤드를 포함하는 경로를 통해, 통상적으로 플라즈마에 직접적인 노출 없이 반응기 내로 도입된다. 일부 실시예들에서, 산소 및/또는 이산화탄소는 증착 동안 막 또는 전구체로부터 탄소를 제거함으로써 실리콘 카바이드 막의 조성을 변경하도록 전구체와 함께 도입된다. 비수소 공반응물질을 채용하는 일부 구현예들에서, 공반응물질은 공반응물질이 적어도 부분적으로 라디칼들 및/또는 이온들로 변환되도록, 수소와 동일한 플로우 경로를 통해 반응기로 도입된다. 이러한 구현예들에서, 수소 라디칼들 및 공반응물질 라디칼들 모두는 증착된 실리콘 카바이드 막을 생성하도록 실리콘 포함 전구체(들)와 반응한다.In some embodiments, the one or more silicon comprising precursors provide essentially the total weight of the deposited silicon carbide film with a small amount of hydrogen or other element from a remote plasma providing less than about 5 atomic percent or about 2 atomic percent of the film mass. do. In some embodiments, only the radical species and one or more silicon containing precursors contribute to the composition of the deposited silicon carbide film. In other embodiments, the deposition reaction includes one or more silicon-comprising precursors and a radical species and other co-reactant. Examples of such co-reactants include carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), nitrogen (N 2 ), nitrous oxide (N 2 O), ammonia (NH 3 ), methane (CH 4 ), ethane (C 2 H 6 ), acetylene (C 2 H 2 ), ethylene (C 2 H 4 ), diborane, and combinations thereof include those These materials may be used as nitriding agents, oxidizing agents, reducing agents, and the like. In some cases, they can be used to tune the amount of carbon in the deposited film by removing a fraction of the carbon provided with the silicon containing precursor. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is introduced into the reactor through the same flow path as the silicon-comprising precursor, eg, through a path comprising a showerhead, typically without direct exposure to plasma. . In some embodiments, oxygen and/or carbon dioxide is introduced along with the precursor to alter the composition of the silicon carbide film by removing carbon from the film or precursor during deposition. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is introduced into the reactor via the same flow path as the hydrogen, such that the co-reactant is at least partially converted to radicals and/or ions. In such embodiments, both the hydrogen radicals and the co-reactant radicals react with the silicon-comprising precursor(s) to produce a deposited silicon carbide film.

공반응물질들이 사용되고 라디칼들로 변환되는 종 (예를 들어, 수소) 과 함께 챔버로 도입되는 특정한 실시예들에서, 공반응물질들은 라디칼들 (예를 들어, 수소) 의 소스를 포함하여, 반응기 내의 다른 가스들과 비교하여 상대적으로 소량으로 반응기로 헬륨과 같은 임의의 캐리어 가스(들)와 함께 제공된다. 예를 들어, 공반응물질은 약 0.05 질량% 이하로 또는 약 0.01 질량% 이하로, 또는 약 0.001 질량% 이하로 프로세스 가스들에 존재할 수도 있다. 예를 들어, (플라즈마 소스로 들어가는) 반응물질 혼합물은 약 10 L/m He, 약 200 내지 500 sc㎝ H2, 그리고 약 1 내지 5 sc㎝ 산소일 수도 있다. 공반응물질들이 실리콘 포함 전구체와 함께 (예를 들어, 샤워헤드를 통해) 챔버로 도입될 때, 이들은 보다 높은 농도; 예를 들어 약 2 % 이하 또는 약 0.1 % 이하로 존재할 수도 있다. 공반응물질이 상대적으로 약한 반응물질 (예를 들어, 이산화탄소와 같은 약 산화제) 일 때, 훨씬 보다 높은 농도들, 약 10 % 이하 또는 약 4 % 이하로 존재할 수도 있다.In certain embodiments where the co-reactants are used and introduced into the chamber with a species (eg, hydrogen) that is converted to radicals, the co-reactants include a source of radicals (eg, hydrogen) in the reactor It is provided along with any carrier gas(s), such as helium, into the reactor in a relatively small amount compared to the other gases in it. For example, the co-reactant may be present in the process gases up to about 0.05 mass percent, or up to about 0.01 mass percent, or up to about 0.001 mass percent. For example, the reactant mixture (entering the plasma source) may be about 10 L/m He, about 200-500 sccm H2, and about 1-5 sccm oxygen. When the co-reactants are introduced into the chamber (eg, via a showerhead) along with the silicon-comprising precursor, they are at higher concentrations; For example, it may be present in about 2% or less or about 0.1% or less. When the co-reactant is a relatively weak reactant (eg, a weak oxidizing agent such as carbon dioxide), it may be present in much higher concentrations, up to about 10% or up to about 4%.

기판 (100) 에 인접한 분위기의 온도는 증착 반응을 용이하게 하는 적합한 온도일 수 있지만, 때때로 산소 도핑된 실리콘 카바이드 막 (101) 을 포함하는 디바이스의 적용예으로 제한된다. 기판 (100) 에 인접한 분위기의 온도는 대체로 산소 도핑된 실리콘 카바이드 막 (101) 의 증착 동안 기판 (100) 이 지지되는 페데스탈의 온도에 의해 제어될 수 있다. 일부 실시예들에서, 동작 온도는 약 50 ℃ 내지 약 500 ℃일 수 있다. 예를 들어, 많은 집적 회로 적용예들에서 동작 온도는 약 250 ℃ 내지 약 400 ℃일 수 있다. 일부 실시예들에서, 상승하는 온도는 기판 표면 상에서 증가된 교차결합을 야기할 수 있다.The temperature of the atmosphere adjacent to the substrate 100 may be a suitable temperature to facilitate the deposition reaction, but is sometimes limited to applications of devices including the oxygen-doped silicon carbide film 101 . The temperature of the atmosphere adjacent to the substrate 100 can be largely controlled by the temperature of the pedestal on which the substrate 100 is supported during deposition of the oxygen-doped silicon carbide film 101 . In some embodiments, the operating temperature may be from about 50 °C to about 500 °C. For example, in many integrated circuit applications the operating temperature may be between about 250°C and about 400°C. In some embodiments, an elevated temperature may cause increased crosslinking on the substrate surface.

기판 (100) 에 인접한 분위기의 압력은 프로세스 챔버 내에서 반응성 라디칼들을 생성하기 적합한 임의의 압력일 수 있다. 일부 실시예들에서, 압력은 약 35 Torr 이하일 수 있다. 예를 들어, 마이크로파 생성된 플라즈마를 구현하는 실시예들에서와 같이, 압력은 약 10 Torr 내지 약 20 Torr일 수 있다. 다른 예들에서, RF (radiofrequency) 생성된 플라즈마를 구현하는 실시예들에서와 같이, 압력은 약 5 Torr 미만, 또는 약 0.2 Torr 내지 약 5 Torr일 수 있다.The pressure of the atmosphere adjacent the substrate 100 can be any pressure suitable to generate reactive radicals within the process chamber. In some embodiments, the pressure may be about 35 Torr or less. For example, as in embodiments implementing a microwave generated plasma, the pressure may be between about 10 Torr and about 20 Torr. In other examples, as in embodiments implementing a radiofrequency (RF) generated plasma, the pressure may be less than about 5 Torr, or between about 0.2 Torr and about 5 Torr.

도 1b 내지 도 1e는 다양한 적용예들에서 산소 도핑된 실리콘 카바이드 막들을 포함하는 구조체들의 단면들을 예시한다. 도 1b는 트랜지스터의 게이트 전극 구조체의 측벽들 상의 산소 도핑된 실리콘 카바이드 수직 구조체들을 예시한다. 도 1c는 에어 갭 타입 금속화 층의 구리 라인들의 노출된 측벽들 상의 산소 도핑된 실리콘 카바이드 수직 구조체들을 예시한다. 도 1d는 다공성 유전체 재료들에 대한 산소 도핑된 실리콘 카바이드 포어 실런트들을 예시한다. 도 1e는 finFET 구조체들의 집적 플로우를 통한 산소 도핑된 실리콘 카바이드 스페이서들을 예시한다. 이들 적용예들 각각은 이하에 더 상세히 논의된다.1B-1E illustrate cross-sections of structures including oxygen doped silicon carbide films in various applications. 1B illustrates oxygen doped silicon carbide vertical structures on sidewalls of a gate electrode structure of a transistor. 1C illustrates oxygen doped silicon carbide vertical structures on exposed sidewalls of copper lines of an air gap type metallization layer. 1D illustrates oxygen doped silicon carbide pore sealants for porous dielectric materials. 1E illustrates oxygen doped silicon carbide spacers through the integration flow of finFET structures. Each of these applications is discussed in more detail below.

전구체들의 화학적 구조Chemical structures of precursors

논의된 바와 같이, 산소 도핑된 실리콘 카바이드 막들을 형성하는데 채용된 전구체들은 적어도 하나의 Si-H 결합 및/또는 적어도 하나의 Si-Si 결합을 갖는 적어도 일부 실리콘 포함 전구체들과 함께, 실리콘 포함 전구체들을 포함할 수 있다. 특정한 실시예들에서, 실리콘 포함 전구체는 모든 실리콘 원자 상에 최대 하나의 수소 원자를 갖는다. 따라서, 예를 들어, 일 실리콘 원자를 갖는 전구체는 실리콘 원자에 결합된 최대 하나의 수소 원자를 갖고; 2 개의 실리콘 원자들을 갖는 전구체는 일 실리콘 원자에 결합된 일 수소 원자 및 선택가능하게 두번째 실리콘 원자에 결합된 또 다른 수소 원자를 갖고; 3 개의 실리콘 원자들을 갖는 전구체는 일 실리콘 원자에 결합된 적어도 하나의 수소 원자 및 선택가능하게 남아 있는 실리콘 원자들 중 하나 또는 두 실리콘 원자에 결합된 하나 또는 둘 이상의 수소 원자들, 등을 갖는다. 이에 더하여, 실리콘 포함 전구체들은 적어도 하나의 Si-O 결합 및/또는 적어도 하나의 Si-C 결합을 포함할 수도 있다. 임의의 수의 적절한 전구체들이 산소 도핑된 실리콘 카바이드 막들을 형성하는데 사용될 수 있지만, 적어도 일부 전구체들은 적어도 하나의 Si-H 결합 또는 Si-Si 결합, 그리고 선택가능하게 적어도 하나의 Si-O 결합 및/또는 Si-C 결합을 갖는 실리콘 포함 전구체들을 포함할 것이다. 일부 구현예들에서, 실리콘 포함 전구체(들)는 O-C 결합들을 포함하지 않고, 예를 들어, 전구체(들)는 알콕시 (-O-R) 를 포함하지 않는다, 여기서 R은 탄화수소기와 같은 유기기이다.As discussed, the precursors employed to form the oxygen doped silicon carbide films can be formed of silicon comprising precursors, along with at least some silicon comprising precursors having at least one Si-H bond and/or at least one Si-Si bond. may include In certain embodiments, the silicon-comprising precursor has at most one hydrogen atom on every silicon atom. Thus, for example, a precursor having one silicon atom has at most one hydrogen atom bonded to the silicon atom; A precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and optionally another hydrogen atom bonded to a second silicon atom; A precursor having three silicon atoms has at least one hydrogen atom bonded to one silicon atom and optionally one or more hydrogen atoms bonded to one or two silicon atoms of the remaining silicon atoms, and the like. In addition, silicon-comprising precursors may include at least one Si-O bond and/or at least one Si-C bond. Any number of suitable precursors may be used to form the oxygen doped silicon carbide films, although at least some precursors include at least one Si-H bond or Si-Si bond, and optionally at least one Si-O bond and/or or silicon-comprising precursors having Si-C bonds. In some embodiments, the silicon-comprising precursor(s) do not include O-C bonds, eg, the precursor(s) do not include alkoxy (-O-R), where R is an organic group such as a hydrocarbon group.

특정한 실시예들에서, 산소 도핑된 실리콘 카바이드 막에 제공된 적어도 일부의 탄소는 실리콘 포함 전구체 상의 하나 이상의 탄화수소 모이어티들 (moieties) 에 의해 제공된다. 이러한 모이어티들은 알킬기들, 알켄기들, 알킨기들, 아릴기들, 등으로부터의 모이어티들일 수도 있다. 특정한 실시예들에서, 탄화수소기는 증착 동안 Si-H 및/또는 Si-Si 결합 파괴 반응의 입체 장애 (steric hindrance) 를 최소화하도록 단일 탄소 원자를 갖는다. 그러나, 전구체들은 단일 탄소기들로 제한되지 않고, 보다 많은 수의 탄소 원자들, 예컨대 2, 3, 4, 5, 또는 6 개의 탄소 원자들이 사용될 수도 있다. 특정한 실시예들에서, 탄화수소기는 선형이다. 특정한 실시예들에서, 탄화수소기는 고리형이다.In certain embodiments, at least some of the carbon provided to the oxygen doped silicon carbide film is provided by one or more hydrocarbon moieties on the silicon containing precursor. These moieties may be moieties from alkyl groups, alkene groups, alkyne groups, aryl groups, and the like. In certain embodiments, the hydrocarbon group has a single carbon atom to minimize steric hindrance of the Si-H and/or Si-Si bond breaking reaction during deposition. However, the precursors are not limited to single carbon groups, and a higher number of carbon atoms, such as 2, 3, 4, 5, or 6 carbon atoms, may be used. In certain embodiments, the hydrocarbon group is linear. In certain embodiments, the hydrocarbon group is cyclic.

일부 실시예들에서, 실리콘 포함 전구체들은 3 개 이상의 화학적 분류들 중 하나로 나뉘고, 임의의 분류는 단독 전구체로서 단독으로 또는 다른 타입들의 전구체들과 조합하여 존재할 수도 있다. 다른 화학적 분류들의 실리콘 포함 전구체들이 채용될 수도 있고 실리콘 포함 전구체들이 이하에 논의된 화학적 분류로 제한되지 않는다는 것이 이해될 것이다.In some embodiments, silicon containing precursors are divided into one of three or more chemical classes, any class may exist alone as a sole precursor or in combination with other types of precursors. It will be understood that other chemical classes of silicon comprising precursors may be employed and that silicon comprising precursors are not limited to the chemical classes discussed below.

첫번째로, 실리콘 포함 전구체는 실록산일 수 있다. 일부 실시예들에서, 실록산은 고리형일 수도 있다. 고리형 실록산들은 TMCTS (2,4,6,8-tetramethylcyclotetrasiloxane), OMCTS (octamethylcyclotetrasiloxane), 및 HMCTS (heptamethylcyclotetrasiloxane) 과 같은 사이클로테트라실록산들을 포함할 수도 있다. 다른 고리형 실록산들은 또한 이로 제한되는 것은 아니지만, 사이클로트리실록산들 및 사이클로펜타실록산들을 포함할 수 있다. 고리형 실록산들을 사용하는 실시예들은 링 반경에 대응하는 포어들의 사이즈를 갖는, 산소 도핑된 실리콘 카바이드 막 내로 다공성을 도입할 수 있는 링 구조들이다. 예를 들어, 사이클로테트라실록산 링은 약 6.7 Å의 반경을 가질 수 있다.First, the silicon-comprising precursor may be a siloxane. In some embodiments, the siloxane may be cyclic. Cyclic siloxanes may include cyclotetrasiloxanes such as 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), and heptamethylcyclotetrasiloxane (HMCTS). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Embodiments using cyclic siloxanes are ring structures that can introduce porosity into an oxygen doped silicon carbide film, with the size of the pores corresponding to the ring radius. For example, the cyclotetrasiloxane ring may have a radius of about 6.7 Angstroms.

일부 실시예들에서, 실록산은 3차원 또는 케이지된 구조를 가질 수도 있다. 도 2는 대표적인 케이지된 실록산 전구체들의 예들을 예시한다. 케이지형 실록산들은 다면체 또는 임의의 3-D 구조를 형성하기 위해 산소 원자들을 통해 서로 브리지된 실리콘 원자들을 갖는다. 케이지된 실록산 전구체 분자의 예는 실스퀴옥산 (silsesquioxane) 이다. 케이지된 실록산 구조들은, 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, Cleemput 등이 공동으로 소유한 미국 특허 제 6,576,345 호에 더 상세히 기술된다. 고리형 실록산들과 같이, 케이지된 실록산은 산소 도핑된 실리콘 카바이드 막 내로 다공성을 도입할 수 있다. 일부 실시예들에서, 다공성 스케일은 메조포러스 (mesoporous) 이다.In some embodiments, the siloxane may have a three-dimensional or caged structure. 2 illustrates examples of representative caged siloxane precursors. Caged siloxanes have silicon atoms bridged to each other via oxygen atoms to form a polyhedral or arbitrary 3-D structure. An example of a caged siloxane precursor molecule is silsesquioxane. Caged siloxane structures are described in more detail in commonly owned US Pat. No. 6,576,345 to Cleemput et al., which is incorporated herein by reference in its entirety for all purposes. Like cyclic siloxanes, caged siloxanes can introduce porosity into the oxygen doped silicon carbide film. In some embodiments, the porous scale is mesoporous.

일부 실시예들에서, 실록산은 선형일 수도 있다. 적합한 선형 실록산들의 예들은 이로 제한되는 것은 아니지만, 디실록산들, 예컨대 PMDSO (pentamethyldisiloxane) 및 TMDSO (tetramethyldisiloxane), 및 트리실록산들, 예컨대 헥사메틸트리실록산, 헵타메틸트리실록산을 포함한다.In some embodiments, the siloxane may be linear. Examples of suitable linear siloxanes include, but are not limited to, disiloxanes such as PMDSO (pentamethyldisiloxane) and TMDSO (tetramethyldisiloxane), and trisiloxanes such as hexamethyltrisiloxane, heptamethyltrisiloxane.

두번째로, 실리콘 포함 전구체는 알킬 실란 또는 다른 탄화수소 치환된 실란일 수 있다. 알킬 실란들은 중심 실리콘 원자와 실리콘 원자에 결합된 하나 이상의 알킬기들 뿐만 아니라 실리콘 원자에 결합된 하나 이상의 수소 원자들을 포함한다. 특정한 실시예들에서, 임의의 하나 이상의 알킬기들은 1 내지 5 탄소 원자들을 포함한다. 탄화수소기들은 포화되거나 포화되지 않을 수도 있다 (예를 들어, 알켄 (예를 들어, 비닐), 알킨, 및 방향족기). 예들은 이로 제한되는 것은 아니지만 트리메틸실란 (3MS), 트리에틸실란, 펜타메틸 디실라메탄 ((CH3)2Si-CH2-Si(CH3)3), 및 디메틸실란 (2MS) 을 포함한다.Second, the silicon-comprising precursor may be an alkyl silane or other hydrocarbon substituted silane. Alkyl silanes contain a central silicon atom and one or more alkyl groups bonded to the silicon atom as well as one or more hydrogen atoms bonded to the silicon atom. In certain embodiments, any one or more alkyl groups contain from 1 to 5 carbon atoms. Hydrocarbon groups may or may not be saturated (eg, alkenes (eg, vinyl), alkynes, and aromatic groups). Examples include, but are not limited to, trimethylsilane (3MS), triethylsilane, pentamethyl disilamethane ((CH 3 ) 2 Si—CH 2 —Si(CH 3 ) 3 ), and dimethylsilane (2MS). .

세번째로, 실리콘 포함 전구체는 알콕시 실란일 수 있다. 알콕시 실란들은 중심 실리콘 원자와 실리콘 원자에 결합된 하나 이상의 알콕시기들 및 실리콘 원자에 결합된 하나 이상의 수소 원자들을 포함한다. 예들은 이로 제한되는 것은 아니지만 TMOS (trimethoxysilane), DMOS (dimethoxysilane), MOS (methoxysilane), MDMOS (methyldimethoxysilane), DEMS (diethoxymethylsilane), DMES (dimethylethoxysilane), 및 DMMOS (dimethylmethoxysilane) 를 포함한다.Third, the silicon-comprising precursor may be an alkoxy silane. Alkoxy silanes contain a central silicon atom and one or more alkoxy groups bonded to a silicon atom and one or more hydrogen atoms bonded to a silicon atom. Examples include, but are not limited to, trimethoxysilane (TMOS), dimethoxysilane (DMOS), methoxysilane (MOS), methyldimethoxysilane (MDMOS), diethoxymethylsilane (DEMS), dimethylethoxysilane (DMES), and dimethylmethoxysilane (DMMOS).

부가적으로, 디실란들, 트리실란들, 또는 다른 보다 고차 실란들이 모노실란들 대신 사용될 수도 있다. 일부 실시예들에서, 실리콘 원자들 중 하나는 실리콘 원자들에 부착된 탄소 포함기 또는 탄화수소 포함기를 가질 수 있고, 실리콘 원자들 중 하나는 실리콘 원자에 부착된 수소 원자를 가질 수 있다.Additionally, disilanes, trisilanes, or other higher order silanes may be used in place of monosilanes. In some embodiments, one of the silicon atoms can have a carbon-containing group or a hydrocarbon-containing group attached to the silicon atoms, and one of the silicon atoms can have a hydrogen atom attached to the silicon atom.

산소 도핑된 실리콘 카바이드의 증착시, 복수의 실리콘 포함 전구체들이 프로세스 가스에 존재할 수 있다. 예를 들어, 실록산 및 알킬 실란은 함께 사용될 수도 있고 또는 실록산 및 알콕시 실란이 함께 사용될 수도 있다. 개별 전구체들의 상대적인 비율들은 선택된 전구체들의 화학적 구조들 및 발생되는 산소 도핑된 실리콘 카바이드 막의 적용예에 기초하여 선택될 수 있다. 예를 들어, 이하에 보다 상세히 논의될 다공성 막을 생성하기 위해 실록산의 양은 몰 백분율이 실란의 양보다 클 수 있다.In the deposition of oxygen-doped silicon carbide, a plurality of silicon-comprising precursors may be present in the process gas. For example, a siloxane and an alkyl silane may be used together or a siloxane and an alkoxy silane may be used together. The relative proportions of the individual precursors can be selected based on the chemical structures of the selected precursors and the application of the resulting oxygen doped silicon carbide film. For example, the amount of siloxane in mole percentage may be greater than the amount of silane to produce a porous membrane, which will be discussed in more detail below.

산소 도핑된 실리콘 카바이드 막들을 증착하기 위해, 적합한 전구체들의 예들은 HMCTS (heptamethylcyclotetrasiloxane) 및 TMCTS ( tetramethylcyclotetrasiloxane) 와 같은 사이클로테트라실록산들과 같은 고리형 실록산들을 포함한다. 다른 고리형 실록산들이 또한 이로 제한되는 것은 아니지만 사이클로트리실록산들 및 사이클로펜타실록산들을 포함할 수 있다. 산소 도핑된 실리콘 카바이드 막들을 증착하기 위해, 적합한 전구체들의 다른 예들은 선형 실록산들, 예컨대 이로 제한되는 것은 아니지만 디실록산들, 예컨대 PMDSO (pentamethyldisiloxane), TMDSO (tetramethyldisiloxane), 헥사메틸 트리실록산 및 헵타메틸 트리실록산을 포함한다.For depositing oxygen doped silicon carbide films, examples of suitable precursors include cyclic siloxanes such as cyclotetrasiloxanes such as heptamethylcyclotetrasiloxane (HMCTS) and tetramethylcyclotetrasiloxane (TMCTS). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Other examples of suitable precursors for depositing oxygen doped silicon carbide films include linear siloxanes such as, but not limited to, disiloxanes such as pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), hexamethyl trisiloxane and heptamethyl tri siloxanes.

설명된 바와 같이, 실리콘 포함 전구체들은 매우 컨포멀한 실리콘 카바이드 막들을 제공하도록 선택된다. 저 부착 계수들을 갖는 실리콘 포함 전구체들은 매우 컨포멀한 막들을 생성할 수 있다고 여겨진다. "부착 계수 (sticking coefficient)"는 동일한 시간 기간 동안 표면에 충돌하는 종들의 총 수에 대한 표면에 흡착/부착하는 흡착 종 (예를 들어, 단편들 또는 분자들) 의 수의 비를 기술하도록 사용된 용어이다. 심볼 Sc는 때때로 부착 계수를 참조하도록 사용된다. Sc 의 값은 0 (부착 종이 없음을 의미) 내지 1 (충돌하는 모든 종이 부착함을 의미) 이다. 충돌하는 종의 타입, 표면 온도, 표면 커버리지, 표면의 구조적 상세들, 및 충돌하는 종의 운동 에너지를 포함하여 다양한 인자들이 부착 계수에 영향을 준다. 본질적으로 다른 종들보다 "끈적끈적한 (sticky)" 특정한 종들은 표면에 충돌할 때마다 표면에 보다 잘 흡착하게 한다. 이들 보다 끈적끈적한 종들은 보다 큰 부착 계수들 (다른 모든 인자들은 같음) 을 갖고, 보다 낮은 부착 계수들을 갖는 보다 덜 끈적끈적한 종에 비해 리세스된 피처의 입구 근방에 보다 잘 흡착한다. 일부 경우들에서, (관련된 증착 조건들에서) 전구체들의 부착 계수는 약 0.05 이하, 예를 들어 약 0.001 이하일 수도 있다.As described, silicon containing precursors are selected to provide highly conformal silicon carbide films. It is believed that silicon containing precursors with low adhesion coefficients can produce highly conformal films. "sticking coefficient" is used to describe the ratio of the number of adsorbed species (e.g., fragments or molecules) adsorbing/adhering to a surface to the total number of species impinging on the surface during the same period of time is a term that has been The symbol S c is sometimes used to refer to the adhesion coefficient. The value of S c ranges from 0 (meaning no adherent paper) to 1 (meaning all colliding papers adhere). Various factors affect the adhesion coefficient, including the type of colliding species, surface temperature, surface coverage, structural details of the surface, and kinetic energy of the colliding species. Certain species, which are inherently "sticky" than others, make them more likely to adsorb to the surface each time they collide. These more sticky species have higher adhesion coefficients (all other factors being equal) and adsorb better near the entrance of the recessed feature than the less sticky species with lower adhesion coefficients. In some cases, the adhesion coefficient of the precursors (at the relevant deposition conditions) may be about 0.05 or less, such as about 0.001 or less.

증착된 막의 구조 및 속성들Structure and properties of the deposited film

증착된 막은 실리콘, 산소, 및 탄소를 포함할 것이다. 일부 실시예들에서, 실리콘의 원자 농도는 약 15 % 내지 45 %이고, 산소의 원자 농도는 약 10 % 내지 40 %이고, 탄소의 원자 농도는 약 30 % 내지 60 %이다. 일 예에서, 실리콘의 원자 농도는 약 30 %이고, 산소의 원자 농도는 약 25 %이고, 탄소의 원자 농도는 약 45 %이다. 상대적인 원자 농도들은 전구체의 선택에 따라 가변할 수 있다는 것이 이해될 것이다. 실리콘 원자들은 탄소 및/또는 산소 원자들과 결합들을 형성할 것이다. 일부 실시예들에서, 증착된 막은 Si-C 결합들보다 많은 Si-O 결합들을 포함한다. 이는 보다 낮은 유전 상수를 갖는 상대적으로 다공성 막을 제공할 수 있다. 일부 예들에서, 증착된 막은 약 0.5:1 내지 3:1인 Si-O 결합들 대 Si-C 결합들의 비를 포함한다.The deposited film will include silicon, oxygen, and carbon. In some embodiments, the atomic concentration of silicon is between about 15% and 45%, the atomic concentration of oxygen is between about 10% and 40%, and the atomic concentration of carbon is between about 30% and 60%. In one example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 25%, and the atomic concentration of carbon is about 45%. It will be appreciated that the relative atomic concentrations may vary with the choice of precursor. Silicon atoms will form bonds with carbon and/or oxygen atoms. In some embodiments, the deposited film includes more Si-O bonds than Si-C bonds. This can provide a relatively porous film with a lower dielectric constant. In some examples, the deposited film includes a ratio of Si-O bonds to Si-C bonds that is between about 0.5:1 and 3:1.

일부 실시예들에서, 전구체의 내부 구조는 증착된 막 내에 유지된다. 이 구조는 전구체의 모든 또는 대부분의 Si-C 및 Si-O 결합들을 보존할 수도 있지만, Si-H 결합들 및/또는 Si-Si 결합들이 전구체 분자들 내에 존재하는 위치들에서 결합들을 통해 그리고/또는 충분한 열 에너지가 제공된다면 성장하는 표면 상에서 부가적인 응결 반응들을 통해 개별 전구체 모이어티들을 고리 (linking) 또는 교차결합한다.In some embodiments, the internal structure of the precursor remains within the deposited film. This structure may preserve all or most of the Si-C and Si-O bonds of the precursor, but via bonds and/or at positions where Si-H bonds and/or Si-Si bonds are present in the precursor molecules. or linking or crosslinking the individual precursor moieties via additional coagulation reactions on the growing surface if sufficient thermal energy is provided.

앞서 기술된 프로세스 조건들은 매우 컨포멀한 막 구조를 제공할 수 있다. 상대적으로 약한 프로세스 조건들은 기판의 표면에서 이온 충돌도를 최소화할 수 있어서 증착이 방향성을 결여한다. 더욱이, 상대적으로 약한 프로세스 조건들은 이전에 증착된 층들 또는 막들의 측벽들에 부착할 경향을 가질 고 부착 계수들을 갖는 라디칼들의 수를 감소시킨다. 특정한 실시예들에서, 약 2:1 내지 10:1의 종횡비에 대해, 산소 도핑된 실리콘 카바이드 막은 약 25 % 내지 100 %, 보다 통상적으로 약 50 % 내지 100 %, 훨씬 보다 통상적으로 약 80 % 내지 100 %의 컨포멀성으로 증착될 수 있다. 컨포멀성은 피처의 하단부, 측벽 또는 상단부 상에 증착된 막의 평균 두께에 피처의 하단부, 측벽 또는 상단부 상에 증착된 막의 평균 두께를 비교함으로써 계산될 수도 있다. 예를 들어, 컨포멀성은 피처의 측벽 상에 증착된 막의 평균 두께를 피처의 상단부에 증착된 막의 평균 두께로 나누고 백분을을 얻기 위해 100을 곱함으로써 계산될 수도 있다. 특정한 적용예들을 위해, 약 85 % 내지 95 %의 컨포멀성이 충분하다. 약 2:1 내지 약 4:1의 종횡비를 갖는 피처들 상에 실리콘 카바이드를 증착하는 일부 예들에서, 컨포멀성은 적어도 약 90 %이다. 특정한 BEOL (back end of line) 이 이 카테고리로 나뉜다. 약 4:1 내지 약 6:1의 종횡비를 갖는 피처들 상에 실리콘 카바이드를 증착하는 일부 예들에서, 컨포멀성은 적어도 약 80 %이다. 특정한 스페이서 증착 프로세스들이 이 카테고리로 나뉜다. 약 7:1 내지 약 10:1 (심지어 이상) 의 종횡비를 갖는 피처들 상에 실리콘 카바이드를 증착하는 일부 예들에서, 컨포멀성은 적어도 약 90 %이다. 특정한 DRAM (dynamic random access memory) 제조 프로세스들이 이 카테고리로 나뉜다.The process conditions described above can provide a very conformal film structure. Relatively weak process conditions can minimize the degree of ion bombardment at the surface of the substrate so that the deposition is not directional. Moreover, the relatively weak process conditions reduce the number of radicals with high adhesion coefficients that will tend to attach to the sidewalls of previously deposited layers or films. In certain embodiments, for an aspect ratio of about 2:1 to 10:1, the oxygen doped silicon carbide film can contain from about 25% to 100%, more typically from about 50% to 100%, even more typically from about 80% to about 80%. It can be deposited with 100% conformality. Conformality may be calculated by comparing the average thickness of the film deposited on the bottom, sidewall, or top of the feature to the average thickness of the film deposited on the bottom, sidewall, or top of the feature. For example, conformality may be calculated by dividing the average thickness of the film deposited on the sidewalls of the feature by the average thickness of the film deposited on the top of the feature and multiplying by 100 to get the percentage. For certain applications, conformality of about 85% to 95% is sufficient. In some examples of depositing silicon carbide on features having an aspect ratio of about 2:1 to about 4:1, the conformality is at least about 90%. Certain BEOLs (back end of line) fall into this category. In some examples of depositing silicon carbide on features having an aspect ratio of about 4:1 to about 6:1, the conformality is at least about 80%. Certain spacer deposition processes fall into this category. In some examples of depositing silicon carbide on features having an aspect ratio of about 7:1 to about 10:1 (even greater), the conformality is at least about 90%. Certain dynamic random access memory (DRAM) manufacturing processes fall into this category.

프로세스 조건들은 또한 고 파괴 전압 및 저 누설 전류를 갖는 막 구조를 제공할 수 있다. 제한된 양의 산소를 SiC류의 재료에 도입함으로써, Si-H 결합들 및/또는 Si-CH2-Si 결합들에 의해 제공된 누설 경로들은 산소에 의해 차단될 수도 있다. 이는 상대적으로 저 유전 상수를 유지하는 동안 개선된 전기적 속성들을 제공할 수 있다. 다양한 실시예들에서, 막은 약 4.5 이하, 약 4.0 이하, 약 3.5 이하, 그리고 일부 구현예들에서 약 3.0 이하, 여전히 다른 구현예들에서 약 2.5 이하의 유효 유전 상수를 갖는다. 유효 유전 상수는 결합 및 밀도에 종속할 수 있다. 일부 실시예들에서, 적용예들이 상대적으로 높은 유전 상수를 요구하면, 산소 도핑된 실리콘 카바이드 막은 상대적으로 치밀하고, 매우 교차결합된 산소 도핑된 실리콘 카바이드 막을 제공하도록 약 4.0보다 큰 유효 유전 상수를 가질 수 있다. 일부 실시예들에서, 산소 도핑된 실리콘 카바이드 막은 상대적으로 박형이고 여전히 밀폐 또는 확산 배리어로서 기능할 수 있다.The process conditions can also provide a film structure with high breakdown voltage and low leakage current. By introducing a limited amount of oxygen into the SiC-like material, the leakage paths provided by Si-H bonds and/or Si-CH 2 -Si bonds may be blocked by oxygen. This may provide improved electrical properties while maintaining a relatively low dielectric constant. In various embodiments, the film has an effective dielectric constant of about 4.5 or less, about 4.0 or less, about 3.5 or less, and in some embodiments about 3.0 or less, and still about 2.5 or less in other embodiments. The effective dielectric constant may depend on the bonding and density. In some embodiments, if applications require a relatively high dielectric constant, the oxygen doped silicon carbide film may have an effective dielectric constant greater than about 4.0 to provide a relatively dense, highly crosslinked oxygen doped silicon carbide film. can In some embodiments, the oxygen doped silicon carbide film is relatively thin and can still function as a hermetic or diffusion barrier.

일부 실시예들에서, 증착된 막은 다공성일 수 있다. 본 명세서에서 앞서 논의된 바와 같이, 실리콘 포함 전구체들은 고리형 실록산들 및 케이지형 실록산들을 포함할 수 있다. 이들 전구체들 및 큰 내부 개방 공간을 갖는 다른 전구체들이 증착된 막의 구조로 큰 다공성을 도입할 수 있다. 증착된 막의 다공성은 유전 상수를 더 낮출 수 있다. 일부 실시예들에서, 증착된 산소 도핑된 실리콘 카바이드 막의 다공성은 약 20 % 내지 50 %이다. 다공성 막의 포어 사이즈는 고리형 전구체 또는 케이지된 전구체의 사이즈를 따를 수도 있다 (track). 특정한 실시예들에서, 막의 평균 포어 사이즈는 약 5 Å 내지 20 Å, 예컨대 약 16 Å이다.In some embodiments, the deposited film may be porous. As previously discussed herein, silicon-comprising precursors may include cyclic siloxanes and caged siloxanes. These precursors and other precursors with large internal open spaces can introduce large porosity into the structure of the deposited film. The porosity of the deposited film can further lower the dielectric constant. In some embodiments, the porosity of the deposited oxygen doped silicon carbide film is between about 20% and 50%. The pore size of the porous membrane may track the size of the cyclic precursor or the caged precursor. In certain embodiments, the average pore size of the film is between about 5 Angstroms and 20 Angstroms, such as about 16 Angstroms.

본 개시의 방법에 의해 증착된 산소 도핑된 실리콘 카바이드 막은 종래의 SiOC 막들로부터 구별가능한 화학적 구조를 가질 수 있다. 본 개시의 방법에 의해 증착된 산소 도핑된 실리콘 카바이드 막이 SiOC 막 또는 SiCO 막으로 지칭될 수 있는 한편, 종래의 SiOC 막은 본 개시의 방법에 의해 증착된 SiOC 또는 SiCO 막과 동일한 화학적 구조 또는 속성들을 갖지 않는다는 것이 이해될 것이다. 일부 실시예들에서, 종래의 SiOC 막은 본 개시의 SiOC 또는 SiCO 막들과 구별가능한 탄소 도핑된 실리콘 옥사이드 막일 수 있다. 도 4a는 종래의 SiOC 막의 예시적인 화학적 구조를 도시한다. 도 4b는 본 개시의 방법에 의해 증착된 산소 도핑된 실리콘 카바이드 (SiOC 또는 SiCO) 막의 화학적 구조의 예를 도시한다.The oxygen doped silicon carbide film deposited by the method of the present disclosure may have a chemical structure distinguishable from conventional SiOC films. While the oxygen-doped silicon carbide film deposited by the method of the present disclosure may be referred to as a SiOC film or SiCO film, the conventional SiOC film does not have the same chemical structure or properties as the SiOC or SiCO film deposited by the method of the present disclosure. It will be understood that no In some embodiments, the conventional SiOC film may be a carbon doped silicon oxide film distinguishable from the SiOC or SiCO films of the present disclosure. 4A shows an exemplary chemical structure of a conventional SiOC film. 4B shows an example of the chemical structure of an oxygen doped silicon carbide (SiOC or SiCO) film deposited by the method of the present disclosure.

많은 종래의 증착 기법들은 도 4a에 도시된 구조와 유사한 화학적 구조를 갖는 종래의 SiOC 또는 SiOC:H를 형성한다. 예를 들어, 이러한 SiOC 또는 SiOC:H 막들은 탄소로 실리콘 다이옥사이드 (SiO2) 막을 도핑함으로써 형성된다. 도 4a에 도시된 바와 같이, 이러한 SiOC 또는 SiOC:H 막들은, 산소 및 수소 원자들에 의해 배위결합된, 복수의 말단 CH3 결합들을 포함한다. 탄소 원자들 또는 적어도 상당한 분율의 탄소 원자들은 교차결합되지 않는다. 이에 더하여, 도 4a의 SiOC 또는 SiOC:H 막들은 상대적으로 높은 수소 함량을 갖는다. 도 4a에 도시된 바와 같은 탄소 도핑된 실리콘 옥사이드는 도 4b에 도시된 바와 같은 본 개시의 산소 도핑된 실리콘 카바이드 구조와 상이한 속성들을 지닐 수도 있다.Many conventional deposition techniques form conventional SiOC or SiOC:H with a chemical structure similar to the structure shown in FIG. 4A. For example, such SiOC or SiOC:H films are formed by doping a silicon dioxide (SiO 2 ) film with carbon. As shown in FIG. 4A , these SiOC or SiOC:H films contain a plurality of terminal CH 3 bonds, coordinated by oxygen and hydrogen atoms. The carbon atoms, or at least a significant fraction of the carbon atoms, are not crosslinked. In addition, the SiOC or SiOC:H films of FIG. 4A have a relatively high hydrogen content. Carbon doped silicon oxide as shown in FIG. 4A may have different properties than the oxygen doped silicon carbide structure of the present disclosure as shown in FIG. 4B .

본 개시의 방법은 도 4b에 도시된 구조와 유사한 화학적 구조를 갖는 산소 도핑된 실리콘 카바이드 (SiOC 또는 SiCO) 막들을 생성한다. 예를 들어, 이러한 산소 도핑된 실리콘 카바이드 막들은 하나 이상의 라디칼 종들이 리모트 플라즈마 소스로부터 생성되는, 실리콘 포함 전구체들과 반응하는 실질적으로 저 에너지 상태 (예를 들어, 바닥 상태) 의 하나 이상의 라디칼 종들 (예를 들어, 수소 라디칼들) 에 의해 형성될 수도 있다. 도 4b에 도시된 바와 같이, 산소 도핑된 실리콘 카바이드 막들은, 탄소 원자들이 실리콘 원자들에 의해 일반적으로 교차결합되거나 배위결합되는 말단 CH3 결합들을 거의 포함하지 않거나 전혀 포함하지 않는다. 탄소 원자들, 또는 적어도 상당한 분율의 탄소 원자들은 교차결합되고 수소 또는 산소 원자들에 의해 배위결합되지 않는다. 이에 더하여, 도 4b의 산소 도핑된 실리콘 카바이드 막들은 상대적으로 낮은 수소 함량을 갖는다.The method of the present disclosure produces oxygen doped silicon carbide (SiOC or SiCO) films having a chemical structure similar to that shown in FIG. 4B . For example, such oxygen-doped silicon carbide films may contain one or more radical species in a substantially low energy state (eg, a ground state) that react with silicon-containing precursors, wherein one or more radical species are generated from a remote plasma source. for example, hydrogen radicals). As shown in FIG. 4B , oxygen doped silicon carbide films contain few or no terminal CH 3 bonds to which carbon atoms are typically crosslinked or coordinated by silicon atoms. Carbon atoms, or at least a significant fraction of carbon atoms, are crosslinked and not coordinated by hydrogen or oxygen atoms. In addition, the oxygen-doped silicon carbide films of FIG. 4B have a relatively low hydrogen content.

증착된 산소 도핑된 실리콘 카바이드 막들의 속성들은 탄소 도핑된 실리콘 옥사이드 막들 또는 종래의 SiOC 막들의 속성들에 비견될 수 있다. 도 4a에 도시된 구조와 유사한 구조를 갖는 종래의 SiOC 또는 SiOC:H 막들은 용이하게 가수분해될 수 있고 상대적으로 저 에너지를 가질 수 있다. 예를 들어, Si-O-CH3는 Si-OH 및 HO-CH3로 용이하게 가수분해될 수 있다. 도 4a에서 실리콘 원자들이 산소 원자들에 의해 배위결합되지만, Si-O 결합들의 극성은 막으로 하여금 HF 습식 에칭 프로세스들과 같은 에칭 프로세스들 동안 불화 및 후속하는 해리에 보다 취약성이 되게 한다. Si-C 결합들에 비해 보다 높은 극성의 Si-O 결합들은 산성에 대한 반응성을 증가시킨다. 그러나, 도 4b에 도시된 구조와 유사한 구조를 갖는 산소 도핑된 실리콘 카바이드 막들은 용이하게 가수분해되지 않고, 반응이 느리고 고 에너지를 요구한다. 예를 들어 Si-C-Si는 용이하게 가수분해되지 않는다. 도 4b에서 탄소 원자들이 실리콘 원자들에 의해 배위결합되면, Si-C 결합들의 극성은 막으로 하여금 HF 습식 에칭 프로세스들과 같은 에칭 프로세스들 동안 불화 및 후속하는 해리에 보다 덜 취약성이 되게 한다. Si-O 결합들과 비교하여 상대적인 Si-C 결합들의 비극성은 산소 도핑된 실리콘 카바이드 막으로 하여금 산에 대해 보다 불활성이 되게 한다. 이에 따라, 일부 실시예들에서, 산소 도핑된 실리콘 카바이드 막은 탄소 도핑된 실리콘 옥사이드보다 큰 습식 에칭 내성을 가질 수도 있다.The properties of the deposited oxygen doped silicon carbide films are comparable to those of carbon doped silicon oxide films or conventional SiOC films. Conventional SiOC or SiOC:H films having a structure similar to that shown in FIG. 4A can be easily hydrolyzed and can have a relatively low energy. For example, Si-O-CH 3 can be readily hydrolyzed to Si-OH and HO-CH 3 . Although the silicon atoms are coordinated by oxygen atoms in FIG. 4A , the polarity of the Si-O bonds makes the film more susceptible to fluorination and subsequent dissociation during etching processes such as HF wet etching processes. Si-O bonds of higher polarity compared to Si-C bonds increase the reactivity to acids. However, oxygen-doped silicon carbide films having a structure similar to that shown in Fig. 4B are not easily hydrolyzed, have a slow reaction, and require high energy. For example, Si-C-Si is not easily hydrolyzed. When the carbon atoms are coordinated by silicon atoms in FIG. 4b, the polarity of the Si-C bonds makes the film less susceptible to fluorination and subsequent dissociation during etching processes such as HF wet etching processes. The relative nonpolarity of Si-C bonds compared to Si-O bonds makes the oxygen-doped silicon carbide film more inert to acid. Accordingly, in some embodiments, the oxygen doped silicon carbide film may have greater wet etch resistance than carbon doped silicon oxide.

도 5a는 종래의 SiOC 또는 SiOC:H 막의 화학적 안정성의 FTIR 플롯을 예시한다. FTIR 플롯은 증착 시 Si-CH3 결합들, Si-C 결합들, 및 Si-O-Si 결합들을 포함하는 막을 도시한다. O2/N2 스트립 프로세스와 같은 에칭 프로세스에 노출 후, Si-CH3 결합들은 대체로 사라진다. 말단 CH3 결합들은 용이하게 제거될 수도 있어서, O2/N2 스트립 프로세스 후, Si-C 결합들 및 Si-O-Si 결합들만이 대체로 남는다. 이는 예시적인 종래의 SiOC 또는 SiOC:H 막이, 특히 에칭 프로세스에 노출될 때 화학적으로 안정하지 않다는 것을 도시한다.5A illustrates an FTIR plot of the chemical stability of a conventional SiOC or SiOC:H film. The FTIR plot shows a film comprising Si-CH 3 bonds, Si-C bonds, and Si-O-Si bonds as deposited. After exposure to an etching process, such as an O 2 /N 2 strip process, the Si-CH 3 bonds largely disappear. The terminal CH 3 bonds may be easily removed so that after the O 2 /N 2 strip process, only Si-C bonds and Si-O-Si bonds remain largely. This shows that exemplary conventional SiOC or SiOC:H films are not chemically stable, especially when exposed to etching processes.

도 5b는 종래의 SiOC 또는 SiOC:H 막의 열적 안정성의 TDS 플롯을 예시한다. TDS 플롯은 보다 고온, 예컨대 600 ℃보다 높은 온도들에서, 기판 표면으로부터 CH3 및 H2 분자들이 탈착하는 것을 도시한다. 말단 CH3 결합들은 상승된 온도들에서 용이하게 파괴될 수도 있고, 보다 많은 수소가 증가된 수소 함량을 갖는 종래의 SiOC 또는 SiOC:H 막으로부터 탈착할 것이다. 이는 종래의 SiOC 또는 SiOC:H 막이, 특히 상승된 온도들에 노출될 때, 열적으로 안정하지 않다는 것을 도시한다.5B illustrates a TDS plot of the thermal stability of a conventional SiOC or SiOC:H film. The TDS plot shows the desorption of CH 3 and H 2 molecules from the substrate surface at higher temperatures, such as temperatures higher than 600 °C. Terminal CH 3 bonds may be easily broken at elevated temperatures, and more hydrogen will desorb from conventional SiOC or SiOC:H films with increased hydrogen content. This shows that conventional SiOC or SiOC:H films are not thermally stable, especially when exposed to elevated temperatures.

도 6a는 본 개시의 방법에 의해 증착된 산소 도핑된 실리콘 카바이드 (SiOC 또는 SiCO) 막의 화학적 안정성의 FTIR 플롯을 예시한다. FTIR 플롯은 Si-O-Si 결합들 및 Si-C 결합들을 포함하지만, Si-CH3 결합들을 포함하지 않는 막을 도시한다. O2/N2 스트립 프로세스와 같은 에칭 프로세스로의 노출 후, FTIR 플롯은 동일하게 남는다. 이는 예시적인 산소 도핑된 실리콘 카바이드 막이, 특히 에칭 프로세스에 노출될 때, 화학적으로 안정하다는 것을 도시한다.6A illustrates an FTIR plot of the chemical stability of an oxygen doped silicon carbide (SiOC or SiCO) film deposited by the method of the present disclosure. The FTIR plot shows a film containing Si-O-Si bonds and Si-C bonds, but no Si-CH 3 bonds. After exposure to an etching process, such as an O 2 /N 2 strip process, the FTIR plot remains the same. This shows that the exemplary oxygen doped silicon carbide film is chemically stable, especially when exposed to an etching process.

도 6b는 본 개시의 방법에 의해 증착된 산소 도핑된 실리콘 카바이드 막의 열적 안정성의 TDS 플롯을 예시한다. TDS 플롯은 보다 고온, 예컨대 600 ℃보다 높은 온도들에서, CH3의 분자들이 기판 표면으로부터 탈착하지 않는다는 것을 도시한다. 또한, 도 5b와 비교하여 도 6b에서 보다 적은 H2 분자들이 기판 표면으로부터 탈착한다. 이는 본 개시의 방법에 의해 증착된 산소 도핑된 실리콘 카바이드 막이 열적으로 안정하다는 것을 도시한다.6B illustrates a TDS plot of the thermal stability of an oxygen doped silicon carbide film deposited by the method of the present disclosure. The TDS plot shows that at higher temperatures, such as temperatures higher than 600 °C, molecules of CH 3 do not desorb from the substrate surface. Also, fewer H 2 molecules are desorbed from the substrate surface in FIG. 6B compared to FIG. 5B . This shows that the oxygen doped silicon carbide film deposited by the method of the present disclosure is thermally stable.

따라서, 산소 도핑된 실리콘 카바이드 막은 저 에칭 레이트를 가질 수 있고, 열적으로 안정할 수 있고, 그리고 화학적으로 안정할 수 있다. 이는 막으로 하여금 고온 어닐링, 건식/습식 에칭, 애싱, 및 다른 제조 프로세스들을 참아내게 한다. 어떠한 이론에도 제한되지 않고, 이 견고함은 부분적으로 교차결합된 Si-C 결합과 CH3 말단 결합들의 결여로 인한 것일 수 있다. 이러한 종류의 막은 저-k 스페이서 적용예들과 같은, 반도체 적용예들에서 큰 성능 개선들을 제안할 수 있다.Accordingly, the oxygen-doped silicon carbide film may have a low etch rate, may be thermally stable, and may be chemically stable. This allows the film to withstand high temperature annealing, dry/wet etching, ashing, and other manufacturing processes. Without wishing to be bound by any theory, this robustness may be due, in part, to the cross-linked Si-C bonds and the lack of CH 3 end bonds. This kind of film can offer great performance improvements in semiconductor applications, such as low-k spacer applications.

산소 도핑된 실리콘 카바이드 막들은 SiOC/SiOC:H, SiO2, 및 SiBCN을 포함하여, 종래의 다른 유전체 재료들과 비교하여 개선된 속성들을 제공할 수도 있다. 산소 도핑된 실리콘 카바이드 막은 저-k 유전체를 제공할 수 있을 뿐만 아니라, 산소 도핑된 실리콘 카바이드 막은 고 파괴 전압들, 고 화학적 안정성, 고 열적 안정성, 및 습식 에칭에 강한 내성을 제공할 수 있다. 이러한 산소 도핑된 실리콘 카바이드 막들은 산소 도핑된 실리콘 카바이드 막이 저-k를 유지하는 동안 집적 플로우를 견딜 수 있는, 다양한 열적 어닐링 및 에칭 단계들을 수반하는 집적 플로우를 요구하는 저-k 스페이서 적용예들에 유용할 수도 있다. 종래의 SiOC/SiOC:H, SiO2, 및 SiBCN 막들은 저-k를 제안할 수도 있지만 이러한 집적 플로우를 견디지 못할 수도 있다.Oxygen doped silicon carbide films may provide improved properties compared to other conventional dielectric materials, including SiOC/SiOC:H, SiO 2 , and SiBCN. Not only can the oxygen doped silicon carbide film provide a low-k dielectric, the oxygen doped silicon carbide film can provide high breakdown voltages, high chemical stability, high thermal stability, and strong resistance to wet etching. These oxygen doped silicon carbide films are suitable for low-k spacer applications requiring integration flow involving various thermal annealing and etching steps, where the oxygen doped silicon carbide film can withstand the integration flow while maintaining low-k. It might be useful. Conventional SiOC/SiOC:H, SiO 2 , and SiBCN films may offer low-k but may not withstand this integration flow.

장치Device

본 개시의 일 양태는 본 명세서에 기술된 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 실시예들에서, 전술한 프로세스 동작들을 수행하기 위한 장치는 리모트 플라즈마 소스를 포함할 수 있다. 리모트 플라즈마 소스는 다이렉트 플라즈마 소스와 비교하여 약한 반응 조건들을 제공한다. 적합한 리모트 플라즈마 장치의 예는 본 명세서에 전체가 참조로서 인용되는, 2013년 10월 24일 출원된 미국 특허 출원번호 제 14/062,648 호에 기술된다.One aspect of the present disclosure is an apparatus configured to achieve the methods described herein. A suitable apparatus includes a system controller having hardware for accomplishing the process operations and instructions for controlling the process operations in accordance with the present disclosure. In some embodiments, an apparatus for performing the process operations described above may include a remote plasma source. The remote plasma source provides weak reaction conditions compared to the direct plasma source. An example of a suitable remote plasma device is described in US Patent Application Serial No. 14/062,648, filed Oct. 24, 2013, which is incorporated herein by reference in its entirety.

도 3은 특정한 실시예들에 따른 리모트 플라즈마 장치의 개략도를 제공한다. 디바이스 (300) 는 샤워헤드 어셈블리 (320) 를 갖는 반응 챔버 (310) 를 포함한다. 반응 챔버 (310) 내부에서, 기판 (330) 은 스테이지 또는 페데스탈 (335) 상에 놓인다. 일부 실시예들에서, 페데스탈 (335) 은 가열/냉각 엘리먼트와 피팅 (fit) 될 수 있다. 제어기 (340) 가 디바이스 (300) 의 동작을 제어하기 위해 디바이스 (300) 의 컴포넌트들에 연결될 수도 있다. 예를 들어, 제어기 (340) 는 디바이스 (300) 의 동작들에 대한 프로세스 조건들, 예컨대 온도 프로세스 조건들 및/또는 압력 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다.3 provides a schematic diagram of a remote plasma apparatus in accordance with certain embodiments. Device 300 includes a reaction chamber 310 having a showerhead assembly 320 . Inside the reaction chamber 310 , a substrate 330 rests on a stage or pedestal 335 . In some embodiments, the pedestal 335 may fit a heating/cooling element. A controller 340 may be coupled to components of the device 300 to control operation of the device 300 . For example, controller 340 may include instructions for controlling process conditions for operations of device 300 , such as temperature process conditions and/or pressure process conditions.

동작 동안, 가스들 또는 가스 혼합물들이 반응 챔버 (310) 에 커플링된 하나 이상의 가스 유입부들을 통해 반응 챔버 (310) 내로 도입된다. 일부 실시예들에서, 2 이상의 가스 유입부들이 반응 챔버 (310) 에 커플링된다. 제 1 가스 유입부 (355) 는 반응 챔버 (310) 에 커플링되고 용기 (350) 에 연결될 수 있고, 제 2 가스 유입부 (365) 는 반응 챔버 (310) 에 커플링될 수 있고 리모트 플라즈마 소스 (360) 에 연결될 수 있다. 리모트 플라즈마 구성들을 포함하는 실시예들에서, 전구체들 및 리모트 플라즈마 소스에서 생성된 라디칼 종들을 위한 전달 라인들은 분리된다. 따라서, 전구체들 및 라디칼 종들이 기판 (330) 에 도달하기 전에 실질적으로 상호작용하지 않는다.During operation, gases or gas mixtures are introduced into the reaction chamber 310 through one or more gas inlets coupled to the reaction chamber 310 . In some embodiments, two or more gas inlets are coupled to the reaction chamber 310 . A first gas inlet 355 can be coupled to the reaction chamber 310 and coupled to the vessel 350 , and a second gas inlet 365 can be coupled to the reaction chamber 310 and a remote plasma source 360 may be connected. In embodiments involving remote plasma configurations, delivery lines for precursors and radical species generated at the remote plasma source are separated. Accordingly, the precursors and radical species do not substantially interact before reaching the substrate 330 .

하나 이상의 라디칼 종들은 리모트 플라즈마 소스 (360) 내에서 생성되고 가스 유입부 (365) 를 통해 반응 챔버 (310) 로 들어가도록 구성될 수도 있다. 임의의 타입의 플라즈마 소스가 라디칼 종들을 생성하도록 리모트 플라즈마 소스 (360) 내에서 사용될 수도 있다. 이는, 이로 제한되는 것은 아니지만, 용량 결합 플라즈마들, 유도 결합 플라즈마들, 마이크로파 플라즈마들, DC 플라즈마들, 및 레이저 생성된 플라즈마들을 포함한다. 용량 결합 플라즈마의 예는 RF 플라즈마일 수 있다. 고 주파수 플라즈마는 13.56 ㎒ 이상에서 동작하도록 구성될 수 있다. 이러한 리모트 플라즈마 소스 (360) 의 예는 California, San Jose 소재의 Novellus Systems에 의해 제조된 GAMMA®일 수 있다. 이러한 RF 리모트 플라즈마 소스 (360) 의 또 다른 예는 Massachusetts, Wilmington 소재의 MKS Instruments에 의해 제조된 Astron®일 수 있고, 440 ㎑에서 동작할 수 있고 하나 이상의 기판들을 병행하여 프로세싱하기 위해 보다 큰 장치에 볼트 결합된 서브유닛으로서 제공될 수 있다. 일부 실시예들에서, 마이크로파 플라즈마는 리모트 플라즈마 소스 (360) 로서, 예컨대 또한 MKS Instruments에 의해 제조된 Astex®로서 사용될 수 있다. 마이크로파 플라즈마는 2.45 ㎓의 주파수에서 동작하도록 구성될 수 있다.One or more radical species may be generated within the remote plasma source 360 and configured to enter the reaction chamber 310 via a gas inlet 365 . Any type of plasma source may be used within remote plasma source 360 to generate radical species. This includes, but is not limited to, capacitively coupled plasmas, inductively coupled plasmas, microwave plasmas, DC plasmas, and laser generated plasmas. An example of a capacitively coupled plasma may be an RF plasma. The high frequency plasma may be configured to operate above 13.56 MHz. An example of such a remote plasma source 360 may be GAMMA® manufactured by Novellus Systems of San Jose, California. Another example of such an RF remote plasma source 360 may be Astron® manufactured by MKS Instruments of Wilmington, Massachusetts, capable of operating at 440 kHz and in a larger apparatus for processing one or more substrates in parallel. It can be provided as a bolted-on subunit. In some embodiments, microwave plasma can be used as remote plasma source 360 , such as also Astex® manufactured by MKS Instruments. The microwave plasma may be configured to operate at a frequency of 2.45 GHz.

전구체들이 용기 (350) 에 제공될 수 있고 제 1 가스 유입부 (355) 를 통해 샤워헤드 (320) 로 공급될 수 있다. 샤워헤드 (320) 는 기판 (330) 을 향해 전구체들을 반응 챔버 (310) 내로 분배한다. 기판 (330) 은 샤워헤드 (320) 밑에 위치될 수 있다. 샤워헤드 (320) 는 임의의 적합한 형상을 가질 수 있고, 기판 (330) 으로 가스들을 분배하기 위해 임의의 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다. 전구체들은 샤워헤드 (320) 로 궁극적으로 기판 (330) 으로 제어된 플로우 레이트로 공급될 수 있다.Precursors may be provided to a vessel 350 and supplied to the showerhead 320 via a first gas inlet 355 . The showerhead 320 dispenses the precursors into the reaction chamber 310 towards the substrate 330 . A substrate 330 may be positioned under the showerhead 320 . It will be appreciated that the showerhead 320 may have any suitable shape and may have any number and arrangement of ports for distributing gases to the substrate 330 . The precursors may be fed at a controlled flow rate to the showerhead 320 and ultimately to the substrate 330 .

리모트 플라즈마 소스 (360) 내에 형성된 하나 이상의 라디칼 종들은 가스 상으로 기판 (330) 을 향해 반송될 수 있다. 하나 이상의 라디칼 종들은 제 2 가스 유입부 (365) 를 통해 반응 챔버 (310) 내로 흐를 수 있다. 제 2 가스 유입부 (365) 는 도 3에 예시된 바와 같이 기판 (330) 의 표면을 횡단할 필요는 없다는 것이 이해될 것이다. 특정한 실시예들에서, 제 2 가스 유입부 (365) 는 기판 (330) 바로 위이거나 다른 위치들에 있을 수 있다. 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 간 거리는 리모트 플라즈마 소스 (360) 내에서 생성된 이온화된 종들이 실질적으로 중성화되지만, 실질적으로 저 에너지 상태들의 적어도 일부 라디칼 종들은 기판 (330) 에 인접한 분위기에 남아 있도록, 약한 반응성 조건들을 제공하도록 구성될 수 있다. 이러한 저 에너지 상태 라디칼 종들은 안정한 화합물들을 형성하기 위해 재결합되지 않는다. 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 간의 거리는 (예를 들어, 소스 RF 전력 레벨에 의해 부분적으로 결정된) 플라즈마의 공격력, (예를 들어, 고농도 수소 원자들이 있다면, 상당한 분율이 반응 챔버 (310) 에 도달하기 전에 H2를 형성하도록 재결합할 수도 있는) 플라즈마의 가스의 밀도, 및 다른 인자들의 함수일 수 있다. 일부 실시예들에서, 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 간 거리는 약 10 ㎝ 내지 50 ㎝, 예컨대 약 30 ㎝일 수 있다.One or more radical species formed within the remote plasma source 360 may be transported in a gaseous phase towards the substrate 330 . One or more radical species may flow into the reaction chamber 310 via the second gas inlet 365 . It will be appreciated that the second gas inlet 365 need not traverse the surface of the substrate 330 as illustrated in FIG. 3 . In certain embodiments, the second gas inlet 365 may be directly above the substrate 330 or at other locations. The distance between the remote plasma source 360 and the reaction chamber 310 allows ionized species generated in the remote plasma source 360 to be substantially neutralized, while at least some radical species in substantially low energy states are adjacent to the substrate 330 . It may be configured to provide weakly reactive conditions so as to remain in the atmosphere. These low energy state radical species do not recombine to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 depends on the power of the plasma (e.g., determined in part by the source RF power level), and a significant fraction (e.g., if there are high concentrations of hydrogen atoms) in the reaction chamber ( 310), and the density of the gas in the plasma (which may recombine to form H 2 ), and other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 may be between about 10 cm and 50 cm, such as about 30 cm.

일부 실시예들에서, 주 실리콘 함유 전구체 또는 수소 라디칼이 아닌 공반응물질이 증착 반응 동안 도입될 수도 있다. 일부 구현예들에서, 공반응물질이 적어도 부분적으로 플라즈마로 변환되는 경우, 장치는 제 2 가스 유입부 (365) 를 통해 공반응물질을 도입하도록 구성된다. 일부 구현예들에서, 장치는 제 1 가스 유입부 (355) 를 경유하여 샤워헤드 (320) 를 통해 공반응물질을 도입하도록 구성된다. 공반응물질의 예들은 산소, 질소, 이산화탄소, 등을 포함한다.In some embodiments, a co-reactant other than a primary silicon-containing precursor or hydrogen radical may be introduced during the deposition reaction. In some implementations, when the co-reactant is at least partially converted to a plasma, the apparatus is configured to introduce the co-reactant through the second gas inlet 365 . In some implementations, the apparatus is configured to introduce the co-reactant through the showerhead 320 via the first gas inlet 355 . Examples of co-reactants include oxygen, nitrogen, carbon dioxide, and the like.

제어기 (340) 는 디바이스 (300) 의 동작을 위한 프로세스 조건들을 제어하는 인스트럭션들을 포함할 수도 있다. 제어기 (340) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들은 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기 (340) 와 연관된 메모리 디바이스들 상에 저장될 수도 있고 또는 네트워크를 통해 제공될 수도 있다.The controller 340 may include instructions to control process conditions for operation of the device 300 . Controller 340 will typically include one or more memory devices and one or more processors. A processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, and the like. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on memory devices associated with controller 340 or may be provided over a network.

특정한 실시예들에서, 제어기 (340) 는 본 명세서에 기술된 반도체 프로세싱 디바이스 (300) 의 모든 또는 대부분의 액티비티들을 제어한다. 예를 들어, 제어기 (340) 는 산소 도핑된 실리콘 카바이드 막을 증착하는 것과 연관된 반도체 프로세싱 디바이스 (300) 의 모든 또는 대부분의 액티비티들 및 선택가능하게, 산소 도핑된 실리콘 카바이드 막을 포함하는 제조 플로우의 다른 동작들을 제어할 수도 있다. 제어기 (340) 는 타이밍, 가스 조성, 가스 밀도, 가스 플로우 레이트들, 챔버 압력, 챔버 온도, RF 전력 레벨들, 기판 위치, 및/또는 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행할 수도 있다. 제어기 (340) 와 연관된 메모리 디바이스들에 저장된 다른 컴퓨터 프로그램들, 스크립트들, 또는 루틴들이 일부 실시예들에서 채용될 수도 있다. 기판 (330) 에 인접한 분위기에서 상대적으로 약한 반응성 조건들을 제공하기 위해, RF 전력 레벨들, 리모트 플라즈마 영역으로 가스 플로우 레이트들, 및 플라즈마 점화의 타이밍과 같은 파라미터들이 제어기 (340) 에 의해 조정되고 유지될 수 있다. 부가적으로, 기판 위치를 조정하는 것은 기판 (330) 에 인접한 분위기에서 고에너지 라디칼 종들의 존재를 더 감소시킬 수도 있다.In certain embodiments, the controller 340 controls all or most activities of the semiconductor processing device 300 described herein. For example, the controller 340 may control all or most of the activities of the semiconductor processing device 300 associated with depositing an oxygen-doped silicon carbide film and optionally other operations of a manufacturing flow including an oxygen-doped silicon carbide film. You can also control them. The controller 340 is system control software comprising sets of instructions for controlling timing, gas composition, gas density, gas flow rates, chamber pressure, chamber temperature, RF power levels, substrate position, and/or other parameters. can also run Other computer programs, scripts, or routines stored in memory devices associated with controller 340 may be employed in some embodiments. Parameters such as RF power levels, gas flow rates to the remote plasma region, and timing of plasma ignition are adjusted and maintained by the controller 340 to provide relatively weak reactive conditions in the atmosphere adjacent the substrate 330 . can be Additionally, adjusting the substrate position may further reduce the presence of high energy radical species in the atmosphere adjacent the substrate 330 .

멀티-스테이션 반응기에서, 제어기 (340) 는 상이한 장치 스테이션들에 대해 상이하거나 동일한 인스트럭션들을 포함할 수도 있어서, 장치 스테이션들로 하여금 독립적으로 또는 동기하여 동작하게 한다.In a multi-station reactor, the controller 340 may include different or identical instructions for different apparatus stations, causing the apparatus stations to operate independently or synchronously.

일부 실시예들에서, 제어기 (340) 는 제 1 가스 유입부 (355) 를 통해 반응 챔버 (310) 내로 실리콘 함유 전구체를 흘리는 동작, 실질적으로 저 에너지 상태의 하나 이상의 라디칼 종들을 리모트 플라즈마 소스 (360) 로부터 제공하는 동작, 및 기판 (330) 상에 산소 도핑된 실리콘 카바이드 막을 형성하기 위해 실리콘 포함 전구체와 반응하도록 제 2 가스 유입부 (365) 를 통해 반응 챔버 (310) 내로 하나 이상의 라디칼 종들을 흘리는 동작과 같은 동작들을 수행하는 인스트럭션들을 포함할 수도 있다.In some embodiments, the controller 340 is configured to flow the silicon-containing precursor into the reaction chamber 310 via the first gas inlet 355 , the one or more radical species in a substantially low energy state to the remote plasma source 360 . ), and flowing one or more radical species into the reaction chamber 310 through a second gas inlet 365 to react with a silicon-comprising precursor to form an oxygen-doped silicon carbide film on the substrate 330 . It may include instructions for performing operations such as an operation.

일부 실시예들에서, 장치는 제어기 (340) 와 연관된 사용자 인터페이스를 포함할 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.In some embodiments, the apparatus may include a user interface associated with the controller 340 . The user interface may include a display screen, a graphical software display of the apparatus and/or a graphical software display of process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, and the like.

상기 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다.The computer program code for controlling the above operations may be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or the like. The compiled object code or script is executed by the processor to perform the tasks identified within the program.

프로세스를 모니터링하기 위한 신호들이 시스템 제어기의 아날로그 및/또는 디지털 입력 연결부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들이 아날로그 및 디지털 입력 연결부들 상에 출력된다.Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. Signals for controlling the process are output on analog and digital input connections.

일반적으로, 본 명세서에 기술된 방법들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함하는 시스템들 상에서 수행될 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 일반적으로, 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 제어기로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.In general, the methods described herein include a processing tool or tools, a chamber or chambers, a platform or platforms for processing, and/or specific processing components (wafer pedestal, gas flow system, etc.) for semiconductor processing. It can be performed on systems that include equipment. These systems may be incorporated into electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. In general, electronics are referred to as a controller that may control a system or various components or subparts of the systems. The controller controls delivery of processing gases, temperature settings (eg, heating and/or cooling), pressure settings, vacuum settings, power settings, depending on the processing requirements and/or type of system. , radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid transfer settings, position and motion settings, tools and other transport tools and/or It may be programmed to control any of the processes disclosed herein, including wafer transfers into and out of loadlocks coupled or interfaced with a particular system.

일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들 (예를 들어, 실리콘 카바이드), 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.Generally speaking, the controller receives instructions, issues instructions, controls an operation, enables cleaning operations, enables endpoint measurements, and/or various integrated circuits, logic, memory, and/or the like. It may be defined as an electronic device with software. Integrated circuits are chips in the form of firmware that store program instructions, digital signal processors (DSP), chips defined as application specific integrated circuits (ASICs) and/or one that executes program instructions (eg, software). It may include more than one microprocessor, or microcontrollers. Program instructions may be instructions passed to a controller or system in the form of various individual settings (or program files), which define operating parameters for executing a particular process on or for a semiconductor wafer. In some embodiments, operating parameters are set by a process engineer to accomplish one or more processing steps during fabrication of one or more layers, materials (eg, silicon carbide), surfaces, circuits, and/or dies of a wafer. It may be part of a prescribed recipe.

제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.A controller may be coupled to or part of a computer, which, in some implementations, may be integrated into, coupled to, or otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or part of a fab host computer system that may enable remote access of wafer processing. The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from a plurality of manufacturing operations, changes parameters of the current processing, and performs processing steps following the current processing. You can also enable remote access to the system to set up, or start a new process. In some examples, a remote computer (eg, a server) can provide process recipes to the system over a network that may include a local network or the Internet. The remote computer may include a user interface that enables input or programming of parameters and/or settings to be subsequently passed from the remote computer to the system. In some examples, the controller receives instructions in the form of data specifying parameters for each of the process steps to be performed during one or more operations. It should be understood that these parameters may be specific to the type of process to be performed and the type of tool the controller is configured to control or interface with. Accordingly, as described above, a controller may be distributed, for example, by including one or more separate controllers that are networked together and cooperate for a common purpose, such as for the processes and controls described herein. An example of a distributed controller for this purpose is one or more integrated circuits on the chamber in communication with one or more remotely located integrated circuits (eg, at the platform level or as part of a remote computer) combined to control a process on the chamber. can be circuits.

본 명세서에 기술된 산소 도핑된 실리콘 카바이드 증착에 부가하여, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.In addition to the oxygen doped silicon carbide deposition described herein, exemplary systems include a plasma etch chamber or module, deposition chamber or module, spin-rinse chamber or module, metal plating chamber or module, cleaning chamber or module, bevel edge Etch chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, ALD (atomic layer deposition) chamber or module, ALE (atomic layer etch) chamber or module, ion implantation chamber or module , a track chamber or module, and any other semiconductor processing systems that may be used or associated in the fabrication and/or fabrication of semiconductor wafers.

상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.As described above, depending on the process step or steps to be performed by the tool, the controller is used in material transfer to move containers of wafers from/to tool locations and/or load ports within the semiconductor fabrication plant. may communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout the factory, main computer, another controller or tools .

적용예들Applications

본 개시는 고품질 산소 도핑된 실리콘 카바이드 (SiOC 또는 SiCO) 막들에 대한 이하의 적용예들을 참조하여 더 이해될 수도 있고, 이들 적용예들은 순수하게 예시인 것으로 의도된다. 본 개시는 본 개시의 양태들의 단순한 예시들인, 특정한 적용예들에 의한 범위로 제한되지 않는다.The present disclosure may be further understood with reference to the following applications for high quality oxygen doped silicon carbide (SiOC or SiCO) films, which applications are intended to be purely exemplary. This disclosure is not limited in scope by specific applications, which are merely examples of aspects of the disclosure.

일부 실시예들에서, 산소 도핑된 실리콘 카바이드 막이 노출된 구리 위에 증착될 수도 있다. 산소 도핑된 실리콘 카바이드 막을 증착하는 일부 실시예들에서, 기판에 인접한 반응 조건들은 산화제가 없을 수 있고, 예컨대 O2, O3, 및 CO2, 이들의 라디칼들을 포함할 수 있다. 따라서, 산소 도핑된 실리콘 카바이드 막은 구리를 산화하지 않고 (예를 들어, 산화 제이구리 (cupric oxide) 를 생성하지 않고) 노출된 구리 바로 위에 증착될 수도 있다. 이러한 막들은 구리 확산 배리어들로서 또한 역할을 할 수 있는, 에칭 정지층들로서 역할을 할 수 있다. 산소 도핑된 실리콘 카바이드 막의 존재는 확산 배리어로서 역할하도록 우수한 누설 속성들을 갖는 충분히 저 유전 상수를 제공할 수 있다. 산소 도핑된 실리콘 카바이드 막은 스스로 또는 바이레이어 (bilayer) 스택 (예를 들어, 노출된 구리 위에 증착된 SiCO/SiNC 바이레이어) 으로서 에칭 정지 그리고/또는 확산 배리어일 수 있다. 일부 실시예들에서, 산소 도핑된 실리콘 카바이드 막은 통상적으로 다마신 프로세스에 의해 생성되는 인접한 금속화 층들 사이에 배치될 수 있다. 산소 도핑된 실리콘 카바이드 막은 에칭에 내성이 있을 수 있고, 구리 이온들의 유전체 재료의 인접한 영역들 내로의 확산을 최소화하도록 충분히 치밀할 수 있다. 일부 실시예들에서, 산소 도핑된 실리콘 카바이드 막을 위해 채용된 전구체는 고리형이 아닐 수 있다. 고리형이 아닌 전구체들은 PMDSO 또는 TMDSO를 포함할 수 있다. 고리형이 아닌 전구체는 밀폐 또는 확산 배리어로서 역할을 하도록 충분히 고밀도를 제공할 수 있다. 일부 실시예들에서, 질소는 질소-포함 전구체들을 채용함으로써 또는 질소-함유 라디칼들 예컨대 원소 질소 라디칼들 또는 아민 라디칼들을 플라즈마 활성화함으로써 막 내로 통합될 수도 있다.In some embodiments, an oxygen doped silicon carbide film may be deposited over the exposed copper. In some embodiments of depositing an oxygen-doped silicon carbide film, the reaction conditions adjacent to the substrate may be free of an oxidizing agent, eg, may include O 2 , O 3 , and CO 2 , radicals thereof. Thus, an oxygen-doped silicon carbide film may be deposited directly over the exposed copper without oxidizing the copper (eg, without generating cupric oxide). These films can act as etch stop layers, which can also act as copper diffusion barriers. The presence of an oxygen-doped silicon carbide film can provide a sufficiently low dielectric constant with good leakage properties to serve as a diffusion barrier. The oxygen doped silicon carbide film can be an etch stop and/or diffusion barrier by itself or as a bilayer stack (eg, a SiCO/SiNC bilayer deposited over exposed copper). In some embodiments, an oxygen-doped silicon carbide film may be disposed between adjacent metallization layers typically created by a damascene process. The oxygen-doped silicon carbide film may be resistant to etching and dense enough to minimize diffusion of copper ions into adjacent regions of the dielectric material. In some embodiments, the precursor employed for the oxygen doped silicon carbide film may not be cyclic. Non-cyclic precursors may include PMDSO or TMDSO. A precursor that is not cyclic can provide a sufficiently high density to act as a hermetic or diffusion barrier. In some embodiments, nitrogen may be incorporated into the film by employing nitrogen-comprising precursors or by plasma activating nitrogen-containing radicals such as elemental nitrogen radicals or amine radicals.

일부 실시예들에서, 산소 도핑된 실리콘 카바이드 막은 금속 또는 반도체 구조체들에 인접한 수직 구조체들로서 증착될 수도 있다. 산소 도핑된 실리콘 카바이드의 증착은 수직 구조체들을 생성하도록 금속 또는 반도체 구조체들의 측벽들을 따라 우수한 단차 커버리지를 제공한다. 특정한 실시예들에서, 수직 구조체들은 스페이서들 또는 라이너들로서 지칭될 수도 있다. 도 1b는 트랜지스터의 게이트 전극 구조체의 측벽들 상에 증착된 산소 도핑된 실리콘 카바이드 라이너들의 단면을 예시한다. 도 1b에 예시된 바와 같이, 트랜지스터는 소스 (112) 및 드레인 (113) 을 갖는 실리콘 기판 (110) 을 갖는 CMOS일 수 있다. 게이트 유전체 (114) 는 실리콘 기판 (110) 위에 증착될 수 있고, 트랜지스터를 형성하기 위해 게이트 전극이 게이트 유전체 (115) 위에 증착될 수 있다. 산소 도핑된 실리콘 카바이드 스페이서들 또는 라이너들 (111) 은 게이트 전극 (115) 및 게이트 유전체 (114) 의 측벽들 상에 증착될 수 있다. 또 다른 예에서, 도 1c는 에어 갭 타입 금속화 층의 노출된 구리 라인들의 측벽들 상에 증착된 산소 도핑된 실리콘 카바이드의 단면을 예시한다. 에어 갭들 (120) 이 층의 유효 k-값을 감소시킬 수 있는, 구리 라인들 (122) 사이의 집적 회로 층으로 도입될 수 있다. 산소 도핑된 실리콘 카바이드 라이너들 (121) 이 구리 라인들 (122) 의 측벽들 상에 증착될 수 있고, 컨포멀하지 않은 유전체 층 (123) 이 에어 갭들 (120), 라이너들 (121), 및 구리 라인들 (122) 상에 증착될 수 있다. 이러한 에어 갭 타입 금속화 층들의 예들은, 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용되는, Fei Wang 등의 미국 특허 공보 제 2004/0232552 호에 기술될 수 있다.In some embodiments, the oxygen doped silicon carbide film may be deposited as vertical structures adjacent to metal or semiconductor structures. Deposition of oxygen doped silicon carbide provides excellent step coverage along sidewalls of metal or semiconductor structures to create vertical structures. In certain embodiments, vertical structures may be referred to as spacers or liners. 1B illustrates a cross-section of oxygen doped silicon carbide liners deposited on sidewalls of a gate electrode structure of a transistor. As illustrated in FIG. 1B , the transistor may be CMOS with a silicon substrate 110 having a source 112 and a drain 113 . A gate dielectric 114 may be deposited over the silicon substrate 110 , and a gate electrode may be deposited over the gate dielectric 115 to form a transistor. Oxygen doped silicon carbide spacers or liners 111 may be deposited on the sidewalls of the gate electrode 115 and the gate dielectric 114 . In another example, FIG. 1C illustrates a cross-section of oxygen doped silicon carbide deposited on sidewalls of exposed copper lines of an air gap type metallization layer. Air gaps 120 may be introduced into the integrated circuit layer between copper lines 122 , which may reduce the effective k-value of the layer. Oxygen doped silicon carbide liners 121 may be deposited on the sidewalls of the copper lines 122 , and a non-conformal dielectric layer 123 may be deposited on the air gaps 120 , the liners 121 , and It may be deposited on copper lines 122 . Examples of such air gap type metallization layers may be described in US Patent Publication No. 2004/0232552 to Fei Wang et al., which is incorporated herein by reference in its entirety for all purposes.

일부 실시예들에서, 산소 도핑된 실리콘 카바이드 막은 패터닝된 다공성 유전체 재료들의 측벽들 상에 증착될 수도 있다. 초저-k 유전체 재료들이 다공성 구조로부터 생성될 수 있다. 이러한 재료들의 포어들은 탄탈룸 (Ta) 과 같은 금속을 함유하는 확산 배리어들의 증착을 포함하는, 후속하는 층들의 증착 동안 금속의 침투 (ingress) 영역들을 제공할 수 있다. 매우 많은 금속이 유전체 재료 내로 이동한다면, 유전체 재료는 인접한 구리 금속화 라인들 사이에 단락 (short circuit) 을 제공할 수도 있다. 도 1d는 다공성 유전체 재료들에 대한 포어 실런트로서 산소 도핑된 실리콘 카바이드의 단면을 예시한다. 다공성 유전체 층 (132) 은 포어들 (130) 을 형성하기 위해 다공성 유전체 층 (132) 내로 절단되는 복수의 트렌치들 또는 비아들을 가질 수 있다. 산소 도핑된 실리콘 카바이드 (131) 는 포어들 (130) 을 효과적으로 시일링하기 위해 포어들 (130) 을 따라 증착될 수 있다. 산소 도핑된 실리콘 카바이드 (131) 로 포어들 (130) 을 시일링하는 것은 그렇지 않으면 플라즈마를 사용한 다른 시일링 기법들에 의해 발생할 수도 있는 다공성 유전체 층 (132) 을 손상시키는 것을 방지할 수 있다. 산소 도핑된 실리콘 카바이드 (131) 는 포어 실런트로서 충분히 치밀할 수 있고, 고리형이 아닌 실리콘 포함 전구체들, 예컨대 PMDSO 및 TMDSO를 포함할 수도 있다. 일부 실시예들에서, 다공성 유전체 층 (132) 과 같은 에칭된 유전체 재료는 다공성 유전체 층 (132) 을 UV 복사선 및 환원제에 노출하는, "k-복구" 프로세스에 의해 먼저 처리될 수도 있다. 이 복구 프로세스는, 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용된, Varadarajan 등에 공동으로 소유된 미국 특허 공보 제 2011/0111533 호에 더 기술된다. 또 다른 "k-복구" 프로세스에서, 다공성 유전체 층 (132) 은 UV 복사선 및 화학적 실릴화제 (silylating agent) 에 노출될 수 있다. 이 복구 프로세스는 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용된, Varadarajan 등에 공동으로 소유된 미국 특허 공보 제 2011/0117678 호에 더 기술된다. 포어들 (130) 을, 표면을 보다 친수성으로 만들고 재료의 모노레이어를 제공하는 복구 처리에 노출한 후, 컨포멀하게 증착된 산소 도핑된 실리콘 카바이드 (131) 층은 다공성 유전체 층 (132) 의 포어들을 효과적으로 시일링하도록 증착될 수 있다.In some embodiments, an oxygen doped silicon carbide film may be deposited on the sidewalls of the patterned porous dielectric materials. Ultra-low-k dielectric materials can be created from porous structures. Pores in these materials can provide ingress regions of metal during deposition of subsequent layers, including deposition of diffusion barriers containing metal such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may provide a short circuit between adjacent copper metallization lines. 1D illustrates a cross section of oxygen doped silicon carbide as a pore sealant for porous dielectric materials. The porous dielectric layer 132 may have a plurality of trenches or vias cut into the porous dielectric layer 132 to form pores 130 . Oxygen doped silicon carbide 131 may be deposited along the pores 130 to effectively seal the pores 130 . Sealing the pores 130 with oxygen doped silicon carbide 131 may prevent damaging the porous dielectric layer 132 that might otherwise occur by other sealing techniques using plasma. Oxygen doped silicon carbide 131 may be sufficiently dense as a pore sealant and may include non-cyclic silicon containing precursors such as PMDSO and TMDSO. In some embodiments, an etched dielectric material, such as porous dielectric layer 132 , may first be treated by a “k-repair” process, exposing porous dielectric layer 132 to UV radiation and a reducing agent. This recovery process is further described in US Patent Publication No. 2011/0111533, commonly owned by Varadarajan et al., which is incorporated herein by reference in its entirety for all purposes. In another “k-repair” process, the porous dielectric layer 132 may be exposed to UV radiation and a chemical silylating agent. This recovery process is further described in US Patent Publication No. 2011/0117678, commonly owned by Varadarajan et al., which is incorporated herein by reference in its entirety for all purposes. After exposing the pores 130 to a repair treatment that renders the surface more hydrophilic and provides a monolayer of material, the conformally deposited oxygen-doped silicon carbide 131 layer is removed from the pores of the porous dielectric layer 132 . can be deposited to effectively seal them.

일부 실시예들에서, 산소 도핑된 실리콘 카바이드 막은 초저-k 유전체 재료 자체로서 증착될 수도 있다. 초저-k 유전체들은 종래에 2.5보다 작은 유전 상수를 갖는 재료들로서 규정되었다. 이러한 구성들에서, 산소 도핑된 실리콘 카바이드의 초저-k 유전체 재료는 다공성 유전체 층일 수 있다. 유전체 층의 포어들은 고리형 실록산들 및 실스퀴옥산들을 포함하여, 고리형 또는 케이지된 전구체 분자들을 사용하여 도입될 수 있다. 일 예에서, 산소 도핑된 실리콘 카바이드의 초저-k 유전체 층의 다공성은 약 20 % 내지 50 %일 수 있다. 또한, 초저-k 유전체 층약 100 Å 미만, 예컨대 약 5 Å 내지 20 Å의 평균 포어 사이즈를 가질 수 있다. 예를 들어, 사이클로실록산 링은 약 6.7 Å의 반경을 가질 수 있다. 증가하는 수 및 사이즈의 포어들은 유전 상수를 하강시킬 수 있는 한편, 매우 다공성이라면 유전체 층의 기계적 무결성이 절충될 수 있다.In some embodiments, the oxygen doped silicon carbide film may be deposited as the ultra-low-k dielectric material itself. Ultra-low-k dielectrics have conventionally been defined as materials having a dielectric constant less than 2.5. In these configurations, the ultra-low-k dielectric material of oxygen-doped silicon carbide may be a porous dielectric layer. The pores of the dielectric layer can be introduced using cyclic or caged precursor molecules, including cyclic siloxanes and silsquioxanes. In one example, the porosity of the ultra-low-k dielectric layer of oxygen-doped silicon carbide can be between about 20% and 50%. The ultra-low-k dielectric layer may also have an average pore size of less than about 100 Angstroms, such as between about 5 Angstroms and 20 Angstroms. For example, the cyclosiloxane ring may have a radius of about 6.7 Angstroms. An increasing number and size of pores can lower the dielectric constant, while being very porous can compromise the mechanical integrity of the dielectric layer.

일부 실시예들에서, 산소 도핑된 실리콘 카바이드 막 (151) 은 측벽 스페이서로서 finFET 구조체 내에 증착될 수도 있다. 전자 디바이스들에서 기술 노드들이 축소되고 피치들이 보다 작아짐에 따라, 도전성 피처들이 보다 가깝게 위치된다. 이러한 도전성 피처들 간의 분리는 보다 작아지고, 기생 커패시턴스들의 증가를 야기할 수 있다. 기생 커패시턴스들은 트랜지스터로부터 상호접속 라인들로와 같이, 송신 신호들의 지연을 유발할 수도 있다. 도전성 피처들 사이의 유전체 재료의 두께를 상승시키는 대신, 저 유전 상수를 갖는 재료가 특히 기술 노드들이 축소될 때 기생 커패시턴스들을 제한할 수 있다.In some embodiments, an oxygen doped silicon carbide film 151 may be deposited in the finFET structure as a sidewall spacer. As technology nodes shrink and pitches become smaller in electronic devices, conductive features are located closer together. The separation between these conductive features becomes smaller and can lead to an increase in parasitic capacitances. Parasitic capacitances may cause delays in transmit signals, such as from transistors to interconnect lines. Instead of increasing the thickness of the dielectric material between conductive features, a material with a low dielectric constant can limit parasitic capacitances, especially when technology nodes are scaled down.

측벽 스페이서로서 실리콘 나이트라이드 (Si3N4) 는 우수한 단차 커버리지, 열적 안정성, 화학적 안정성, 화학적 선택도, 및 고 파괴 전압들을 제안할 수도 있다. 그러나, 실리콘 나이트라이드의 유전 상수는 많은 전자 디바이스들에서 적합하지 않게 높을 수도 있다. 실리콘 다이옥사이드 (SiO2) 막들은 많은 전자 디바이스들에 충분한 보다 낮은 유전 상수를 가질 수도 있지만, 통상적인 집적 플로우를 견디기에 충분한 습식 에칭 내성을 갖지 못할 수도 있다. 탄소 또는 질소 원자들로 SiO2 막들을 도핑하는 것은 습식 에칭 프로세스들에 대한 내성을 개선할 수도 있지만, 여전히 불량한 열적 안정성 및 화학적 안정성을 발생시킬 수도 있다. 산소 도핑된 실리콘 카바이드 (SiCO) 막들은 습식 에칭 프로세스들에 대한 개선된 내성, 열적 안정성, 화학적 안정성, 고 파괴 전압들, 화학적 선택도, 및 우수한 단차 커버리지를 갖는 충분히 낮은 유전 상수들을 제안할 수도 있다. 이러한 산소 도핑된 실리콘 카바이드 막들은 저 유전 상수를 유지할 수도 있고, 다양한 열적 어닐링 및 에칭 단계들을 수반하는 집적 플로우를 견딜 수도 있다.Silicon nitride (Si 3 N 4 ) as a sidewall spacer may offer good step coverage, thermal stability, chemical stability, chemical selectivity, and high breakdown voltages. However, the dielectric constant of silicon nitride may be unsuitably high in many electronic devices. Silicon dioxide (SiO 2 ) films may have a lower dielectric constant sufficient for many electronic devices, but may not have sufficient wet etch resistance to withstand typical integration flow. SiO 2 with carbon or nitrogen atoms Doping films may improve resistance to wet etch processes, but may still result in poor thermal and chemical stability. Oxygen doped silicon carbide (SiCO) films may offer sufficiently low dielectric constants with improved resistance to wet etch processes, thermal stability, chemical stability, high breakdown voltages, chemical selectivity, and good step coverage. . Such oxygen-doped silicon carbide films may maintain a low dielectric constant and may withstand an integration flow involving various thermal annealing and etching steps.

도 1e는 finFET 구조체들을 제조하는 예시적인 집적 플로우의 3차원 개략도들을 도시한다. finFET 구조체는 서로 병렬인 복수의 게이트 전극들 (예를 들어, 폴리실리콘) 및 서로 병렬이고 게이트 전극들의 양 측면들로부터 수직으로 연장하는 박형 반도체 재료의 복수의 "핀들 (fins)"을 포함할 수도 있다. 게이트 전극의 일 측면으로부터 연장하는 핀들은 소스 영역들에 대응할 수도 있는 한편, 게이트 전극의 맞은편 측면으로부터 연장하는 핀들은 드레인 영역들에 대응할 수도 있다. 게이트 전극들의 상단부 각각은 게이트 마스크로 커버될 수도 있다. 산소 도핑된 실리콘 카바이드 측벽 스페이서 (151) 는 핀들 및 게이트 전극들의 측벽들 상 뿐만 아니라 게이트 마스크 및 핀들의 상단 표면들 위에 컨포멀하게 증착될 수도 있다. 스페이서 에칭은 핀들로부터 그리고 게이트 전극의 게이트 마스크로부터 산소 도핑된 실리콘 카바이드 측벽 스페이서 (151) 의 부분들을 제거할 수도 있다. NMOS 에피택셜 성장 단계는 핀들 상에 소스/드레인 영역들을 형성할 수도 있다. 유전체 재료는 에칭 정지 및/또는 PMD (pre-metal dielectric) 를 갖는 finFET 구조체들을 충진할 수도 있다. 게이트 전극들은 게이트 절단 및 금속 충진을 위해 리소그래피 프로세싱 단계들을 겪을 수도 있다. 이러한 프로세싱 단계들은 하나 이상의 에칭 프로세스들, 습식 세정 프로세스들, 및 포토레지스트 스트립핑 프로세스들을 수반할 수도 있다. 산소 도핑된 실리콘 카바이드 측벽 스페이서 (151) 는 이러한 프로세싱 단계들을 통해 안정할 수도 있다. 게이트 전극들은 산소 도핑된 실리콘 카바이드 측벽 스페이서 (151) 에서 선택도, 플라즈마 내성, 및 습식 세정 내성을 요구할 수도 있는, 콘택트 또는 SAC (self-aligned contact) 에칭 단계들을 더 겪을 수도 있다.1E shows three-dimensional schematics of an exemplary integration flow for fabricating finFET structures. A finFET structure may include a plurality of gate electrodes (eg, polysilicon) parallel to each other and a plurality of “fins” of thin semiconductor material parallel to each other and extending perpendicularly from opposite sides of the gate electrodes. there is. Fins extending from one side of the gate electrode may correspond to source regions, while fins extending from an opposite side of the gate electrode may correspond to drain regions. Each of the upper ends of the gate electrodes may be covered with a gate mask. Oxygen doped silicon carbide sidewall spacers 151 may be conformally deposited on the sidewalls of the fins and gate electrodes as well as over top surfaces of the gate mask and fins. The spacer etch may remove portions of the oxygen doped silicon carbide sidewall spacer 151 from the fins and from the gate mask of the gate electrode. The NMOS epitaxial growth step may form source/drain regions on the fins. The dielectric material may fill finFET structures with an etch stop and/or a pre-metal dielectric (PMD). The gate electrodes may undergo lithographic processing steps for gate cutting and metal filling. These processing steps may involve one or more etching processes, wet cleaning processes, and photoresist stripping processes. The oxygen doped silicon carbide sidewall spacer 151 may be stable through these processing steps. The gate electrodes may further undergo contact or self-aligned contact (SAC) etch steps, which may require selectivity, plasma resistance, and wet clean resistance in the oxygen doped silicon carbide sidewall spacer 151 .

전술한 바는 명확성 및 이해를 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 기술된 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 기술된 실시예들은 예시적이고 비제한적인 것으로 간주되어야 한다.Although the foregoing has been described in some detail for purposes of clarity and understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus described. Accordingly, the described embodiments are to be regarded as illustrative and non-limiting.

Claims (15)

기판 (330) 상에 산소 도핑된 실리콘 카바이드 (SiCO) 막을 증착하기 위한 장치 (300) 에 있어서,
기판 (330) 을 지지하기 위한 페데스탈 (334) 을 포함하는 반응 챔버 (310);
제 1 가스 유입부 (355) 를 통해 상기 반응 챔버 (310) 내로 실리콘 포함 전구체를 제공하도록 구성된 용기 (350) 로서, 상기 실리콘 포함 전구체는 실리콘-실리콘 결합 및 실리콘-수소 결합 중 하나 또는 모두, 및 실리콘-산소 결합 및 실리콘-탄소 결합 중 하나 또는 모두를 포함하는, 상기 용기 (350);
상기 반응 챔버 (310) 로부터 분리된 플라즈마 소스 (360);
소스 가스로부터, 상기 플라즈마 소스 (360) 내에 수소 라디칼들을 생성하도록 상기 플라즈마 소스 (360) 를 제어하기 위한 수단;
상기 플라즈마 소스 (360) 로부터 상기 반응 챔버 (310) 내로 상기 수소 라디칼들을 도입하기 위한 제 2 가스 유입부 (365); 및
상기 기판 (330) 상에 SiCO 막을 증착하기 위해, 실리콘-수소 결합들 및 실리콘-실리콘 결합들 중 하나 또는 모두를 파괴하지만 실리콘-산소 결합들 및 실리콘-탄소 결합들을 보존하는 조건들 하에서 상기 기판 (330) 에 인접한 분위기에서 상기 수소 라디칼들의 적어도 90 %가 바닥 상태가 되게 하고 상기 실리콘 포함 전구체와 반응하도록 상기 플라즈마 소스 (360), 상기 실리콘 포함 전구체의 플로우, 및 상기 수소 라디칼들의 플로우를 제어하도록 구성된 제어기 (340) 를 포함하고, 상기 SiCO 막은 4.0보다 큰 유효 유전 상수를 갖고, 그리고 상기 제어기 (340) 는 250 ℃ 내지 400 ℃의 동작 온도에서 상기 SiCO 막을 증착하도록 구성되는, 장치.
An apparatus (300) for depositing an oxygen doped silicon carbide (SiCO) film on a substrate (330), comprising:
a reaction chamber (310) comprising a pedestal (334) for supporting a substrate (330);
a vessel (350) configured to provide a silicon-comprising precursor into the reaction chamber (310) via a first gas inlet (355), wherein the silicon-comprising precursor comprises one or both of a silicon-silicon bond and a silicon-hydrogen bond; and the vessel (350) comprising one or both of silicon-oxygen bonds and silicon-carbon bonds;
a plasma source (360) separate from the reaction chamber (310);
means for controlling the plasma source (360) to generate hydrogen radicals in the plasma source (360) from a source gas;
a second gas inlet (365) for introducing the hydrogen radicals from the plasma source (360) into the reaction chamber (310); and
To deposit a SiCO film on the substrate 330, the substrate ( 330) configured to control the plasma source 360, the flow of the silicon-comprising precursor, and the flow of the hydrogen radicals to cause at least 90% of the hydrogen radicals to ground state and react with the silicon-comprising precursor in an atmosphere adjacent to 330 a controller (340), wherein the SiCO film has an effective dielectric constant greater than 4.0, and wherein the controller (340) is configured to deposit the SiCO film at an operating temperature of 250°C to 400°C.
제 1 항에 있어서,
상기 실리콘 포함 전구체는 고리형 실록산들을 포함하는, 장치.
The method of claim 1,
wherein the silicon-comprising precursor comprises cyclic siloxanes.
제 2 항에 있어서,
상기 고리형 실록산들은 TMCTS, OMCTS, 및 HMCTS로 구성된 그룹으로부터 선택되는, 장치.
3. The method of claim 2,
wherein the cyclic siloxanes are selected from the group consisting of TMCTS, OMCTS, and HMCTS.
제 2 항에 있어서,
상기 고리형 실록산들은 케이지된 실록산들인, 장치.
3. The method of claim 2,
wherein the cyclic siloxanes are caged siloxanes.
제 1 항에 있어서,
상기 실리콘 포함 전구체는 알콕시 실란들을 포함하는, 장치.
The method of claim 1,
wherein the silicon-comprising precursor comprises alkoxy silanes.
제 1 항에 있어서,
상기 SiCO 막 내의 복수의 탄소 원자들 중 적어도 일부는 교차결합되는, 장치.
The method of claim 1,
at least some of the plurality of carbon atoms in the SiCO film are crosslinked.
제 1 항에 있어서,
상기 제어기 (340) 는 말단 Si-CH3 결합들 없이 상기 SiCO 막을 증착하도록 구성되는, 장치.
The method of claim 1,
and the controller (340) is configured to deposit the SiCO film without terminal Si-CH 3 bonds.
제 1 항에 있어서,
상기 제어기 (340) 는 0.5 : 1 내지 3 : 1의 상기 SiCO 막 내의 실리콘-산소 결합들 대 실리콘-탄소 결합들의 비로 상기 SiCO 막을 증착하도록 구성되는, 장치.
The method of claim 1,
and the controller (340) is configured to deposit the SiCO film at a ratio of silicon-oxygen bonds to silicon-carbon bonds in the SiCO film of 0.5:1 to 3:1.
제 1 항에 있어서,
상기 기판 (330) 은 복수의 피처들을 포함하고, 그리고 상기 제어기 (340) 는 상기 복수의 피처들에서 적어도 90 %의 컨포멀성으로 상기 SiCO 막을 증착하도록 구성되는, 장치.
The method of claim 1,
wherein the substrate (330) includes a plurality of features, and the controller (340) is configured to deposit the SiCO film with conformality of at least 90% in the plurality of features.
제 1 항에 있어서,
상기 기판 (330) 은 게이트 전극을 갖는 트랜지스터를 포함하고, 그리고 상기 제어기 (340) 는 상기 게이트 전극의 하나 이상의 측벽들 상에 상기 SiCO 막을 증착하도록 구성되는, 장치.
The method of claim 1,
wherein the substrate (330) comprises a transistor having a gate electrode, and the controller (340) is configured to deposit the SiCO film on one or more sidewalls of the gate electrode.
기판 (330) 상에 산소 도핑된 실리콘 카바이드 (SiCO) 막을 증착하기 위한 장치 (300) 에 있어서,
기판 (330) 을 지지하기 위한 페데스탈 (334) 을 포함하는 반응 챔버 (310);
제 1 가스 유입부 (355) 를 통해 상기 반응 챔버 (310) 내로 실리콘 포함 전구체를 제공하도록 구성된 용기 (350) 로서, 상기 실리콘 포함 전구체는 실리콘-실리콘 결합 및 실리콘-수소 결합 중 하나 또는 모두, 및 실리콘-산소 결합 및 실리콘-탄소 결합 중 하나 또는 모두를 포함하는, 상기 용기 (350);
상기 반응 챔버 (310) 로부터 분리된 플라즈마 소스 (360);
소스 가스로부터, 상기 플라즈마 소스 (360) 내에 수소 라디칼들을 생성하도록 상기 플라즈마 소스 (360) 를 제어하기 위한 수단; 및
상기 기판 (330) 상에 SiCO 막을 증착하기 위해 적어도 90 %의 상기 수소 라디칼들이 상기 기판 (330) 에 인접한 분위기에서 바닥 상태에 있고 상기 실리콘 포함 전구체와 반응하도록 하는 조건들 하에서 상기 플라즈마 소스 (360) 로부터 상기 반응 챔버 (310) 내로 상기 수소 라디칼들을 도입하기 위한 제 2 가스 유입부 (365) 를 포함하고, 상기 바닥 상태의 상기 수소 라디칼들은 실리콘-수소 결합들 및 실리콘-실리콘 결합들 중 하나 또는 모두를 파괴하지만 실리콘-산소 결합들 및 실리콘-탄소 결합들을 보존하기 위해 상기 실리콘 포함 전구체와 반응하고, 상기 SiCO 막은 4.0보다 큰 유효 유전 상수를 갖는, 장치.
An apparatus (300) for depositing an oxygen doped silicon carbide (SiCO) film on a substrate (330), comprising:
a reaction chamber (310) comprising a pedestal (334) for supporting a substrate (330);
a vessel (350) configured to provide a silicon-comprising precursor into the reaction chamber (310) via a first gas inlet (355), wherein the silicon-comprising precursor comprises one or both of a silicon-silicon bond and a silicon-hydrogen bond; and the vessel (350) comprising one or both of silicon-oxygen bonds and silicon-carbon bonds;
a plasma source (360) separate from the reaction chamber (310);
means for controlling the plasma source (360) to generate hydrogen radicals in the plasma source (360) from a source gas; and
The plasma source 360 under conditions such that at least 90% of the hydrogen radicals are in a ground state in an atmosphere adjacent to the substrate 330 and react with the silicon-comprising precursor to deposit a SiCO film on the substrate 330 . a second gas inlet (365) for introducing the hydrogen radicals into the reaction chamber (310) from reacts with the silicon-comprising precursor to destroy silicon-oxygen bonds and silicon-carbon bonds, and wherein the SiCO film has an effective dielectric constant greater than 4.0.
제 11 항에 있어서,
상기 기판 (330) 상에 상기 SiCO 막을 증착하기 위해 상기 바닥 상태의 상기 수소 라디칼들로 하여금 상기 실리콘 포함 전구체와 반응하게 하도록 상기 플라즈마 소스 (360), 상기 실리콘 포함 전구체의 플로우, 및 수소 라디칼들의 플로우를 제어하기 위해 구성된 제어기 (340) 를 더 포함하는, 장치.
12. The method of claim 11,
The plasma source 360 , the flow of the silicon containing precursor, and the flow of hydrogen radicals to cause the ground state hydrogen radicals to react with the silicon containing precursor to deposit the SiCO film on the substrate 330 . and a controller (340) configured to control
제 12 항에 있어서,
상기 제어기 (340) 는 상기 SiCO 막이 250 ℃ 내지 400 ℃의 동작 온도에서 증착되도록 상기 페데스탈 (335) 의 온도를 제어하도록 구성되는, 장치.
13. The method of claim 12,
and the controller (340) is configured to control the temperature of the pedestal (335) such that the SiCO film is deposited at an operating temperature of 250°C to 400°C.
제 11 항에 있어서,
상기 실리콘 포함 전구체는 비-고리형 실록산들을 포함하는, 장치.
12. The method of claim 11,
wherein the silicon-comprising precursor comprises non-cyclic siloxanes.
제 11 항에 있어서,
상기 SiCO 막 내의 복수의 탄소 원자들 중 적어도 일부는 교차결합되는, 장치.
12. The method of claim 11,
at least some of the plurality of carbon atoms in the SiCO film are crosslinked.
KR1020220029224A 2016-09-09 2022-03-08 Remote plasma based deposition of oxygen doped silicon carbide films KR102537837B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230066790A KR20230074697A (en) 2016-09-09 2023-05-24 Remote plasma based deposition of oxygen doped silicon carbide films

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662385784P 2016-09-09 2016-09-09
US62/385,784 2016-09-09
US15/696,045 2017-09-05
US15/696,045 US10832904B2 (en) 2012-06-12 2017-09-05 Remote plasma based deposition of oxygen doped silicon carbide films
KR1020170115040A KR102394722B1 (en) 2016-09-09 2017-09-08 Remote plasma based deposition of oxygen doped silicon carbide films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170115040A Division KR102394722B1 (en) 2016-09-09 2017-09-08 Remote plasma based deposition of oxygen doped silicon carbide films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230066790A Division KR20230074697A (en) 2016-09-09 2023-05-24 Remote plasma based deposition of oxygen doped silicon carbide films

Publications (2)

Publication Number Publication Date
KR20220034758A true KR20220034758A (en) 2022-03-18
KR102537837B1 KR102537837B1 (en) 2023-05-30

Family

ID=61643238

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020170115040A KR102394722B1 (en) 2016-09-09 2017-09-08 Remote plasma based deposition of oxygen doped silicon carbide films
KR1020220029223A KR102492447B1 (en) 2016-09-09 2022-03-08 Remote plasma based deposition of oxygen doped silicon carbide films
KR1020220029224A KR102537837B1 (en) 2016-09-09 2022-03-08 Remote plasma based deposition of oxygen doped silicon carbide films
KR1020220029219A KR102480201B1 (en) 2016-09-09 2022-03-08 Remote plasma based deposition of oxygen doped silicon carbide films
KR1020230066790A KR20230074697A (en) 2016-09-09 2023-05-24 Remote plasma based deposition of oxygen doped silicon carbide films

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020170115040A KR102394722B1 (en) 2016-09-09 2017-09-08 Remote plasma based deposition of oxygen doped silicon carbide films
KR1020220029223A KR102492447B1 (en) 2016-09-09 2022-03-08 Remote plasma based deposition of oxygen doped silicon carbide films

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020220029219A KR102480201B1 (en) 2016-09-09 2022-03-08 Remote plasma based deposition of oxygen doped silicon carbide films
KR1020230066790A KR20230074697A (en) 2016-09-09 2023-05-24 Remote plasma based deposition of oxygen doped silicon carbide films

Country Status (3)

Country Link
KR (5) KR102394722B1 (en)
CN (2) CN116487246A (en)
TW (1) TW201822259A (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
KR20230088843A (en) * 2018-07-24 2023-06-20 램 리써치 코포레이션 Conformal deposition of silicon carbide films using heterogeneous precursor interaction
CN109119339B (en) * 2018-08-26 2022-02-08 合肥安德科铭半导体科技有限公司 SiCO spacer layer material with low dielectric constant and preparation method and application thereof
CN110921670B (en) * 2018-09-19 2022-01-07 比亚迪股份有限公司 Silicon carbide and preparation method thereof
KR20230085954A (en) 2018-10-19 2023-06-14 램 리써치 코포레이션 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11901220B2 (en) 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
CN113013100A (en) * 2019-12-20 2021-06-22 台湾积体电路制造股份有限公司 Method for forming semiconductor device
CN115522180A (en) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 Preparation method and application of silicon-based thin film with low dielectric constant

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
KR100933374B1 (en) * 2006-01-13 2009-12-22 도쿄엘렉트론가부시키가이샤 Method for film formation of porous membrane and computer readable recording medium
KR20110082025A (en) * 2008-10-01 2011-07-15 어플라이드 머티어리얼스, 인코포레이티드 Methods for forming silicon nitride based film or silicon carbon based film
US20130129940A1 (en) * 2011-05-24 2013-05-23 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20160097149A (en) * 2015-02-06 2016-08-17 노벨러스 시스템즈, 인코포레이티드 Conformal deposition of silicon carbide films

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
KR20090106112A (en) * 2008-04-04 2009-10-08 울산대학교 산학협력단 Depostion of polycrystalline AlN films on 3C-SiC buffer layers for MEMS or NEMS applications
US10325773B2 (en) * 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US20150329965A1 (en) * 2012-12-21 2015-11-19 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
KR100933374B1 (en) * 2006-01-13 2009-12-22 도쿄엘렉트론가부시키가이샤 Method for film formation of porous membrane and computer readable recording medium
KR20110082025A (en) * 2008-10-01 2011-07-15 어플라이드 머티어리얼스, 인코포레이티드 Methods for forming silicon nitride based film or silicon carbon based film
US20130129940A1 (en) * 2011-05-24 2013-05-23 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20160097149A (en) * 2015-02-06 2016-08-17 노벨러스 시스템즈, 인코포레이티드 Conformal deposition of silicon carbide films

Also Published As

Publication number Publication date
CN116487246A (en) 2023-07-25
KR102537837B1 (en) 2023-05-30
KR20220035358A (en) 2022-03-22
KR102394722B1 (en) 2022-05-04
KR102492447B1 (en) 2023-01-26
KR20230074697A (en) 2023-05-31
TW201822259A (en) 2018-06-16
CN107833825A (en) 2018-03-23
KR20220035079A (en) 2022-03-21
KR20180028972A (en) 2018-03-19
KR102480201B1 (en) 2022-12-22

Similar Documents

Publication Publication Date Title
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
KR102406467B1 (en) Remote Plasma Based Deposition of Graded Silicon Carbide Films or Multilayer Silicon Carbide Films
US11894227B2 (en) Conformal deposition of silicon carbide films
KR102446511B1 (en) Densification of silicon carbide film using remote plasma treatment
KR102537837B1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
KR102515238B1 (en) Conformal deposition of silicon carbide films
KR20210024673A (en) Conformal deposition of silicon carbide films using heterogeneous precursor interactions
WO2020023378A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant