CN112514030A - Remote plasma-based deposition of silicon carbide films using silicon-and carbon-containing precursors - Google Patents

Remote plasma-based deposition of silicon carbide films using silicon-and carbon-containing precursors Download PDF

Info

Publication number
CN112514030A
CN112514030A CN201980049654.4A CN201980049654A CN112514030A CN 112514030 A CN112514030 A CN 112514030A CN 201980049654 A CN201980049654 A CN 201980049654A CN 112514030 A CN112514030 A CN 112514030A
Authority
CN
China
Prior art keywords
silicon
carbon
radicals
hydrogen
containing precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980049654.4A
Other languages
Chinese (zh)
Inventor
巴德里·N·瓦拉达拉简
马修·斯科特·韦默
加尔博卡·赫瓦格·拉扬·萨维特拉
龚波
桂喆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/044,371 external-priority patent/US20180330945A1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112514030A publication Critical patent/CN112514030A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

The doped or undoped silicon carbide film may be deposited using a remote plasma Chemical Vapor Deposition (CVD) technique. One or more silicon-containing precursors are provided to a reaction chamber. Radical species, such as hydrogen, are provided in a substantially low or ground state and interact with one or more silicon-containing precursors to deposit a silicon carbide film. A carbon-containing precursor can be flowed with one or more silicon-containing precursors, wherein the carbon-containing precursor has one or more carbon-carbon double or triple bonds and each silicon-containing precursor is a silane-based precursor having at least one silicon atom with two or more hydrogen atoms bonded to the silicon atom.

Description

Remote plasma-based deposition of silicon carbide films using silicon-and carbon-containing precursors
Is incorporated by reference
The PCT application form is filed concurrently with this specification as part of this application. Each application to which this application claims priority as identified in the concurrently filed PCT application form is hereby incorporated by reference in its entirety and for all purposes.
Background
Silicon carbide (SiC) based thin films have unique physical, chemical and mechanical properties and are used in a variety of applications, particularly integrated circuit applications. Species of SiC thin films include oxygen-doped silicon carbide (also referred to as silicon oxycarbide), nitrogen-doped silicon carbide (also referred to as silicon carbonitride), and oxygen and nitrogen-doped silicon carbide (also referred to as silicon oxycarbonitride), and undoped silicon carbide.
The background description provided herein is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
Disclosure of Invention
A method of depositing a silicon carbide film on a substrate is provided. The method comprises the following steps: providing a substrate in a reaction chamber; flowing a silicon-containing precursor into the reaction chamber and toward the substrate; and flowing a co-reactant into the reaction chamber with the silicon-containing precursor. The silicon-containing precursor has at least two silicon atom-bonded hydrogen atoms, and the co-reactant is a hydrocarbon molecule. The method further comprises: generating hydrogen radicals in a remote plasma source from a hydrogen source gas, the hydrogen radicals being generated upstream of the silicon-containing precursor and the co-reactant; and introducing the hydrogen radicals into the reaction chamber and directed toward the substrate, wherein the hydrogen radicals are in a ground state to react with the silicon-containing precursor and the co-reactant to form a doped or undoped silicon carbide film on the substrate.
In some embodiments, all or substantially all of the hydrogen radicals in the environment adjacent to the substrate are radicals of hydrogen in the ground state. In some embodiments, the hydrocarbon molecule has one or more carbon-carbon double or triple bonds. The hydrocarbon molecule comprises propylene, ethylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentyne, or hexyne. In some embodiments, the silicon-containing precursor comprises silane, disilane, trisilane, methylsilane, or dimethylsilane. In some implementations, the doped or undoped silicon carbide film has no or substantially no C-C bonds. In some embodiments, the method further comprises: providing a nitriding agent in the remote plasma source together with the hydrogen source gas, wherein radicals of the nitriding agent are generated in the remote plasma source; and introducing the radicals of the nitriding agent into the reaction chamber with the radicals of hydrogen and directed toward the substrate, wherein the radicals of the nitriding agent and the radicals of hydrogen react with the silicon-containing precursor and the co-reactant to form a silicon carbonitride (SiCN) film. The SiCN film has no C-C bonds or substantially no C-C bonds, and has no C-N bonds or substantially no C-N bonds. In some embodiments, the method further comprises: providing an oxidant in the remote plasma source with the hydrogen source gas, wherein radicals of the oxidant are generated in the remote plasma source; and introducing the radicals of the oxidant and the radicals of hydrogen into the reaction chamber and toward the substrate, wherein the radicals of the oxidant and the hydrogen react with the silicon-containing precursor and the co-reactant to form a silicon oxycarbide (SiCO) film. The SiCO film has no C-C bonds or substantially no C-C bonds, and has no C-O bonds or substantially no C-O bonds. In some implementations, the doped or undoped silicon carbide film has a conformality of at least 75%. In some embodiments, the silicon-containing precursor (i) has no C-O bonds and (ii) has no C-N bonds.
These and other embodiments are further described below with reference to the accompanying drawings.
Drawings
Fig. 1A shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide film deposited on a substrate.
Figure 1B shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide film conformally deposited over features of a substrate.
Fig. 1C shows a cross-sectional schematic of an exemplary doped or undoped silicon carbide vertical structure on a sidewall of a gate electrode of a transistor.
Fig. 1D shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide vertical structure on exposed sidewalls of a copper line in an air-gap type metallization layer.
FIG. 1E shows a schematic cross-sectional view of an exemplary doped or undoped silicon carbide pore sealant for porous dielectric materials.
Figure 2 shows the chemical structure of an example of a representative caged siloxane precursor.
Fig. 3 illustrates a schematic diagram of an exemplary plasma processing apparatus having a remote plasma source, according to some embodiments.
Fig. 4 shows a schematic diagram of an exemplary plasma processing apparatus having a remote plasma source, according to some other embodiments.
Figure 5 shows an example of a chemical reaction between an activated alkane from a carbon-containing precursor and an activated silane-based precursor.
Fig. 6A shows FTIR spectra for remote plasma CVD of silicon carbide films formed using silicon-containing precursors and varying amounts of carbon-containing precursors.
FIG. 6B shows an enlarged view of a portion of the FTIR spectrum in FIG. 6A.
Figure 7 shows a TEM image of a silicon carbide thin film deposited on a substrate feature using a silicon-containing precursor and a carbon-containing precursor.
Detailed Description
In this disclosure, the terms "semiconductor wafer," "substrate," "wafer substrate," and "partially fabricated integrated circuit" are used interchangeably. It will be understood by those of ordinary skill in the art that the term "partially fabricated integrated circuit" may refer to a silicon wafer during any of a number of stages of integrated circuit fabrication. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm or 300 mm or 450 mm. The following detailed description assumes that the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The workpiece may be of various shapes, sizes and materials. In addition to semiconductor wafers, other workpieces that may take advantage of the present disclosure include various articles, such as printed circuit boards, and the like.
Introduction to the design reside in
The manufacture of semiconductor devices typically involves depositing one or more thin films on a substrate in an integrated manufacturing process. In some aspects of the fabrication process, thin film types such as silicon carbide, silicon oxycarbide, silicon carbonitride, and silicon oxycarbonitride are deposited using Atomic Layer Deposition (ALD), Chemical Vapor Deposition (CVD), Plasma Enhanced Chemical Vapor Deposition (PECVD), or any other suitable deposition method. As used herein, the term "silicon carbide" includes undoped or doped silicon carbides, such as oxygen-doped silicon carbide (SiCO), nitrogen-doped silicon carbide (SiCN), and nitrogen and oxygen-doped silicon carbide (SiOCN). For the most part, doped silicon carbide has up to about 50 atomic percent of the doping atoms, whether these atoms are atoms of oxygen, nitrogen, or other elements. The doping level provides the desired film properties.
Precursor molecules for depositing silicon carbide may include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon oxycarbide include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-oxygen (Si-O) bonds, and/or silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon carbonitride include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-nitrogen (Si-N) bonds, and/or silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon carbon oxynitride include silicon-containing molecules having silicon-hydrogen (Si-H) bonds, and/or silicon-silicon (Si-Si) bonds, as well as silicon-nitrogen (Si-N) bonds, silicon-oxygen (Si-O) bonds, and/or silicon-carbon (Si-C) bonds. Current PECVD processes may use in-situ plasma processing in which plasma is provided directly to the vicinity of the substrate.
It has been found that depositing high quality silicon carbide thin films can present challenges, such as providing films with the following characteristics: good step coverage, low dielectric constant, high breakdown voltage, low leakage current, high porosity, and/or coverage on exposed metal surfaces without oxidizing the metal surfaces.
While the invention is not limited by any particular theory, it is believed that the plasma conditions in a typical PECVD process fragment silicon-containing precursor molecules in a manner that produces undesirable effects. For example, PECVD can break Si-O and/or Si-C bonds in the precursor molecule to produce highly reactive radicals or other fragment types with high sticking coefficients. The resulting fragments of the doped silicon carbide film may include silicon, carbon, and/or oxygen atoms with "dangling" bonds, meaning that the silicon, carbon, and/or oxygen atoms have active unpaired valence electrons. The high adhesion coefficient of the precursor molecules and their fragments can deposit silicon carbide films with poor step coverage because the active precursor fragments can disproportionately adhere to the upper regions of the sidewalls of the recessed features and other structures.
Dangling bonds may produce silanol groups (Si-OH) in the deposited silicon oxycarbide or silicon oxycarbonitride films. Dangling bonds may also create silane groups (Si-NH) in the deposited silicon carbonitride film2). Due to these functional groups, the film may have an undesirably high dielectric constant. Film quality may also be affectedInfluence because direct plasma conditions tend to extract carbon from the deposited film.
In addition, dangling bonds may create increased silicon-hydrogen bonds (Si-H) in the deposited silicon carbide film. The damaged Si-C bond can be replaced with Si-H under direct plasma deposition conditions. The presence of Si-H bonds in the silicon carbide film can result in a film having poor electrical properties. For example, the presence of Si-H bonds can reduce breakdown voltage and can increase leakage current, since Si-H bonds provide a leakage path for electrons.
In addition, dangling bonds can lead to uncontrolled chemical or morphological structures in the silicon carbide film. In some cases, such structures are dense filaments with low porosity or no porosity, such that the film has an unacceptably high dielectric constant. The absence of porosity may be a result of direct plasma conditions that disrupt the Si-C and/or Si-O bonds in the cyclosiloxane that would otherwise provide porosity in the ultra-low k dielectric material.
Sometimes the use of direct plasma conditions in PECVD can lead to directionality in the deposition, since the energy to break down the precursor molecules can be low frequency, which creates a large amount of ion bombardment at the surface. Directional deposition can also result in the deposition of silicon carbide films with poor step coverage. A direct plasma is a plasma that: in which a plasma (of appropriate concentration of electrons and positive ions) resides near the substrate surface during deposition, sometimes separated from the substrate surface only by a plasma sheath.
Typical PECVD processes are sometimes unsuitable for depositing silicon carbide films on exposed copper or other metal surfaces because such processes can oxidize metals. An oxidizing agent, such as oxygen (O), may be used in the PECVD process2) Ozone (O)3) Carbon dioxide (CO)2) Or other oxidizing species to form a silicon oxycarbide film.
Environment of substrate surface during deposition
Fig. 1A shows a cross-section of an exemplary silicon carbide film deposited on a substrate. The silicon carbide film 101 may be formed under process conditions that create a relatively mild environment adjacent the substrate 100. Substrate 100 may be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other suitable workpiece. The process for depositing the silicon carbide film 101 can include one or more silicon-containing precursors having one or more Si-H bonds and/or one or more Si-Si bonds. Optionally, the one or more silicon-containing precursors may contain other bonds (e.g., Si-C bonds, Si-O bonds, and/or Si-N bonds), depending on the type of doping structure to be created.
Some applications employing silicon carbide films are shown in fig. 1B-1E. In some embodiments, the silicon-containing precursor may include a silicon-oxygen-containing precursor, a silicon-nitrogen-containing precursor, and/or a silicon-carbon-containing precursor. The silicon-oxygen containing precursor may include one or more Si-O bonds, the silicon-nitrogen containing precursor may include one or more Si-N bonds, and the silicon-carbon containing precursor may include one or more Si-C bonds. In some embodiments, for example, the silicon-containing precursor may comprise a single reactant A having Si-O bonds and Si-C bonds or Si-N bonds and Si-C bonds. In some embodiments, the silicon-containing precursor may include a reactant B having a Si-O bond or a Si-N bond, and a reactant C having a Si-C bond. It should be understood that any number of suitable reactants may be used within the scope of the present invention. The chemical structure of exemplary silicon-containing precursors will be discussed in further detail below.
The silicon-containing precursor comprises one or more Si-H bonds and/or one or more Si-Si bonds. However, it is to be understood that the additional silicon-containing precursor may not necessarily contain Si-H or Si-Si bonds. These additional silicon-containing precursors may be provided simultaneously with the silicon-containing precursor having one or more Si-H and/or Si-Si bonds. During the deposition process, the Si-H bonds and/or Si-Si bonds are broken and act as active sites for forming bonds between silicon-containing precursors or other precursors in the deposited silicon carbide film 101. The broken bonds may also serve as sites for cross-linking in heat treatments performed during or after deposition. Bonding at the active sites and crosslinking may collectively form the primary backbone or matrix in the resulting silicon carbide film 101.
In some embodiments, the process conditions can maintain or substantially maintain the Si-C bonds, and if present the Si-O bonds and Si-N bonds, in the deposited silicon carbide film 101 layer. Thus, the reaction conditions adjacent to the substrate 100 provide for selectively breaking Si-H bonds and/or Si-Si bonds, e.g., extracting hydrogen from the broken Si-H bonds, but the reaction conditions do not provide for extracting oxygen from Si-O bonds, nitrogen from Si-N bonds, or carbon from Si-C bonds. However, the introduction of a co-reactant such as oxygen can abstract carbon from the Si-C bond. It is understood that other reaction mechanisms, including kinetically less favorable reaction mechanisms, such as substitution reactions, may occur in the environment adjacent to the substrate surface. Typically, the reaction conditions described are present on the exposed surface of the substrate 100 (the surface on which the silicon carbide film 101 is deposited). They may also be present at a distance above the substrate 100, for example, from about 0.5 microns to about 150 millimeters above the substrate 100. Indeed, the activation of the precursor may occur in the gas phase at a substantial distance above the substrate 100. Typically, the relevant reaction conditions will be uniform or substantially uniform across the exposed surface of the substrate 100, although some variations may be permissible for some applications.
In addition to the silicon-containing precursor, the environment proximate the workpiece (e.g., substrate 100) may include one or more radical species, preferably in a substantially low energy state. Examples of such substances include hydrogen radicals (i.e., radicals of hydrogen atoms). In some embodiments, all, or substantially all, or a substantial portion of the hydrogen atomic radicals will be in a ground state, e.g., at least about 90% or 95% of the hydrogen atomic radicals in the vicinity of the workpiece are in a ground state. In certain embodiments, the gas source is provided in a carrier gas (e.g., helium). As an example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen. The pressure, proportion of carrier gas (e.g., helium), and other process conditions are selected so that the hydrogen atoms collide with the substrate 100 as radicals in a low energy state that are not recombined.
As explained elsewhere, hydrogen gas may be supplied to the remote plasma source to generate hydrogen atomic radicals. A remote plasma source may be positioned upstream of the substrate surface and the environment adjacent the substrate surface. Once generated, the hydrogen atom radicals can be in an excited energy state. For example, hydrogen in the excited energetic state may be present in the form ofLess than 10.2eV (first excited state). The excited hydrogen atom radicals can lead to non-selective decomposition of the silicon-containing precursor. For example, hydrogen atom radicals in an excited state can easily break Si — H bonds, Si — Si bonds, Si — N bonds, Si — O bonds, and Si — C bonds, which can change the composition or physical or electrical characteristics of the silicon carbide film 101. In some embodiments, an excited hydrogen atom radical may become a substantially low energy state hydrogen atom radical or a ground state hydrogen atom radical when the excited hydrogen atom radical loses its energy or relaxes (relax). The hydrogen atom radicals in a substantially low or ground state are capable of selectively breaking Si-H bonds and Si-Si bonds, while Si-O bonds, Si-N bonds and Si-C bonds are generally preserved. In some embodiments, process conditions may be provided such that excited hydrogen atomic radicals lose energy or relax to form substantially low energy or ground state hydrogen atomic radicals. For example, the remote plasma source or associated components may be designed such that the residence time of hydrogen atomic radicals diffusing from the remote plasma source to the substrate 100 is greater than the energy relaxation time (energetic relaxation time) of the excited hydrogen atomic radicals. The energy relaxation time of the excited hydrogen atom radicals may be about 1X 10 or less-3And second.
The state in which a substantial portion of the hydrogen atom radicals are in the ground state can be achieved by various techniques. Some devices are designed to achieve this state, as described below. Device features and process control features can be tested and adjusted to produce a mild state where a substantial portion of the hydrogen atom radicals are in the ground state. For example, the device may be operated and tested for charged particles downstream of the plasma source (i.e., near the substrate 100). The process and apparatus may be tuned until substantially no charged species are present near the substrate 100. In addition, the characteristics of the apparatus and process can be adjusted to a configuration in which the apparatus and process begin to produce the silicon carbide film 101 from standard silicon-containing precursors. Relatively mild conditions are selected to support such film deposition.
Other examples of free radical species include: oxygen-containing species, such as elemental oxygen radicals (atomic or diatomic); nitrogen-containing species, such as elemental nitrogen radicals (atomic or diatomic); and N-H containing radicals, such as ammonia radicals, wherein nitrogen is optionally incorporated into the film. Examples of N-H containing radicals include, but are not limited to, the radicals of methylamine, dimethylamine and aniline. The radical species may be produced from a source gas comprising a hydrogen-containing species, a nitrogen-containing species, an N-H containing species, or mixtures thereof. In some embodiments, substantially all or most of the atoms of the deposited film are provided by precursor molecules. In this case, the low energy radicals used to drive the deposition reaction may be entirely hydrogen or other species that do not substantially contribute to the quality of the deposited layer. In some embodiments, as discussed in further detail below, the radical species may be generated by a remote plasma source. In some embodiments, some radicals or even ions of higher energy states may potentially be present near the wafer plane.
In some embodiments, the process conditions use a radical species in a substantially low energy state sufficient to break Si-H bonds and/or Si-Si bonds while substantially maintaining Si-O bonds, Si-N bonds, and Si-C bonds. Such process conditions may not have a significant amount of ionic, electronic, or radical species in a high energy state (e.g., a state above the ground state). In some embodiments, the ion concentration in the region adjacent to the membrane is no more than about 107/cm3. The presence of large amounts of ions or high energy radicals may tend to break Si-O, Si-N, and Si-C bonds, which may result in films with undesirable electrical properties (e.g., high dielectric constant and/or low breakdown voltage) and poor conformality. It is believed that an excessively reactive environment produces reactive precursor fragments with a high sticking coefficient (indicative of a tendency to chemically or physically stick to the sidewalls of the workpiece), resulting in poor conformality.
The silicon-containing precursor is typically delivered with other species, particularly carrier gases, in the environment adjacent to the substrate 100. In some implementations, the silicon-containing precursor is present with radical species and other species (including other reactive species and/or carrier gases). In some embodiments, the silicon-containing precursor may be introduced as a mixture. The silicon-containing precursor may be mixed with an inert carrier gas upstream of the deposition reaction surface. Examples of inert carrier gases include, but are not limited to, argon (Ar) and helium (He). In addition, the silicon-containing precursor can be introduced into a mixture having primary and secondary species, the secondary species containing some elements or structural features (e.g., ring structures, cage structures, unsaturation, etc.) being present in the silicon carbide film 101 at relatively low concentrations. It is understood, however, that the secondary species may not significantly affect the composition or structural characteristics of the silicon carbide film 101. The various precursors can be present in equimolar or relatively similar proportions to suit the primary backbone or matrix to be formed in the resulting silicon carbide film 101. In other embodiments, the relative amounts of the different precursors are significantly offset from an equimolar ratio.
In some embodiments, the one or more silicon-containing precursors provide substantially all of the mass of the deposited silicon carbide film 101, with a small amount of hydrogen or other element from the remote plasma providing less than about 5 atomic percent film mass or less than about 2 atomic percent film mass. In some embodiments, only the radical species and the one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film 101. In other embodiments, the deposition reaction includes other co-reactants than the one or more silicon-containing precursors and radical species, which may or may not contribute to the composition of the deposited silicon carbide film 101. Examples of such CO-reactants include carbon dioxide (CO)2) Carbon monoxide (CO), water (H)2O), methanol (CH)3OH), oxygen (O)2) Ozone (O)3) Nitrogen (N)2) Dinitrogen monoxide (N)2O), ammonia (NH)3) Diazene (N)2H2) Methane (CH)4) Ethane (C)2H6) Acetylene (C)2H2) Ethylene (C)2H4) Diborane (B)2H6) And combinations thereof. Such materials may be used as nitridizing agents, oxidizing agents, reducing agents, and the like. In some cases, they may be used to adjust the amount of carbon in the deposited film by removing or adding a portion of the carbon provided with the silicon-containing precursor. In the presence of non-hydrogen co-reactionIn some embodiments, the co-reactant is introduced into the reaction chamber through the same flow path as the silicon-containing precursor; for example, the path including the gas outlet or showerhead is generally not directly exposed to the plasma. In some embodiments, oxygen and/or carbon dioxide are introduced with the precursor to alter the composition of the silicon carbide film 101 by removing carbon from the film or precursor during deposition. In some implementations employing a non-hydrogen co-reactant, the co-reactant is introduced into the reaction chamber via the same flow path as hydrogen such that the co-reactant is at least partially converted to radicals and/or ions. In such implementations, both the hydrogen radicals and the co-reactant radicals react with one or more silicon-containing precursors to produce the deposited silicon carbide film 101.
In certain embodiments where a co-reactant is used and introduced into the chamber with the substance to be converted into a radical (e.g., hydrogen), the co-reactant may be provided into the reaction chamber in a relatively small amount compared to other gases in the reaction chamber including a source of radicals (e.g., hydrogen), and any one or more carrier gases (e.g., helium). For example, the co-reactant may be present in the process gas at a mass ratio of about 0.05% or less, or about 0.01% or less, or about 0.001% or less. For example, the reactant mixture, which enters the plasma source, can be about 10-20 liters per minute (L/m) He, about 200-500 standard cubic centimeters per minute (sccm) H2And about 1-10sccm of oxygen. However, it is to be understood that in certain embodiments, the co-reactant may be present in the process gas in a proportion of about 0.05 mass% or more, or 1 mass% or more, or 20 mass% or more. When the co-reactant is introduced into the reaction chamber with the silicon-containing precursor (e.g., through a gas outlet or showerhead), the co-reactant may be present in a higher concentration; for example, about 2 mass% or less, or about 0.1 mass% or less. When the co-reactant is a relatively weak reactant (e.g., a weak oxidant such as carbon dioxide), it may be present in even higher concentrations, for example about 10% or less than 10% by mass, or about 4% or less by mass. When the co-reactant is an additive or another precursor, it may be in even higher concentrationsSuch as about 10 mass% or more, or about 20 mass% or more.
The temperature in the environment adjacent the substrate 100 can be any suitable temperature that promotes the deposition reaction, but is sometimes limited by the application of the apparatus containing the silicon carbide film 101. In some embodiments, during deposition of the silicon carbide film 101, the temperature in the environment adjacent the substrate 100 can be controlled, in large part, by the temperature of the susceptor on which the substrate 100 is supported. In some embodiments, the operating temperature may be between about 50 ℃ and about 500 ℃. For example, the operating temperature may be between about 250 ℃ and about 400 ℃ in many integrated circuit applications. In some embodiments, increasing the temperature may result in increased crosslinking on the substrate surface.
The pressure in the environment adjacent to the substrate 100 may be any suitable pressure for generating reactive radicals in the reaction chamber. In some embodiments, the pressure may be about 35 torr or less. For example, as in embodiments that employ microwaves to generate the plasma, the pressure may be between about 10 torr and about 20 torr. In other examples, such as in embodiments where a Radio Frequency (RF) is used to generate the plasma, the pressure may be less than about 5 torr, or between about 0.2 torr and about 5 torr.
The environment adjacent the substrate 100 facilitates deposition of a silicon carbide film 101 on the substrate 100 by remote plasma CVD. A source gas is supplied to the remote plasma source and power is supplied to the remote plasma source that dissociates the source gas and produces ions and radicals in an excited energy state. After excitation, the radicals in the excited energy state relax to substantially low energy state radicals or ground state radicals, such as ground state hydrogen radicals. The bonds in the silicon-containing precursor can be selectively broken by hydrogen radicals in a relaxed energy state. The bond in the co-reactant or further precursor may be selectively broken by hydrogen radicals in a relaxed energy state to activate the co-reactant or further precursor.
Silicon carbide films are often used in semiconductor devices. For example, a doped or undoped silicon carbide film may be used as a metal diffusion barrier layer, an etch stop layer, a hard mask layer, a gate spacer for source and drain implants, a cladding barrier for Magnetoresistive Random Access Memory (MRAM) or Resistive Random Access Memory (RRAM), and a hermetic diffusion barrier at an air gap, among others. Fig. 1B-1E show cross-sections of structures containing silicon carbide films in various applications. Figure 1B shows a silicon carbide film conformally deposited over features of a substrate. Fig. 1C shows a silicon carbide vertical structure on the sidewalls of the gate electrode structure of the transistor. Fig. 1D shows a vertical structure of silicon carbide on the sidewalls of copper lines exposed in an air gap type metallization layer. FIG. 1E shows a silicon carbide pore sealant for porous media materials. Each of these applications will be discussed in further detail below.
Chemical structure of precursor
As discussed, the precursors employed in forming the silicon carbide film can include silicon-containing precursors, wherein at least some of the silicon-containing precursors have at least one Si-H bond and/or at least one Si-Si bond. In certain embodiments, the silicon-containing precursor has at most one hydrogen atom per silicon atom. Thus, for example, a precursor having one silicon atom has at most one hydrogen atom bonded to the silicon atom; a precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and optionally another hydrogen atom bonded to a second silicon atom; a precursor having three silicon atoms has at least one hydrogen atom bonded to one silicon atom and optionally one or more than two hydrogen atoms bonded to the remaining one or two silicon atoms, and so on. However, in some embodiments, the silicon-containing precursor has two or more hydrogen atoms bonded to the or each silicon atom. Further, the silicon-containing precursor may include at least one Si-O bond, at least one Si-N bond, and/or at least one Si-C bond. Although any number of suitable precursors can be used during the formation of the silicon carbide film, at least some of the precursors will include silicon-containing precursors having at least one Si-H bond or Si-Si bond and optionally at least one Si-O bond, Si-N bond, and/or Si-C bond. In various embodiments, the one or more silicon-containing precursors do not contain an O-C bond or an N-C bond; for example, one or more precursorsNot comprising alkoxy groups (-O-R), wherein R is an organic group, e.g. a hydrocarbon group or an amine (-NR)1R2) Group, wherein R1And R2Each independently hydrogen or an organic group. It is believed that such groups may impart a high coefficient of adhesion to the precursor or fragment in which they reside.
In certain embodiments, some of the carbon provided for in the silicon carbide film may be provided by one or more hydrocarbon moieties on the silicon-containing precursor. These moieties may be selected from alkyl, alkenyl, alkynyl, aryl, and the like. In certain embodiments, the hydrocarbyl group has a single carbon atom to minimize steric hindrance of Si-H and/or Si-Si bond cleavage reactions during deposition. However, the precursor is not limited to a single carbon group; a greater number of carbon atoms may be used, such as 2, 3, 4, 5 or 6 carbon atoms. In certain embodiments, the hydrocarbyl group is linear. In certain embodiments, the hydrocarbyl group is cyclic.
In certain embodiments, a portion of the carbon provided in the silicon carbide film may be provided by one or more hydrocarbon molecules in the carbon-containing precursor. Such hydrocarbon molecules may comprise carbon-carbon chains, wherein several carbon atoms may be used, for example 2, 3, 4, 5, 6, or 7 carbon atoms. In some embodiments, the hydrocarbon molecule comprises one or more carbon double bonds and/or carbon triple bonds.
In some embodiments, the silicon-containing precursor falls into a chemical species. It should be understood that other chemical species of silicon-containing precursors may also be employed, and that silicon-containing precursors are not limited to the chemical species discussed below.
In some embodiments, the silicon-containing precursor may be a siloxane. In some embodiments, the siloxane may be cyclic. The cyclic siloxane may include cyclotetrasiloxane, such as 2,4,6, 8-tetramethylcyclotetrasiloxane (TMCTS), Octamethylcyclotetrasiloxane (OMCTS), and Hexamethylcyclotetrasiloxane (HMCTS). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Embodiments using cyclic siloxanes are cyclic structures that can introduce porosity into the silicon carbide film, where the size of the pores corresponds to the radius of the ring. For example, the cyclotetrasiloxane ring may have a radius of about 6.7 angstroms.
In some embodiments, the siloxane may have a three-dimensional or cage structure. Figure 2 shows a representative example of a caged siloxane precursor. Caged siloxanes have silicon atoms bridged to each other via oxygen atoms to form polyhedra or any 3-D structure. An example of a cage siloxane precursor molecule is a silsesquioxane. The caged siloxane structure is described in further detail in commonly owned U.S. patent No.6576345 to cleepput et al, which is incorporated herein by reference in its entirety for all purposes. Similar to cyclic siloxanes, cage siloxanes can introduce porosity into silicon carbide films. In some embodiments, the pore size is mesoporous.
In some embodiments, the siloxane may be linear. Examples of suitable linear siloxanes include, but are not limited to: disiloxanes (such as Pentamethyldisiloxane (PMDSO) and Tetramethyldisiloxane (TMDSO)); and trisiloxanes (e.g., hexamethyltrisiloxane, heptamethyltrisiloxane).
In some embodiments, the silicon-containing precursor may be an alkylsilane or other hydrocarbyl-substituted silane. The alkylsilane includes a central silicon atom having one or more alkyl groups bonded to the central silicon atom and one or more hydrogen atoms bonded to the central silicon atom. In certain embodiments, any one or more of the alkyl groups comprises 1 to 5 carbon atoms. The hydrocarbyl groups can be saturated or unsaturated (e.g., alkenes (such as ethylene), alkynes, and aromatic groups). Examples include, but are not limited to, trimethylsilane (3MS), triethylsilane, pentamethyldisilamethane ((CH)3)2Si-CH2-Si(CH3)3) And dimethylsilane (2 MS).
In some embodiments, the silicon-containing precursor can be an alkoxysilane. However, in some embodiments, it is understood that the silicon-containing precursor is not an alkoxysilane, to avoid the presence of alkoxy groups. The alkoxysilane includes a central silicon atom and one or more alkoxy groups bonded to the central silicon atom and one or more hydrogen atoms bonded to the central silicon atom. Examples include, but are not limited to, Trimethoxysilane (TMOS), Dimethoxysilane (DMOS), Methoxysilane (MOS), methyldimethoxysilane (MDMOS), Diethoxymethylsilane (DEMS), Dimethylethoxysilane (DMES), and Dimethylmethoxysilane (DMMOS).
Disilane, trisilane, or other higher silanes may be used in place of monosilane. An example of one such disilane from the alkylsilane family is Hexamethyldisilane (HMDS). Another example of a disilane from the alkylsilane family may include Pentamethylethylsilane (PMDS). Other types of alkyl silanes may include alkyl carbosilanes, which may have a branched polymeric structure with carbon bonded to a silicon atom and an alkyl group bonded to a silicon atom. Examples include Dimethyltrimethylsilylmethane (DTMSM) and bis-dimethylsilylethane (BDMSE). In some embodiments, one of the silicon atoms may have a carbon-containing group or a hydrocarbon-containing group attached thereto, and one of the silicon atoms may have a hydrogen atom attached thereto.
In some embodiments, the silicon-containing precursor can be a nitrogen-containing compound, such as silicon hydrogen nitride (e.g., a silazane). Typically, such compounds contain carbon, but are bonded only to silicon atoms and not to nitrogen atoms. In certain embodiments, the nitrogen-containing compound does not have any carbon-nitrogen bonds. In certain embodiments, the nitrogen-containing compound does not have any amine moiety (-C-NR)1R2) Wherein R is1And R2Are the same or different groups such as hydrogen atoms and hydrocarbon groups (e.g., alkyl, alkenyl, or alkynyl groups). Examples of suitable silicon-nitrogen precursors include various silazanes, such as cyclic and linear silazanes, which contain one or more hydrocarbon moieties bonded to one or more silicon atoms and one or more hydrogen atoms bonded to one or more silicon atoms. Examples of silazanes include methyl-substituted disilazanes and trisilazanes, such as tetramethyldisilazane and hexamethyltrisilazane.
During deposition of silicon carbide, a variety of silicon-containing precursors may be present in the process gas. For example, a siloxane and an alkylsilane may be used together, or a siloxane and an alkoxysilane may be used together. The relative proportions of the individual precursors can be selected based on the chemical structure of the selected precursors and the application of the resulting silicon carbide film. For example, as discussed in more detail below, the mole percent of the amount of siloxane can be greater than the mole percent of the amount of silane to create a porous film.
For depositing oxygen-doped silicon carbide films, examples of suitable precursors may include cyclosiloxanes, such as cyclotetrasiloxane (e.g., Heptamethylcyclotetrasiloxane (HMCTS) and tetramethylcyclotetrasiloxane). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. For depositing oxygen-doped silicon carbide films, other examples of suitable precursors include linear siloxanes, such as, but not limited to, disiloxanes such as Pentamethyldisiloxane (PMDSO), Tetramethyldisiloxane (TMDSO), hexamethyltrisiloxane, and heptamethyltrisiloxane.
For the deposition of undoped silicon carbide films, examples of suitable precursors can include monosilanes substituted with one or more alkane, alkene, and/or alkyne groups containing, for example, 1-5 carbon atoms. Examples include, but are not limited to, trimethylsilane (3MS), dimethylsilane (2MS), Triethylsilane (TES), and pentamethyldisilane. In addition, disilane, trisilane, or other higher silanes may be used in place of monosilane. Examples of disilanes include Hexamethyldisilane (HMDS) and Pentamethylethylsilane (PMDS). Other types of alkyl silanes may include alkyl carbosilanes. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethyl silyl ethane (BDMSE).
For deposition of nitrogen-doped silicon carbide films, examples of suitable precursors may include silazanes, such as alkyldisilazanes, and amino groups (-NH) containing groups bonded to one or more silicon atoms, respectively2) And alkyl groups. Alkyl disilazanes comprise a silazane and an alkyl group bonded to two silicon atoms. One example includes 1,1,3, 3-Tetramethyldisilazane (TMDSN).
As explained, the silicon-containing precursor is selected to provide a highly conformal silicon carbide film. It is believed that silicon-containing precursors with low sticking coefficients are capable of producing highly conformal films. "sticking coefficient" is used to describe the number of adsorbed species (e.g., fragments or molecules) that adsorb/adhere to the surface over the same period of time as compared to the total number of species that impact the surfaceThe term of ratio. Symbol ScSometimes used to refer to the sticking coefficient. ScThe value of (c) is between 0 (meaning no substance adheres) and 1 (meaning all impinging substances adhere). A number of factors affect the sticking coefficient, including the type of impinging species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the impinging species. Certain substances are inherently more "sticky" than others, making them more likely to adsorb to a surface each time the substance strikes the surface. These more adherent substances have a greater sticking coefficient (all other factors being equal) and are more likely to adsorb near the entrance to the recessed feature than less adherent substances having a lower sticking coefficient. In some cases, the adhesion coefficient of the precursor (under relevant deposition conditions) can be about 0.05 or less, for example about 0.001 or less.
Device for measuring the position of a moving object
One aspect of the invention is an apparatus configured to implement the methods described herein. Suitable apparatus include hardware for implementing process operations and a system controller having instructions for controlling process operations in accordance with the present invention. In some embodiments, the means for performing the aforementioned process operations may comprise a remote plasma source. The remote plasma source provides mild reaction conditions compared to direct plasma. An example of a suitable remote plasma device is described in U.S. patent application No.14/062,648 filed on 24/10/2013, which is incorporated by reference herein in its entirety for all purposes.
Fig. 3 shows a schematic diagram of a remote plasma apparatus according to some embodiments. The apparatus 300 includes a reaction chamber 310 having a showerhead 320. Inside the reaction chamber 310, the substrate 330 rests on a pedestal or base 335. In some embodiments, the base 335 may be equipped with a heating/cooling element. A controller 340 may be connected to the components of the apparatus 300 to control the operation of the apparatus 300. For example, the controller 340 may contain instructions for controlling process conditions of operation of the apparatus 300, such as temperature process conditions and/or pressure process conditions. In some implementations, the controller 340 may include instructions for controlling the flow rates of the precursor gas, the co-reactant gas, the source gas, and the carrier gas. Controller 340 may contain instructions for varying the flow rate of the co-reactant gas over time. Additionally or alternatively, the controller 340 may include instructions for varying the flow rate of the precursor gas over time. A more detailed description of the controller 340 is provided below.
In operation, a gas or gas mixture is introduced into the reaction chamber 310 via one or more gas inlets coupled to the reaction chamber 310. In some embodiments, two or more gas inlets are coupled to the reaction chamber 310. A first gas inlet 355 may be coupled to the reaction chamber 310 and connected to the vessel 350, and a second gas inlet 365 may be coupled to the reaction chamber 310 and connected to the remote plasma source 360. In embodiments including a remote plasma configuration, the delivery lines for the precursors and radical species generated in the remote plasma source are separate. Thus, the precursor and radical species do not substantially interact before reaching the substrate 330. It should be understood that in some embodiments, the gas lines may be reversed such that the vessel 350 may provide a flow of precursor gases via the second gas inlet 365, while the remote plasma source 360 may provide ions and radicals via the first gas inlet 355.
One or more radical species may be generated in the remote plasma source 360 and configured to enter the reaction chamber 310 via the second gas inlet 365. Any type of plasma source may be used in the remote plasma source 360 to create radical species. This includes, but is not limited to, capacitively coupled plasma, inductively coupled plasma, microwave plasma, direct current plasma, and laser generated plasma. An example of a capacitively coupled plasma may be a Radio Frequency (RF) plasma. The high frequency plasma may be configured to operate at 13.56MHz or higher. An example of such a remote plasma source 360 may be manufactured by Lam Research Corporation (Fremont, California)
Figure BDA0002915085360000161
Such an RF remote plasma source 360Another example of (A) may be that manufactured by MKS Instruments of Wilmington, Mass
Figure BDA0002915085360000162
Which can operate at 440kHz and can be provided as a subunit bolted to a larger apparatus for parallel processing of one or more substrates. In some embodiments, microwave plasma may be used as the remote plasma source 360, e.g.
Figure BDA0002915085360000163
It is also manufactured by MKS Instruments. The microwave plasma may be configured to operate at a frequency of 2.45 GHz. The gas provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases as mentioned elsewhere herein. In certain embodiments, the hydrogen is provided in a carrier (e.g., helium). As an example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen.
The precursor may be provided in a container 350 and may be supplied to the showerhead 320 via a first gas inlet 355. The showerhead 320 dispenses the precursor to the reaction chamber 310 toward the substrate 330. A substrate 330 may be positioned below the showerhead 320. It should be appreciated that the showerhead 320 may have any suitable shape and may have any number and arrangement of ports for distributing gases to the substrates 330. The precursor may be supplied to the showerhead 320 and ultimately to the substrate 330 at a controlled flow rate.
One or more radical species formed at the remote plasma source 360 may be transported in a gas phase toward the substrate 330. One or more radical species may flow into the reaction chamber 310 through the second gas inlet 365. It should be understood that, as shown in fig. 3, the second gas inlet 365 need not be transverse (transversiser to) the surface of the substrate 330. In certain embodiments, the second gas inlet 365 may be directly above the substrate 330 or at other locations. The distance between the remote plasma source 360 and the reaction chamber 310 may be configured to provide mild reaction conditions such that the ionized species generated at the remote plasma source 360 are substantially neutral, but in a substantially low energy stateAt least some of the radical species remain in the environment adjacent to the substrate 330. Such low energy state free radical species no longer recombine to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 may be the aggressiveness of the plasma (e.g., determined in part by the source RF power level), the gas density in the plasma (e.g., if there is a high concentration of hydrogen atoms, then a substantial portion of them may recombine to form H before reaching the reaction chamber 3102) And other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 may be between about 1cm to 30cm, such as about 5cm or about 15 cm.
In some embodiments, a co-reactant that is not the predominant silicon-containing precursor or hydrogen radical is introduced during the deposition reaction. In some embodiments, the apparatus is configured to introduce a co-reactant through the second gas inlet 365, in which case the co-reactant is at least partially converted to a plasma. In some embodiments, the apparatus is configured to introduce a co-reactant through the showerhead 320 via the first gas inlet 355. Examples of co-reactants include oxygen, nitrogen, ammonia, carbon dioxide, carbon monoxide, and the like. The flow rate of the co-reactant may be varied over time to create a composition gradient in the graded film.
Fig. 4 shows a schematic diagram of an exemplary plasma processing apparatus having a remote plasma source, according to certain other embodiments. The plasma processing apparatus 400 includes a remote plasma source 402 that is separate from a reaction chamber 404. Remote plasma source 402 is fluidly coupled to reaction chamber 404 via multi-port gas distributor 406, which multi-port gas distributor 406 may also be referred to as a showerhead. Radical species are generated in the remote plasma source 402 and supplied to the reaction chamber 404. One or more silicon-containing precursors are supplied to a remote plasma source 402 and a reaction chamber 404 downstream of a multi-port gas distributor 406. The one or more silicon-containing precursors react with the radical species in the chemical vapor deposition region 408 of the reaction chamber 404 to deposit a silicon carbide film on the surface of the substrate 412. The chemical vapor deposition region 408 comprises an environment adjacent to a surface of the substrate 412.
The substrate 412 is supported on a substrate support or susceptor 414. The pedestal 414 is movable within the reaction chamber 404 to position the substrate 412 within the chemical vapor deposition region 408. In the embodiment shown in fig. 4, pedestal 414 shows substrate 412 having been raised within chemical vapor deposition zone 408. In certain embodiments, the pedestal 414 may also adjust the temperature of the substrate 412, and the pedestal 414 may provide some selective control over thermally activated surface reactions on the substrate 412.
Fig. 4 shows the coil 418 disposed around the remote plasma source 402, where the remote plasma source 402 includes an outer wall (e.g., a quartz dome). The coil 418 is electrically coupled to a plasma generator controller 422, which may be used to form and maintain a plasma within the plasma region 424 by inductively coupled plasma generation. In certain implementations, the plasma generator controller 422 may include a power supply that supplies power to the coil 418, where the power may be in a range of about 1 to 6 kilowatts (kW) during plasma generation. In certain embodiments, electrodes or antennas used for parallel plate or capacitively coupled plasma generation may be used to generate a continuous supply of radicals by plasma excitation, rather than using inductively coupled plasma generation. Regardless of the mechanism for igniting and sustaining the plasma within the plasma region 424, radical species may be continuously generated during film deposition using plasma excitation. In certain embodiments, hydrogen radicals are generated during steady state film deposition at approximately steady state conditions, but transients may occur at the beginning and end of film deposition.
When hydrogen gas or other source gas is supplied to the remote plasma source 402, a supply of hydrogen radicals may be continuously generated within the plasma region 424. The excited hydrogen radicals may be generated in the remote plasma source 402. Excited hydrogen radicals lose their energy or relax if not re-excited or re-energized or recombined with other radicals. Thus, the excited hydrogen radicals may relax to form hydrogen radicals in a substantially low energy or ground state.
The hydrogen or other source gas may be diluted with one or more additional gases. The one or more additional gases may be supplied to the remote plasma source 402. In certain embodiments, hydrogen or other source gases are mixed with one or more additional gases to form a gas mixture, wherein the one or more additional gases may include a carrier gas. Non-limiting examples of the additional gas may include helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), and nitrogen (N)2). The one or more additional gases may support or stabilize a steady state plasma condition within the remote plasma source 402, or facilitate an ignition or extinguishing procedure of a transient plasma. In some embodiments, diluting the hydrogen or other source gas with, for example, helium, may facilitate a higher total pressure without concomitant plasma breakdown. In other words, a diluent gas mixture of hydrogen and helium may facilitate a higher total gas pressure without increasing the plasma power supplied to the remote plasma source 402. As shown in fig. 4, a source gas supply 426 is fluidly coupled to the remote plasma source 402 to supply hydrogen or a source gas. In addition, an additional gas supply 428 is fluidly coupled to the remote plasma source 402 to supply one or more additional gases. The one or more additional gases may also comprise the co-reactant gases described above. While the embodiment of fig. 4 depicts the gas mixture of the source gas and the one or more additional gases being introduced via separate gas outlets, it is understood that the gas mixture may be introduced directly into the remote plasma source 402. That is, the premixed dilution gas mixture may be supplied to the remote plasma source 402 via a single gas outlet.
Gases (e.g., excited hydrogen and helium radicals and relaxed gases/radicals) flow from the remote plasma source 402 and into the reaction chamber 404 via the multiport gas distributor 406. The gas within multiport gas distributor 406 and within reaction chamber 404 is generally not subjected to continuous plasma excitation therein. In certain embodiments, multiport gas distributor 406 comprises an ion filter and/or a photon filter. Filtering the ions and/or photons may reduce damage to the substrate, undesired molecular re-excitation, and/or selective cracking or decomposition of silicon-containing precursors within the reaction chamber 404. Multiport gas distributor 406 may have a plurality of gas ports 434 to diffuse the gas stream into reaction chamber 404. In certain embodiments, the plurality of gas ports 434 may be spaced apart from one another. In certain embodiments, the plurality of gas ports 434 may be arranged in an array of regularly spaced channels or through holes extending through the plate separating the remote plasma source 402 from the reaction chamber 404. The plurality of gas ports 434 may smoothly disperse and diffuse the radicals exiting from the remote plasma source 402 into the reaction chamber 404.
A typical remote plasma source is remotely located from the reaction vessel. Thus, radical destruction and recombination (e.g., through a wall collision event) can result in a substantial reduction in active species. In contrast, in certain embodiments, the size of the plurality of gas ports 434 may be configured based on the mean free path or gas flow residence time under typical processing conditions to assist free radical entry into the reaction chamber 404. In certain embodiments, the openings of the plurality of gas ports 434 may occupy between about 5% and about 20% of the exposed surface area of multi-port gas distributor 406. In certain embodiments, the plurality of gas ports 434 may each have an axial length to diameter ratio of between about 3:1 and 10:1, or between about 6:1 and 8: 1. Such aspect ratios may reduce the wall collision frequency of radical species passing through the plurality of gas ports 434 and, at the same time, provide sufficient time for most excited state radical species to relax to ground state radical species. In certain embodiments, the plurality of gas ports 434 may be sized such that the residence time of the gas through multi-port gas distributor 406 is greater than the typical energy relaxation time of excited radical species. Excited radical species of the hydrogen source gas can be represented by.H in FIG. 4*And the ground state radical species of the hydrogen source gas can be represented by H in fig. 4.
In certain embodiments, excited radical species exiting the plurality of gas ports 434 may flow into the relaxation region 438, the relaxation region 438 being contained within the interior of the reaction chamber 404. The relaxation zone 438 is located upstream of the chemical vapor deposition zone 408, but downstream of the multi-port gas distributor 406. Substantially all or at least 90% of the excited radical species exiting multiport gas distributor 406 will be converted to relaxed radical species in relaxed region 438. In other words, substantially all excited radical species (e.g., excited hydrogen radicals) entering the relaxation region 438 become de-excited, or are converted to relaxed radical species (e.g., ground state hydrogen radicals), before exiting the relaxation region 438. In some embodiments, the process conditions or geometry of the relaxed region 438 may be configured such that the residence time (e.g., the time determined by the mean free path and the mean molecular velocity) of the radical species flowing through the relaxed region 438 causes the relaxed radical species to flow out of the relaxed region 438.
One or more silicon-containing precursors and/or one or more co-reactants may be introduced into the chemical vapor deposition region 408 as radical species are delivered from the multi-port gas distributor 406 to the relaxation region 438. One or more silicon-containing precursors may be introduced through a gas distributor or gas outlet 442, wherein the gas outlet 442 may be fluidly coupled to a precursor supply 440. Relaxation region 438 may be contained within the space between multi-port gas distributor 406 and gas outlet 442. The gas outlets 442 may include openings that are spaced apart from one another such that the flow of one or more silicon-containing precursors may be introduced in a direction parallel to the gas mixture flowing from the relaxation region 438. Gas outlets 442 may be located downstream of multi-port gas distributor 406 and relaxation region 438. The gas outlet 442 may be located upstream of the chemical vapor deposition zone 408 and the substrate 412. The chemical vapor deposition zone 408 is located in the interior of the reaction chamber 404 and between the gas outlet 442 and the substrate 412.
Substantially all of the one or more silicon-containing precursor streams may be prevented from mixing with excited radical species adjacent to multi-port gas distributor 406. The relaxed or ground state radical species are mixed with one or more silicon-containing precursors in a region adjacent to the substrate 412. The chemical vapor deposition region 408 comprises a region adjacent the substrate 412 in which relaxed or ground state radical species are mixed with one or more silicon-containing precursors. During CVD formation of silicon carbide films, relaxed or ground-state radical species are mixed in a gas phase with one or more silicon-containing precursors.
In certain embodiments, a co-reactant may be introduced from the gas outlet 442 and flow with the one or more silicon-containing precursors. The co-reactant may comprise a carbon-containing precursor as described below. The co-reactant may be introduced downstream of the remote plasma source 402. The co-reactant may be supplied from the precursor supply 440 or other source (not shown) fluidly coupled to the gas outlet 442. The co-reactant may be a carbon-containing precursor as described below. In certain embodiments, a co-reactant may be introduced from the multi-port gas distributor 406 and flow into the reaction chamber 404 along with the radical species generated in the remote plasma source 402. This may include radicals and/or ions of the co-reactant gas provided in the remote plasma source 402. The co-reactant may be supplied from an additional gas supply 428.
Gas outlets 442 may be separated from multi-port gas distributor 406 by a sufficient distance to prevent back diffusion or reverse flow of the one or more silicon-containing precursors. In certain embodiments, the gas outlets 442 may be separated from the plurality of gas ports 434 by a distance as follows: a distance of between about 0.5 inches and about 5 inches, or between about 1.5 inches and about 4.5 inches, or between about 1.5 inches and about 3 inches.
The process gas may be removed from the reaction chamber 404 via an outlet 448, the outlet 448 being configured to be fluidly coupled to a pump (not shown). Thus, excess silicon-containing precursor, co-reactant, radical species, and dilution and displacement or purge gases may be removed from reaction chamber 404. In certain embodiments, the system controller 450 is in operative communication with the plasma processing apparatus 400. In certain embodiments, the system controller 450 includes a processor system 452 (e.g., a microprocessor) configured to execute instructions stored in a data system 454 (e.g., a memory). In certain embodiments, the system controller 450 may communicate with the plasma generator controller 422 to control plasma parameters and/or conditions. In certain embodiments, the system controller 450 may communicate with the pedestal 414 to control pedestal height and temperature. In some embodiments, the system controller 450 may control other process conditions, such as RF power settings, frequency settings, duty cycle, pulse times, pressure within the reaction chamber 404, pressure within the remote plasma source 402, gas flow rates from the source gas supply 426 and the additional gas supply 428, gas flow rates from the precursor supply 440 and other sources, temperature of the pedestal 414, and temperature of the reaction chamber 404, among others.
The aspects of controller 450 of fig. 4 described below also apply to controller 340 of fig. 3. The controller 450 may include instructions for controlling the process conditions of the operation of the plasma processing device 400. The controller 450 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller board, etc. The instructions for implementing the appropriate control operations are executed on the processor. These instructions may be stored in a memory device associated with controller 450, or they may be provided over a network.
In certain embodiments, the controller 450 controls all or most of the activities of the plasma processing apparatus 400 described herein. For example, the controller 450 may control all or most of the activities of the plasma processing apparatus 400 associated with depositing a silicon carbide film and optionally other operations in a manufacturing flow that includes a silicon carbide film. The controller 450 may execute system control software that includes a set of instructions for controlling timing, gas composition, gas flow rate, chamber pressure, chamber temperature, RF power level, substrate position, and/or other parameters. Other computer programs, scripts, or programs stored on a memory device associated with controller 450 may be employed in some embodiments. In order to provide relatively mild reaction conditions in the environment adjacent to the substrate 412, parameters such as RF power levels, gas flow rates to the plasma region 424, gas flow rates to the chemical vapor deposition region 408, and timing of plasma ignition may be adjusted and maintained by the controller 450. Additionally, adjusting the substrate position may further reduce the presence of energetic radical species in the environment adjacent to the substrate 412. In a multi-station reactor, the controller 450 may include different or the same instructions for different plant stations, thereby enabling the plant stations to operate independently or simultaneously.
In some implementations, the controller 450 may include instructions for performing operations such as: flowing one or more silicon-containing precursors into reaction chamber 404 through gas outlet 442, providing a source gas into remote plasma source 402, generating one or more radical species of the source gas in remote plasma source 402, introducing one or more radical species in a substantially low energy state from remote plasma source 402 into reaction chamber 404 to react with the one or more silicon-containing precursors to deposit a silicon carbide film on substrate 412. The one or more radical species in the environment adjacent to substrate 412 in reaction chamber 404 may be hydrogen radicals in a ground state. In some embodiments, the controller 450 may include instructions to flow a co-reactant with one or more silicon-containing precursors into the reaction chamber 404. The co-reactant may be a hydrocarbon molecule, and each of the one or more silicon-containing precursors may have at least two hydrogen atoms bonded to silicon atoms.
In some embodiments, the apparatus 400 may include a user interface associated with the controller 450. The user interface may include a display screen, a graphical software display of the apparatus 400 and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, and the like.
The computer program code for controlling the above operations may be written in any conventional computer readable programming language: such as assembly language, C, C + +, Pascal, Fortran, or others. The compiled object code or script is executed by the processor to perform the tasks identified in the program.
The signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals used to control the process are the outputs on the analog and digital output connections of the processing system.
In general, the methods described herein can be performed on a system that includes semiconductor processing equipment, such as one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer susceptors, gas flow systems, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of semiconductor wafers or substrates. In general, an electronic device may be referred to as a "controller," which may control various elements or subcomponents of one or more systems. Depending on the processing requirements and/or type of system, the controller can be programmed to control any of the processes disclosed herein, including controlling process gas delivery, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer in and out of tools and other transfer tools, and/or load locks connected or interfaced with specific systems.
Broadly speaking, a controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software that receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and so forth. An integrated circuit may include a chip in firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers executing program instructions (e.g., software). The program instructions may be in the form of various separate settings (or program files) that communicate with the controller, define operating parameters for performing specific processes on or for a semiconductor wafer or system. In some embodiments, the operating parameter may be part of a recipe (recipe) defined by a process engineer for completing one or more processing steps during the preparation of one or more layer(s), material (e.g., silicon carbide), surface, circuit, and/or die of a wafer.
In some embodiments, the controller may be part of or coupled to a computer that is integrated with, coupled to, or connected to the system via a network, or a combination of these. For example, the controller may be in the "cloud" or be all or part of a fab (fab) host system, which may allow remote access to the wafer process. The computer may enable remote access to the system to monitor the current progress of the manufacturing operation, check the history of past manufacturing operations, check trends or performance criteria for multiple manufacturing operations, change parameters of the current process, set processing steps to follow the current process or start a new process. In some examples, a remote computer (e.g., a server) may provide the process recipe to the system over a network, which may include a local network or the internet. The remote computer may include a user interface that allows parameters and/or settings to be entered or programmed, which are then communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data that specify parameters for each process step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, a controller may be distributed, for example, by including one or more discrete controllers that are connected together by a network and work toward a common goal (e.g., the processes and controls described herein). An example of a distributed controller for these purposes may be one or more integrated circuits within a chamber that communicate with one or more remote integrated circuits (e.g., at the platform level or as part of a remote computer) that combine to control processes within the chamber.
In addition to the silicon carbide deposition and processing described herein, example systems may also include plasma etch chambers or modules, deposition chambers or modules, spin clean chambers or modules, metal plating chambers or modules, clean chambers or modules, bevel edge etch chambers or modules, Physical Vapor Deposition (PVD) chambers or modules, Chemical Vapor Deposition (CVD) chambers or modules, Atomic Layer Deposition (ALD) chambers or modules, Atomic Layer Etch (ALE) chambers or modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing system that may be associated with or used in the preparation and/or fabrication of semiconductor wafers.
As described above, depending on the process step or steps to be performed by the tool, the controller may communicate with one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, tools located throughout the factory, a host computer, another controller, or tools used in the handling of containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing facility.
The apparatus/processes described above may be used in conjunction with lithographic patterning tools or processes, for example, for the preparation or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, although not necessarily, these tools/processes will be used or operated together in a common manufacturing facility. Photolithographic patterning of films typically involves some or all of the following operations, each enabling multiple viable tools: (1) applying a photoresist on a workpiece, i.e., a substrate, using a spin-coating or spray-coating tool; (2) curing the photoresist using a hot plate or oven or a UV curing tool; (3) exposing the photoresist to visible or ultraviolet light or an x-ray lamp using a tool such as a wafer stepper; (4) developing the resist to selectively remove the resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern to an underlying film or workpiece by using a dry or plasma assisted etch tool; and (6) removing the resist using a tool such as a radio frequency or microwave plasma resist stripper.
Remote plasma CVD using silicon-containing and carbon-containing precursors
Deposition of silicon carbide films (including silicon carbonitride films) using ALD presents a number of challenges, including thermodynamic challenges that may make ALD of silicon carbide films difficult to achieve. Furthermore, the deposition rate of ALD is slow compared to typical CVD techniques and may not be desirable in a manufacturing procedure. Furthermore, it can be quite difficult to incorporate carbon into a silicon-based film or a silicon nitride-based film without compromising the step coverage, film density, and/or film quality characteristics of the silicon carbide film. The present disclosure relates to the deposition of silicon carbide films using remote plasma CVD. In the present disclosure, the incorporation of carbon into a silicon-based film or a silicon nitride-based film can be achieved without forming any C-C bond and N-C bond. The presence of C-C or N-C bonds may adversely affect the properties of the silicon carbide film.
As described above, the deposition reaction to deposit the silicon carbide film may include a co-reactant in addition to the silicon-containing precursor and the radical species. The introduction of co-reactants can be used to adjust the composition of the silicon carbide film. A co-reactant may be flowed into the reaction chamber with the silicon-containing precursor, wherein the co-reactant may be flowed downstream of the remote plasma source. For example, the gas outlet for introducing the silicon-containing precursor and the co-reactant may be located downstream of the remote plasma source. The remote plasma source is considered to be upstream of the substrate and the environment adjacent the substrate. In some embodiments, the gas outlet for introducing the silicon-containing precursor and the co-reactant may be located downstream of the remote plasma source and upstream of the substrate and the environment adjacent the substrate.
In addition to the silicon-containing precursor, a co-reactant may be introduced as a second precursor. The second precursor has a chemistry for adjusting the composition of the silicon carbide film. In some embodiments, the second precursor has a chemistry for improving step coverage of the silicon carbide film. The step coverage of the deposited silicon carbide film can be measured relative to one or more features of the substrate. As used herein, "feature" may refer to a non-planar structure on a substrate, which is typically a surface that is modified in a semiconductor device processing operation. Examples of features include trenches, vias, pads, pillars, domes, and the like. Features typically have a certain aspect ratio (depth or height to width). In some embodiments, the silicon carbide film has a step coverage of at least 75%, at least 80%, at least 85%, at least 90%, at least 95%, or at least 99%.
In some embodiments, the co-reactant is a hydrocarbon molecule. The co-reactant of the present disclosure may also be referred to as a carbon-containing precursor, which flows with the silicon-containing precursor. In some embodiments, the hydrocarbon molecule may be a short chain hydrocarbon molecule having at least one double bond or at least one triple bond. For example, the hydrocarbon molecule contains a carbon chain between 3 carbon atoms and 7 carbon atoms. The hydrocarbon molecule may comprise one or more unsaturated carbon bonds, such as one or more carbon-to-carbon double or triple bonds. Thus, the hydrocarbon molecule may comprise an alkene or alkyne group. Examples of suitable hydrocarbon molecules include propylene, ethylene, butylene, pentene, butadiene, pentadienes (e.g., 1, 4-pentadiene), hexadienes, heptadienes, toluene, and benzene. Other examples of suitable hydrocarbon molecules include acetylene, propyne, butyne, pentyne (e.g., 1-pentyne), and hexyne (e.g., 2-hexyne).
The carbon-containing precursor may be flowed with one or more silicon-containing precursors. In some embodiments, each of the silicon-containing precursors does not have a C-O bond and a C-N bond. Each of the silicon-containing precursors may include two or more Si-H bonds. In practice, each of the silicon-containing precursors has at least one silicon atom, and two or more hydrogen atoms bonded thereto. Thus, the at least one silicon atom does not have more than two carbon, nitrogen and/or oxygen atoms bonded to it. Examples of silicon-containing precursors include, but are not limited to, silanes and higher silanes, or alkylsilanes and higher alkylsilanes. For example, the silicon-containing precursor can be silane, disilane, trisilane, methylsilane, or dimethylsilane. Thus, the silicon-containing precursor flowing with the carbon-containing precursor may be a silane-based precursor. The silane-based precursor has a silicon atom, and four substituents are bonded to the silicon atom. Of the four substituents on the silicon atom, at least two substituents are hydrogen.
A carbon-containing precursor and a silicon-containing precursor are introduced into the reaction chamber downstream of the one or more radical species. The radical species may be generated in a remote plasma source upstream of the gas outlets for introducing the carbon-containing precursor and the silicon-containing precursor. The radical species may comprise hydrogen radicals, wherein these hydrogen radicals are in a substantially low energy or ground state when mixed or interacted with the carbon-containing precursor and the silicon-containing precursor.
When depositing a silicon carbide film by remote plasma CVD, most, if not all, of the Si-C bonds in the silicon carbide film can typically be provided by the existing Si-C bonds in the silicon-containing precursor. This may limit the ability to adjust the composition of the silicon carbide film. Flowing a co-reactant with the silicon-containing precursor may add greater flexibility in adjusting the composition of the silicon carbide film so that more or less carbon may be incorporated into the silicon carbide film. However, where the co-reactant is a carbon-containing precursor, the co-reactant does not contribute to compositional tailoring of the silicon carbide film or the co-reactant adds a C-C bond, C-O bond, or C-N bond that may adversely affect the electrical properties and/or step coverage of the silicon carbide film. In the present disclosure, the carbon-containing co-reactant and the silicon-containing precursor are selected such that the carbon-containing co-reactant contributes to compositional tuning of the silicon carbide film without adding a C-C bond, a C-O bond, or a C-N bond. The carbon-containing co-reactant and the silicon-containing precursor add an additional process knob (knob) for adjusting the composition of the silicon carbide film, and at the same time maintain or improve the film quality, as compared to silicon carbide films deposited using existing Si-C bonds in the silicon-containing precursor.
Hydrogen radicals in a substantially low or ground state may interact with the carbon-containing precursor as well as the silane-based precursor. Without being bound by any theory, one of the more kinetically favored reaction mechanisms in the deposition reaction includes a hydrogen abstraction reaction (hydrogen abstraction), which involves the selective breaking of Si — H bonds in silane-based precursors. The hydrogen abstraction reaction results in an activated silane-based precursor. Without being bound by any theory, hydrogen radicals in a substantially low or ground state may interact with alkynes or alkenyl groups in the hydrocarbon molecule, resulting in the formation of activated alkanes (e.g., methane). In some cases, the hydrocarbon molecules are broken into short chain hydrocarbon molecules or radicals. Activated alkanes contain carbon radicals as activation sites and activated silane-based precursors contain silicon radicals as activation sites, and these activation sites can react together to form Si — C bonds. Figure 5 shows an example of a chemical reaction between an activated alkane from a carbon-containing precursor and an activated silane-based precursor.
The carbon-containing precursor does not act as a passive observer (spacer), but rather can contribute significantly to the composition of the silicon carbide film. Carbon-containing precursors and by-products of any reaction with hydrogen radicals in a substantially low energy or ground state can be incorporated into the silicon carbide film in significant amounts. As used herein, the term "plurality" with respect to the case where carbon from a carbon-containing precursor is incorporated into a silicon carbide film may refer to: the atomic concentration of carbon varies by an amount equal to or greater than about 5% as compared to a silicon carbide film deposited without the carbon-containing precursor. The contribution of carbon from the carbon-containing precursor avoids or minimizes the addition of C-C bonds. The silicon carbide film has no C-C bond or substantially no C-C bond. In some embodiments, the percentage of C-C bonds in the silicon carbide film is equal to or less than about 2%, equal to or less than about 1%, equal to or less than about 0.5%, or even 0%.
The remote plasma CVD process in the present invention may comprise a remote hydrogen plasma in which hydrogen radicals interact with carbon-containing precursors as well as silicon-containing precursors downstream of the remote plasma source. In some embodiments, the remote hydrogen plasma may also comprise a remote nitrogen plasma or a remote oxygen plasma. A nitriding agent or an oxidizing agent may be added to the remote plasma source to generate nitrogen or oxygen radicals, respectively. The nitridizing agent promotes the formation of a silicon carbonitride (SiCN) film, and the oxidizing agent promotes the formation of a silicon oxycarbide (SiCO) film.
In forming the SiCN film, a nitridation agent and hydrogen may be provided to a remote plasma source. In some embodiments, a carrier gas (e.g., helium) is provided to the remote plasma source to mix with the nitriding agent and hydrogen. The nitriding agent and the hydrogen radicals may be generated in a remote plasma source. In some embodiments, the nitriding agent comprises nitrogen (N)2) Or ammonia (NH)3). Radicals of the nitriding agent may be introduced into the reaction chamber from a remote plasma source along a flow path of the radicals of hydrogen. The radicals of the nitriding agent and hydrogen react with one or more silicon-containing precursors and co-reactants to form a SiCN film. Without being bound by any theory, the amine or nitrogen radicals interact with the activated silicon-containing precursor to form Si-N bonds. The SiCN film has no C-C bonds or substantially no C-C bonds, and has no C-N bonds or substantially no C-N bonds. In some embodiments, the percentage of C-C bonds or C-N bonds in the SiCN film is equal to or less than about 2%, equal to or less than about 1%, equal to or less than about 0.5%, or even 0%.
In forming the SiCO film, an oxidant and hydrogen may be provided to a remote plasma source.In some embodiments, a carrier gas (e.g., helium) is provided to the remote plasma source to mix with the oxidant and hydrogen. The oxidizing agent and the radicals of hydrogen may be generated in a remote plasma source. In some embodiments, the oxidizing agent comprises carbon dioxide (CO)2) Carbon monoxide (CO), oxygen (O)2) Ozone (O)3) Or nitrous oxide (N)2O). Radicals of the oxidizing agent may be introduced into the reaction chamber from the remote plasma source along a flow path of the radicals of hydrogen. The oxidizing agent and the free radicals of hydrogen react with the one or more silicon-containing precursors and the co-reactant to form a SiCO film. Without being bound by any theory, the oxygen radicals interact with the activated silicon-containing precursor to form Si — O bonds. The SiCO film has no C-C bond or substantially no C-C bond, and has no C-O bond or substantially no C-O bond. In some embodiments, the percentage of C-C bonds or C-O bonds in the SiCO film is equal to or less than about 2%, equal to or less than about 1%, equal to or less than about 0.5%, or even 0%.
Fig. 6A shows FTIR spectra for remote plasma CVD of silicon carbide films using silicon-containing precursors and varying amounts of carbon-containing precursors. FIG. 6B shows an enlarged view of a portion of the FTIR spectrum in FIG. 6A. The carbon-containing precursor and the silicon-containing precursor are provided downstream of the remote plasma. The remote plasma contains hydrogen radicals and nitrogen radicals. In fig. 6A-6B, the FTIR spectra show several plots, the plot with the highest peak having a carbon-containing precursor flow rate of 0 seem, the plot with the second highest peak having a carbon-containing precursor flow rate of 1 seem, the plot with the third highest peak having a carbon-containing precursor flow rate of 3 seem, the plot with the fourth highest peak having a carbon-containing precursor flow rate of 5 seem, the plot with the fifth highest peak having a carbon-containing precursor flow rate of 10 seem, the plot with the sixth highest peak having a carbon-containing precursor flow rate of 15 seem, and the plot with the shortest peak having a carbon-containing precursor flow rate of 24 seem. Can be in the range of about 835cm-1The presence of Si-N bonds is observed and may be at about 790cm-1The presence of Si-C bonds is observed.
In the absence of the carbon-containing precursor, a silicon nitride film is deposited when the silicon-containing precursor reacts with a remote plasma containing nitrogen radicals. The introduction of the carbon-containing precursor causes the silicon carbonitride film to form. The silicon carbonitride film contains both Si-N bonds and Si-C bonds. As shown in fig. 6A-6B, increasing the flow rate of the carbon-containing precursor increases the amount of Si — C bonds in the silicon carbonitride film. The Si-C bond is the result of a carbon-containing precursor. Although the presence of Si-C bonds is typically from a single silicon-containing precursor, the present invention can incorporate a silicon-containing precursor and a carbon-containing precursor to form Si-C bonds in a doped or undoped silicon carbide film.
Figure 7 shows a TEM image of a silicon carbide film deposited on a substrate feature using a silicon-containing precursor and a carbon-containing precursor. The remote plasma contains hydrogen radicals and nitrogen radicals. X-ray photoelectron spectroscopy (XPS) data can identify the composition of the deposited film including the silicon carbide thin film in fig. 7. A summary of XPS data compiled for silicon carbide films is shown in table 1. The elemental composition is expressed in atomic percent concentration and represents the ratio of atomic percent between carbon and silicon (C/Si), nitrogen and silicon (N/Si), and carbon and nitrogen (C/N). As shown in table 1, the introduction of the carbon-containing precursor resulted in a doped silicon carbide film with a high carbon content.
TABLE 1
Figure BDA0002915085360000291
The introduction of a second precursor, particularly a carbon-containing precursor, significantly improves the step coverage of the silicon carbide film. In some embodiments, the step coverage of the silicon carbide film is at least 75%, at least 80%, at least 85%, or at least 90%. With the introduction of the carbon-containing precursor, the film quality and film density are also substantially maintained. For example, the film density can be equal to or greater than about 2.0g/cm3
Structure and characteristics of deposited film
The deposited film includes silicon, carbon, and in some cases oxygen, nitrogen, and/or one or more other elements. In some embodiments, the atomic concentration of silicon is between about 15% and 45% (or about 25% and 40%), and the atomic concentration of carbon is between about 10% and 50%Between about 0% and 45% atomic oxygen and between about 0% and 45% atomic nitrogen. In one example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 25%, and the atomic concentration of carbon is about 45%. In another example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 45%, and the atomic concentration of carbon is about 25%. In another example, the film contains about 10-15% carbon and about 30-40% oxygen, both on an atomic concentration basis. In all cases, the membrane contained some hydrogen. However, it is understood that the relative atomic concentration of hydrogen is small, e.g., equal to or less than about 5%. It is understood that the relative atomic concentrations may vary depending on the choice of precursor. The silicon atom will form a bond with a carbon atom and optionally with a nitrogen and/or oxygen atom. In some embodiments, the deposited film contains more Si-C bonds than Si-N bonds. In some examples, the deposited film contains a ratio of Si-C bonds to Si-N bonds between about 0.5:1 and 3: 1. In certain embodiments, the film density is between about 2 and 2.7g/cm3In the meantime.
When using a carbon-containing precursor and a silicon-containing precursor having at least two hydrogen atoms bonded to silicon atoms, the relative atomic concentration of silicon and carbon will be relatively high compared to other elements in the silicon carbide film. In some embodiments, the relative atomic concentration of silicon will be at least 25% or at least 30%, and the relative atomic concentration of carbon will be at least 25% or at least 30% or at least 40%. Further, for a doped silicon carbide film, the relative atomic concentration of oxygen may be less than about 10%, and the relative atomic concentration of nitrogen may be less than about 10%.
In some embodiments, the internal structure of the precursor is maintained in the deposited film. Such a structure may retain all or most of the Si-C bonds, and Si-O bonds and/or Si-N bonds (if present) in the precursor while linking or crosslinking individual precursor moieties through bonds present at the location of the Si-H bonds and/or Si-Si bonds of the precursor molecules and/or through additional condensation reactions on the growth surface (if sufficient thermal energy is provided).
The process conditions described previously herein can provide highly conformal film structures. Relatively mild process conditions can minimize the degree of ion bombardment at the substrate surface to make the deposition less directional. In addition, relatively mild process conditions may reduce the number of radicals having a high sticking coefficient, which may have a tendency to stick to the sidewalls of previously deposited layers or films. In certain embodiments, for a ratio of about 2:1 to 10:1, the silicon carbide film may be deposited at a conformal rate of between about 25% and 100%, more typically between about 50% and 100%, and even more typically between about 80% and 100%. The conformal rate may be calculated by comparing the average thickness of the deposited film at the bottom, sidewall, or top of a feature to the average thickness of the deposited film at the bottom, sidewall, or top of a feature. For example, the conformality can be calculated by dividing the average thickness of the deposited film on the sidewalls of the feature by the average thickness of the deposited film on the top of the feature and multiplying by 100 to obtain a percentage. For some applications, a conformal ratio of between about 85% and 95% is sufficient. In a composition having a molecular weight between about 2:1 and about 4: 1, the conformal ratio is at least about 90 percent. Some BEOL (back-end-of-line) processes fall into this category. In a polymer having a molecular weight between about 4: 1 and about 6:1, the conformal ratio is at least about 80%. Deposition processes for certain underlayer fall into this category. In a composition having a molecular weight of between about 7: 1 and about 10: in some examples of depositing silicon carbide on features having aspect ratios between 1 (and even higher), the conformality is at least about 90%. The manufacturing process of some DRAMs (dynamic random access memories) belongs to this class.
The process conditions may also provide a film structure with high breakdown voltage and low leakage current. By introducing limited amounts of oxygen or nitrogen into SiC-type materials, the Si-H bond and/or Si-CH can be blocked by oxygen or nitrogen2The leakage path provided by the Si bond. The conduction modes in Si-O and Si-N may be different at low electric fields. This may provide improved electrical characteristics while maintaining a relatively low dielectric constant. In various embodiments, the membrane has a thickness of about 5 or less, or about 4.0 or less, in some cases about 3.5 or less, in various embodimentsIn some cases about 3.0 or less, and in some embodiments about 2.5 or less. The effective dielectric constant may depend on the bond and density. In some embodiments, SiOC films are made to have a dielectric constant of 6 or higher, especially when the carbon content is relatively high. If leakage current is an important consideration, a dielectric constant of less than 5 is required for the SiOC film. The lower the dielectric constant, the poorer the sealing and barrier and thermal resistance characteristics. In embodiments where certain applications require low tightness and diffusion limitations, excellent etch resistance, thermal stability, etc., the silicon carbide film can be made dense and highly crosslinked. This can be achieved, for example, by: a) depositing the film at relatively high temperatures, and/or b) providing relatively high free radicals: the ratio of the precursors. In some embodiments, the silicon carbide film may be relatively thin and still act as an effective sealing and diffusion barrier.
In some embodiments, the deposited film may be porous. As previously discussed herein, the silicon-containing precursor may comprise a cyclic siloxane and a cage siloxane. These precursors, as well as others with significant internal open space, can introduce significant porosity into the structure of the deposited film. Porosity in the deposited film may further reduce the dielectric constant. In some embodiments, the porosity of the deposited silicon carbide film is between about 20% and 50%. The pore size of the porous membrane may follow the pore size of the annular or cage precursor. In some embodiments, the average hole size of the film is between about 5 angstroms and 20 angstroms, such as about 16 angstroms.
Applications of
The present disclosure may be further understood by reference to the following application to high quality silicon carbide films, which application is meant to be purely exemplary. The invention is not limited in scope by the specific application, which is merely a brief description of aspects of the disclosure.
In some embodiments, a silicon carbide film may be deposited on the exposed copper. In some embodiments for depositing silicon carbide films, the reaction conditions adjacent the substrate may be free of an oxidizing agent (e.g., O)2,O3And CO2) Bag (bag)Including their free radicals. Thus, the silicon carbide film can be deposited directly on the exposed copper without oxidizing the copper (e.g., generating copper oxide). Such a film may act as an etch stop layer, which may also act as a copper diffusion barrier layer. The presence of the silicon carbide film can provide a sufficiently low dielectric constant with excellent leakage characteristics to be used as a diffusion barrier. The silicon carbide film itself or as a bilayer stack (e.g., a SiCO/SiNC bilayer deposited on exposed copper) can be an etch stop and/or diffusion barrier. In some embodiments, a silicon carbide film may be placed between adjacent metallization layers that are typically produced by a damascene process. The silicon carbide film is resistant to etching and can be sufficiently dense to minimize diffusion of copper ions into adjacent regions of the dielectric material. In some embodiments, nitrogen may be incorporated into the film by using a nitrogen-containing precursor or plasma activated nitrogen-containing radicals (e.g., elemental nitrogen radicals or amine radicals).
In some embodiments, as shown in fig. 1B, a silicon carbide film 111 can be conformally deposited on the features 112 of the substrate 110. The features 112 may be isolated or dense features, wherein the features 112 may have a relatively small Critical Dimension (CD). In some embodiments, a feature may have a CD equal to or less than about 20nm, equal to or less than about 10nm, or equal to or less than about 5 nm. The aspect ratio of the height to the width of the feature 112 may be greater than 2:1, greater than 5:1, greater than 10:1, or greater than 20: 1. The step coverage of the silicon carbide film 111 deposited on the features 112 is at least 75%, at least 80%, at least 85%, at least 90%, at least 95%, or at least 99%.
In some embodiments, the silicon carbide film may be deposited as a vertical structure adjacent to the metal or semiconductor structure. The deposition of silicon carbide provides excellent step coverage along the sidewalls of the metal or semiconductor structure to create a vertical structure. In certain embodiments, the vertical structures may be referred to as spacers or pads.
Figure 1C shows a cross-section of a silicon carbide liner deposited on sidewalls of a gate electrode structure of a transistor. As shown in fig. 1C, the transistor may be a CMOS transistor having a silicon substrate 120, the silicon substrate 120 having a source 122 and a drain 123. A gate dielectric 124 may be deposited on the silicon substrate 120 and a gate electrode 125 may be deposited on the gate dielectric 124 to form a transistor. Silicon carbide spacers or liners 121 may be deposited on the sidewalls of the gate electrode 125 and the gate dielectric 124.
In another example, fig. 1D shows a cross-section of silicon carbide deposited on the sidewalls of exposed copper lines in an air gap type metallization layer. Air gaps 130 may be introduced into the integrated circuit layer between copper lines 132, thereby reducing the effective k value of the layer. Silicon carbide spacers 131 may be deposited on the sidewalls of the copper lines 132 and a non-conformal dielectric layer 133 may be deposited over the air gaps 130, spacers 131, and copper lines 132. Examples of such air gap type metallization layers may be described in U.S. patent application publication No.2004/0232552 to Fei Wang et al, which is incorporated by reference herein in its entirety for all purposes.
In some embodiments, a silicon carbide film may be deposited on the sidewalls of the patterned porous dielectric material. The ultra-low k dielectric material may be made of a porous structure. The pores in such materials may provide an inlet region for metal during deposition of subsequent layers, including deposition of a diffusion barrier layer comprising a metal such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may provide a short circuit between adjacent copper metallization lines.
Fig. 1E shows a cross-section of a silicon carbide film as a pore sealant for a porous dielectric material. The porous dielectric layer 142 may have a plurality of trenches or vias cut into the porous dielectric layer 142 to form the holes 140. A silicon carbide film 141 may be deposited along the aperture 140 to effectively seal the aperture 140. Sealing the pores 140 with the silicon carbide film 141 may avoid damage to the porous dielectric layer 142 that would otherwise result from other sealing techniques using plasma. The silicon carbide film 141 may be sufficiently dense as a pore sealant. In some embodiments, the etched dielectric material, such as the porous dielectric layer 142, may first be treated by a "k-recovery" process that exposes the porous dielectric layer 142 to UV radiation and a reducing agent. This restoration process is further described in commonly owned U.S. patent application publication No.2011/0111533 to vararajan et al, which is incorporated by reference herein in its entirety for all purposes. In another "k-recovery" process, porous dielectric layer 142 may be exposed to UV radiation and a chemical silylating agent. This restoration process is further described in commonly owned U.S. patent application publication No.2011/0117678 to vararajan et al, which is incorporated by reference herein in its entirety and for all purposes. After the pores 140 are exposed to a healing process, thereby rendering the surface more hydrophilic and providing a monolayer of material, a layer of conformally deposited silicon carbide film 141 may be deposited to effectively seal the pores of the porous dielectric layer 142.
In some embodiments, the silicon carbide film may be deposited as the ultra-low k dielectric material itself. Ultra-low k dielectrics are generally defined as those materials that have a dielectric constant that is lower than 2.5. In such a configuration, the ultra low k dielectric material of silicon carbide may be a porous dielectric layer. The pores of the dielectric layer may be introduced by using cyclic or cage precursor molecules including cyclic siloxanes and silsesquioxanes. In one example, the porosity of the ultra-low k dielectric layer of silicon carbide may be between about 20% and 50%. Additionally, the ultra-low k dielectric layer may have an average pore size of less than about 100 angstroms, such as between about 5 angstroms and 20 angstroms. For example, the cyclosiloxane ring may have a radius of about 6.7 angstroms. While increasing the number and size of the pores may reduce the dielectric constant, the mechanical integrity of the dielectric layer may be compromised if it is too porous.
Conclusion
In the previous description, numerous specific details were set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to obscure the present invention. Although the disclosed embodiments have been described in connection with specific embodiments, it should be understood that it is not intended to limit the disclosed embodiments.
Although the foregoing embodiments have been described in some detail for purposes of clarity and understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing processes, systems, and apparatuses of the embodiments provided. Accordingly, the described embodiments are to be considered as illustrative and not restrictive, and the described embodiments are not to be limited to the details given herein.

Claims (17)

1. A method of depositing a silicon carbide film on a substrate, the method comprising:
providing a substrate in a reaction chamber;
flowing a silicon-containing precursor into the reaction chamber and toward the substrate, wherein the silicon-containing precursor has at least two silicon atom-bonded hydrogen atoms;
flowing a carbon-containing precursor into the reaction chamber with the silicon-containing precursor, wherein the carbon-containing precursor is a hydrocarbon molecule having one or more carbon-carbon double or triple bonds;
generating hydrogen radicals in a remote plasma source from a hydrogen source gas, the hydrogen radicals being generated upstream of the silicon-containing precursor and the carbon-containing precursor; and
introducing the hydrogen radicals into the reaction chamber and directed toward the substrate, wherein the hydrogen radicals are in a ground state to react with the silicon-containing precursor and the carbon-containing precursor to form a doped or undoped silicon carbide film on the substrate.
2. The method of claim 1, wherein all or substantially all of the hydrogen radicals in the environment adjacent to the substrate are hydrogen radicals in the ground state.
3. The method of claim 1, wherein the hydrocarbon molecule comprises propylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, propyne, butyne, pentyne, or hexyne.
4. The method of claim 1, wherein the silicon-containing precursor comprises silane, disilane, trisilane, methylsilane, or dimethylsilane.
5. The method of claim 1, wherein the silicon-containing precursor having at least two hydrogen atoms bonded to the silicon atoms does not have more than two carbon, nitrogen and/or oxygen atoms bonded to the silicon atoms.
6. The method of claim 1, wherein the doped or undoped silicon carbide film has no or substantially no C-C bonds.
7. The method of any one of claims 1-6, further comprising:
providing a nitriding agent in the remote plasma source together with the hydrogen source gas, wherein radicals of the nitriding agent are generated in the remote plasma source; and
introducing the radicals of the nitriding agent into the reaction chamber with the radicals of hydrogen and directing the radicals of the nitriding agent toward the substrate, wherein the radicals of the nitriding agent and the radicals of hydrogen react with the silicon-containing precursor and the carbon-containing precursor to form a silicon carbonitride (SiCN) film.
8. The method of claim 7 wherein said SiCN film has no or substantially no C-C bonds and no or substantially no C-N bonds.
9. The method of claim 7, wherein the nitriding agent comprises nitrogen (N)2) Or ammonia (NH)3)。
10. The method of any one of claims 1-6, further comprising:
providing an oxidant in the remote plasma source with the hydrogen source gas, wherein radicals of the oxidant are generated in the remote plasma source; and
introducing the radicals of the oxidant and the radicals of hydrogen into the reaction chamber and toward the substrate, wherein the oxidant and the radicals of hydrogen react with the silicon-containing precursor and the carbon-containing precursor to form a silicon oxycarbide (SiCO) film.
11. The method of claim 10 wherein said SiCO film has no or substantially no C-C bonds and no or substantially no C-O bonds.
12. The method of claim 10, wherein the oxidant comprises carbon dioxide (CO)2) Carbon monoxide (CO), oxygen (O)2) Ozone (O)3) Or nitrous oxide (N)2O)。
13. The method of any one of claims 1-6, wherein the silicon-containing precursor is a silane-based precursor.
14. The method of any one of claims 1-6, wherein the doped or undoped silicon carbide film has a conformality of at least 75%.
15. The method of any one of claims 1-6, wherein the silicon carbide film is undoped silicon carbide (SiC).
16. The method of any of claims 1-6, wherein the atomic concentration of silicon in the doped or undoped silicon carbide film is at least 25%, and wherein the atomic concentration of carbon in the doped or undoped silicon carbide film is at least 25%.
17. The method of any one of claims 1-6, wherein the silicon-containing precursor (i) has no C-O bonds and (ii) has no C-N bonds.
CN201980049654.4A 2018-07-24 2019-07-22 Remote plasma-based deposition of silicon carbide films using silicon-and carbon-containing precursors Pending CN112514030A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/044,371 US20180330945A1 (en) 2012-06-12 2018-07-24 Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US16/044,371 2018-07-24
PCT/US2019/042812 WO2020023378A1 (en) 2018-07-24 2019-07-22 Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors

Publications (1)

Publication Number Publication Date
CN112514030A true CN112514030A (en) 2021-03-16

Family

ID=69180588

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980049654.4A Pending CN112514030A (en) 2018-07-24 2019-07-22 Remote plasma-based deposition of silicon carbide films using silicon-and carbon-containing precursors

Country Status (3)

Country Link
KR (2) KR102615163B1 (en)
CN (1) CN112514030A (en)
WO (1) WO2020023378A1 (en)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (en) * 1982-12-29 1984-07-24 信越化学工業株式会社 Manufacture of silicon carbide coated matter
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US8329599B2 (en) * 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US10325773B2 (en) * 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP6172660B2 (en) * 2012-08-23 2017-08-02 東京エレクトロン株式会社 Film forming apparatus and method for forming low dielectric constant film
TWI693295B (en) * 2015-02-06 2020-05-11 美商諾發系統有限公司 Conformal deposition of silicon carbide films

Also Published As

Publication number Publication date
TW202016340A (en) 2020-05-01
KR102615163B1 (en) 2023-12-15
KR20230170998A (en) 2023-12-19
WO2020023378A1 (en) 2020-01-30
KR20210024674A (en) 2021-03-05

Similar Documents

Publication Publication Date Title
US11894227B2 (en) Conformal deposition of silicon carbide films
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
CN109791871B (en) Deposition of graded or multi-layered silicon carbide films based on remote plasma
CN110313051B (en) Densification of silicon carbide films using remote plasma treatment
KR102515238B1 (en) Conformal deposition of silicon carbide films
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US9234276B2 (en) Method to obtain SiC class of films of desired composition and film properties
KR102480201B1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
TWI837151B (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
CN112469846B (en) Conformal deposition of silicon carbide films using heterogeneous precursor interactions
KR102615163B1 (en) Remote plasma-based deposition of silicon carbide films using silicon-containing precursors and carbon-containing precursors

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination