JP2010519773A - 基板上に膜を形成するための方法 - Google Patents

基板上に膜を形成するための方法 Download PDF

Info

Publication number
JP2010519773A
JP2010519773A JP2009551084A JP2009551084A JP2010519773A JP 2010519773 A JP2010519773 A JP 2010519773A JP 2009551084 A JP2009551084 A JP 2009551084A JP 2009551084 A JP2009551084 A JP 2009551084A JP 2010519773 A JP2010519773 A JP 2010519773A
Authority
JP
Japan
Prior art keywords
silicon
film
heating
source
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009551084A
Other languages
English (en)
Inventor
アワド、ヨゼフ
アレン、セバスティアン
デイヴィーズ、マイケル
ゴーモンド、アレクサンドレ
クハカニ、マイ アリ エル
スミラニ、リアドゥ
Original Assignee
シクストロン アドヴァンスド マテリアルズ、インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シクストロン アドヴァンスド マテリアルズ、インコーポレイテッド filed Critical シクストロン アドヴァンスド マテリアルズ、インコーポレイテッド
Publication of JP2010519773A publication Critical patent/JP2010519773A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

基板上に膜を形成するための方法であって:
加熱室中で固体有機シランソースを加熱し、ガス状プリカーサーを形成すること;
ガス状プリカーサーを蒸着室へ移送すること;及び
エネルギー源を用いてガス状プリカーサーを反応させ、基板上に膜を形成すること
を含む、方法。当該膜は、Si及びC並びに任意でN、O、F、B、P又はそれらの組み合わせ等の、他の元素を含む。
【選択図】図1

Description

発明の分野
本発明は、基板上にシリコンカーバイドベースの膜を形成するための方法に関する。
発明の背景
現在、基板上にシリコンカーバイドベースの非晶質膜を形成するために用いられる、利用可能な種々の方法及びソース化合物があるが、それらのいくつかが本明細書中で検討される。
例えば、半導体上に膜を蒸着させる化学気相成長(CVD)法において、ガス状のソース化合物が使用できる。特許文献1はシラン及びハイドロカーボンガスの使用を必要とするSiCベースの膜の製造方法を教示する。しかしながら、そのような方法においてシランガス等の極度に自然発火性のガスを使用するのは、予防のための、費用のかかる取り扱い手順を必要とする。シランガスとハイドロカーボンガスとの間には解離温度に差があるため、当該方法にはガス状混合物への水素の添加か、又は反応ガスの温度を制御するための精巧な手段も必要である。
CVD法は特許文献2又は特許文献3により記載されたように、液体ポリマーソース或いは溶媒中に溶解又は混合されたソース化合物と共に使用されてもよい。しかしながら、液体ベースのポリマーソースはたいてい、引火性又は自然発火性であり、従って特別な取り扱いを必要とする。更に、非特許文献1は48時間を超える処理時間及び24時間を超える熱分解時間が必要であると教示する。
特許文献4及び特許文献5は、化学量論的なソース化合物からの化学量論的なSiC膜の製造方法を開発している。この方法においては、2つのソース(CVD−2000TM及びCVD−4000TMは液体、引火性(引火点9℃、51℃)で、空気及び水分に感受性である。
特許文献6は、気体又は液体状のいずれかで塩素含有ソース化合物を用いるCVD法を教示する。しかしながら、塩素含有ソース化合物は水分と接触する際に腐食性且つ毒性の塩化水素蒸気を形成し、そのような材料の貯蔵、廃棄、取り扱い、及びポンピングを著しく困難にする。
ポリマーソースが溶媒中に溶解され、次いでスピン、浸漬、噴霧、スワブ、又はブラッシングにより基板に塗布されるスピンコート法が用いられている。続いて、高温、例えば1000℃以上で数時間で、基板上のソースの熱分解が起きる(特許文献7を参照)。スピンコート法における基板形状及び方向の制限に加えて、高温の熱分解により、基板として用いる材料のタイプが制限される。当該方法は、熱分解の間の溶媒ガスの放出に起因する高密度の欠陥(ボイド)、スピンコートに起因する不均一な膜厚、及び膜の収縮に起因するひび割れももたらす。
特許文献8は非化学量論的な膜を製造する、スパッタリングによる基板のコーティング方法を教示する。スパッタリング速度の増大に伴い大量の熱が生成され、例えば基板がプラスチック製の場合、基板を破壊することもあり得る。更に、スパッタリングにより製造された膜は通常水素を含まないが、これは半導体への適用にとって大変不都合である。
上記のもの等のシリコンカーバイドベースの膜は、シリコンウェハーベースの太陽電池等のシリコン半導体サンプルの、(表面不動態化としても記述される)表面再結合速度の低減のために用いられている。より良好な不動態化特性を有する膜はこれらデバイスの効率を増大させるであろう。しかしながら、これらデバイスの作製に頻繁に関与するガスが高コスト及び毒性であるために、デバイス用のそのような不動態層の製造は常に実行できるとは限らない。
米国特許第5800878号公報 米国特許第5820664号公報 米国特許第5952046号公報 米国特許第5850064号公報 米国特許第6730802号公報 米国特許第5612132号公報 米国特許第5209979号公報 米国特許第5944963号公報
M.W.Pitcher et al.,Advanced Mater.,16(8),706(2004). M.S.Aida and M.Ghrieb,Mater.Chem.and Phys.,47(1),97−100(1997). R.Riedel,A Kienzle,W.Dressler,L.Ruwisch,J.Bill,and F.Aldinger,Nature,382,796(1996). P.A.Ramakrishnan,Y.T.Wang,D.Balzar,Linan An,C.Haluschka and R.Riedel,and A.M.Hermann,Appl.Phys.Lett.,78(20),3076(2001). M.Vetter,I.Martin,A.Orpella,J.Puigdollers,C.Voz,R.Alcubilla,Thin solid Films,451−452(2004)pp.340−344. I.Martin,M.Vetter,A.Orpella,C.Voz,J.Puigdollers,and R.Alcubilla,Appl.Phys.Lett.81(23)(2002)4461−4463. M.Vetter,C.Voz,R.Ferre,I.Martin,A.Orpella,J.Puigdollers,J.Andreu,and R.Alcubilla,Thin Solid Films,511−512(2006)290−294. A.Cuevas,Solar energy Mater.Sol.Cells,71(2002)pp.295−312. I.Martin,M.Vetter,A.Orpella,and J.Puigdollers,A.Cuevas,R.Alcubilla,Appl.Phys.Lett.,79(14),(2001)pp.2199−2201. S.W.Glunz,Presented at the 4th World Conference on Photovoltaic Energy Conversion,Hawaii,May 2006. I.Martin,M.Vetter,M.Garin,A.Orpella,C.Voz,J.Puigdollers,and R.Alcubilla J.Appl.Phys.,98(2005)pp.114912. M.Vetter,I.Martin,A.Orpella,C.Voz,J.Puigdollers and R.AlcubillaMat.Res.Soc.Symp.Proc.,715(2002)pp.A24.5.1. S.Janz,S.Riepe,M.Hofmann,S.Reber,and S.Glunz,Appl.Phys.Lett.,88(2006)pp.133516. S.W.Glunz,S.Janz,M.Hofmann,T.Roth,and G.Willeke,Paper presented at the 4th World Conference on Photovoltaic Energy Conversion,Hawaii,May,2006.
発明の要旨
本発明の1つの態様によれば、基板上に膜を形成するための方法であって、加熱室中で固体有機シランソースを加熱し、固体有機シランソースの揮発性フラグメント(本明細書中、ガス状プリカーサーともいう)を形成すること;ガス状プリカーサーを、基板を含有する蒸着室に移送すること;及びエネルギー源を用いてガス状プリカーサーを反応させ、基板上に膜を形成することを含む、方法が提供される。1つの実施形態においては、当該エネルギー源はプラズマである。他の実施形態においては、移送工程はキャリアガスを用いることを含んでもよい。更に他の実施形態においては、当該方法は反応工程前にガス状プリカーサーを反応ガスと混合することを更に含んでもよく;ガス状プリカーサー及び反応ガスは、蒸着室への移送前に混合されてもよく、またガス状プリカーサー及び反応ガスは共に、蒸着室に別々に移送することもできる。なお他の実施形態においては、蒸着室はリアクター内にあり、加熱室はリアクター外にある。更に他の実施形態においては、蒸着室及び加熱室は共にリアクター内にある。
本発明の他の態様によれば、シリコンベースの半導体の表面を不動態化するための方法であって、膜を本明細書中に記載の方法により半導体の表面上に蒸着させることを含み、半導体及び蒸着膜が任意でアニールされる、方法が提供される。
本発明のなお他の態様によれば、基板上に膜を形成するための方法において用いるための、固体有機シランソースを加熱することによって生成されたガス状プリカーサーを含む容器が提供される。
付随の図面は、本発明の例示的実施形態を説明する:
図1は、a−SiCN:Hサンプルの弾性反跳粒子検出(ERD)のグラフである。 図2は、a−SiCN:Hサンプルの弾性反跳粒子検出(ERD)のグラフである。 図3は、a−SiCN:Hサンプルの弾性反跳粒子検出(ERD)のグラフである。 図4は、μ−PCD法を用いた寿命測定のアウトプットである。 図4は、μ−PCD法を用いた寿命測定のアウトプットである。 図5(a)は、Sinton法を用い、a−SiCN:Hにより不動態化したFZ Siウェハーの有効寿命測定のアウトプットである。図5(b)は、光強度の関数としての、Si基板の予想開放電圧を示すグラフである。 図5(a)は、Sinton法を用い、a−SiCN:Hにより不動態化したFZ Siウェハーの有効寿命測定のアウトプットである。図5(b)は、光強度の関数としての、Si基板の予想開放電圧を示すグラフである。 図6は、膜厚の関数としての、a−SiCN:HをコーティングしたFZ Siウェハーの有効寿命のグラフである。 図7は、ケイ素−窒素比の関数としての、a−SiCN:H膜の有効寿命のグラフである。 図8は、単一PDMSソース及びガスフローに添加したNHを用いて400℃で石英上に蒸着させたa−SiCN:H膜の光学透過スペクトルである。方法の再現性を確認するために異なるサンプルを4つ調製した。a−SiCN:H膜の厚さは、典型的には80±5nmである。 図9は、本明細書中に記載の方法により調製した膜及び先行技術の膜についての吸光係数及び波長のグラフである。 図10は、それぞれが屈折率n、n、n、n’1、n’2又はn’yを有する各主要表面上に多重光学コーティングを有する太陽電池の模式図である。
発明の詳細な説明
本発明は、基板上に膜を形成するための方法であって、加熱室中で固体有機シランソースを加熱し、ガス状プリカーサーを形成すること、ガス状プリカーサーを蒸着室へ移送すること、及びエネルギー源を用いてガス状プリカーサーを反応させ、基板上に膜を形成することを含む、方法に関する。
本発明の方法は、固体有機シランソース中のSi:C比が非化学量論的な場合でさえ、基板上に化学量論に近いSiC膜を製造し得る。固体有機シランがPDMSの場合、当該方法は、プリカーサーガス中のSi−C結合を膜蒸着に先立ってKumada転位の間に得ることができるので、必要な基板表面上でのケイ素−炭素結合形成がより少なくてもよい。他の有機シラン固体(例、ポリカルボシラン)については、当該方法は、膜蒸着に先立って揮発する有機シラン固体から得たガス状プリカーサー中にSi−C結合を提供できるので、必要な基板表面上でのケイ素−炭素結合形成がより少なくてもよい。更に当該方法はいかなる溶媒をも必要とせず、そのことにより溶媒ガスの放出に起因するひび割れ、収縮、ボイド又は多孔形成を排除する。
固体有機シランソース
固体有機シランソースは、Si、C及びH原子を含み、室温及び室内圧で固体である化合物を指す。
固体有機シランソースは、1つの実施形態においては、加熱室中での加熱の間に熱力学的に安定であるSi−C結合を含む、シリコンベースのポリマーであってもよい。1つの実施形態においては、シリコンベースのポリマーは、少なくとも1個のケイ素原子及び2個以上の炭素原子を含むモノマー単位を有する。モノマー単位は、N、O、F、B、P又はそれらの組み合わせ等の追加の元素を更に含んでもよい。他の実施形態においては、ポリマーソースはポリシラン又はポリカルボシランである。
ポリシラン化合物は、熱分解、即ち実質的に酸素分子の無い雰囲気中で加熱することにより固体ポリシランが化学的に分解されときに、ガス状有機シラン化合物を生成できる、いかなる固体ポリシラン化合物でもあり得る。1つの実施形態において、固体ポリシラン化合物は、各ケイ素が1個以上の水素原子、C−Cアルキル基、フェニル基又は−NH基で置換されている、直鎖又は分枝鎖のポリシリコンを含む。更なる実施形態においては、直鎖又は分枝鎖のポリシリコンは、少なくとも1個のケイ素原子及び1個以上の炭素原子を含む少なくとも1個のモノマー単位を有する。他の実施形態においては、直鎖又は分枝鎖のポリシリコンは、少なくとも1個のケイ素原子及び2個以上の炭素原子を含む少なくとも1個のモノマー単位を有する。
固体有機シランソースの例としては、ポリジメチルシラン(PDMS)及びポリカルボメチルシラン(PCMS)等のシリコンベースのポリマー、並びにトリフェニルシラン又はノナメチルトリシラザン等の、他の非ポリマー性の種が挙げられる。PCMSは市販されており(Sigma−Aldrich)、例えば、約800g/モル〜約2000g/モルの平均分子量を有し得る。PDMSも市販されており(Gelest,Morrisville,P.A.及びStem Chemical,Inc.,Newburyport,M.A.)、例えば、約1100〜約1700の平均分子量を有し得る。PDMSはポリカルボシランを生成できるポリマーとして知られている。PDMSをソース化合物として使用すると、(a)貯蔵及び移送に関しての取り扱いが非常に安全である、(b)空気及び水分に安定であり、産業環境中で大量に使用する場合望ましい特徴である、(c)CVD法の条件に曝されるPDMSに由来する排出流中に腐食性成分が生成されない、及び(d)PDMSは、その水素置換基によって自らの水素供給をもたらし、50℃程の低温で高密度の非晶質SiCを生じる、という点で好都合である。
他の実施形態においては、固体有機シランソースは、得られた膜において標準的な実験室的分析ツール(例、二次イオン質量分析法(SIMS)、オージェ電子分光法(AES)、X線光電子分光法(XPS))により容易に測定できる化学的な「指紋」を創出するためにその種類、量比及び濃度が使用できる、少なくとも1種の標識成分を有していてもよい。
1つの実施形態においては、固体有機シランソースは、同位体標識を含有できる。即ち固体有機シランソース中に、相対量が不自然に多い、原子種の同位体(例、C13又はC14)を少なくとも1種含有できる。これは本明細書中、合成比の同位体として言及する。
ガス状プリカーサー種の形成
1つの実施形態においては、固体有機シランソースはバッチで、或いは粉末、ペレット、ロッド又は他の固体形態として連続して加熱室に添加されてもよい。任意で、固体有機シランソースは第二の固体ポリマーと加熱室中で混合されてもよい。バッチでの添加においては、固体有機シランソース化合物は例えば、1mg〜10kgの範囲の量で添加されてもよいが、より多くの量を用いてもよい。
1つの実施形態においては、加熱室は、当該室内のガスをアルゴン又はヘリウム等の不活性ガスで置換するために、固体有機シランソースが添加された後、任意で真空下、パージされる。当該室は加熱開始前にパージでき、或いは、パージの間、又はその前に当該室内の温度を上昇させることができる。パージの間の当該室内の温度は、生成物の喪失を最少にするために、ガス状プリカーサー種が発生を開始する温度未満に保持しなければならない。
固体有機シランソースからのガス状プリカーサーの生成は、固体内での1以上の異なる反応型を包含できる熱分解工程を通して達成される。例えば固体有機シランソースの揮発(volatisation)又は固体有機シランの新たなガス状有機シラン種への分解/転位を挙げることができる当該異なる反応型は、固体有機シランソースの性質に依存するであろうし、これらの反応は、熱分解工程のために選択される温度によっても促進できる。固体有機シランソースがポリシランである実施形態については、ガス状プリカーサー種は、2007年11月27日出願の米国特許仮出願番号第60/990,447号(その開示は参照により本明細書中にその全体が組み込まれる)中に記載の通りの方法により得ることができる。
加熱室における固体有機シランソースの加熱は、電熱、UV照射、IR照射、マイクロ波照射、X線照射、電子ビーム、又はレーザービーム等により行ってもよい。
加熱室は例えば、約50℃〜約700℃、約100℃〜約700℃、約150℃〜約700℃、約200℃〜約700℃、約250℃〜約700℃、約300℃〜約700℃、約350℃〜約700℃、約400℃〜約700℃、約450℃〜約700℃、約500℃〜約700℃、約550℃〜約700℃、約600℃〜約700℃、約650℃〜約700℃、約50℃〜約650℃、約50℃〜約600℃、約50℃〜約550℃、約50℃〜約500℃、約50℃〜約450℃、約50℃〜約400℃、約50℃〜約350℃、約50℃〜約300℃、約50℃〜約250℃、約50℃〜約200℃、約50℃〜約150℃、約50℃〜約100℃、約100℃〜約650℃、約150℃〜約600℃、約200℃〜約550℃、約250℃〜約500℃、約300℃〜約450℃、約350℃〜約400℃、約475℃〜約500℃、約50℃、約100℃、約150℃、約200℃、約250℃、約300℃、約350℃、約400℃、約450℃、約500℃、約550℃、約600℃、約650℃、又は約700℃の範囲の温度に加熱する。温度がより高いと、固体有機シランソースからガス状プリカーサー化合物が生成される速度を増大させることができる。
1つの実施形態においては、加熱室は望ましい温度に到達するまで1時間当たり最大150℃の速度で加熱し、当該温度で加熱室を維持する。他の実施形態においては、熱分解が進行する最初の値にまで温度を上昇させ、次いで、例えばガス状プリカーサー化合物の混合物が生成される速度を変化させるため、又は当該室内の圧力を変化させるために、1回以上温度を変化させる。
1つの実施形態においては、加熱室内の温度及び圧力を制御し、圧力を低下させること、有機シランソースを加熱すること、又はそれらの組み合わせにより、ガス状プリカーサーの生成を促進できる。加熱室について特定の温度及び圧力の値を選択することを利用しても、得られるガス状プリカーサーの性質を制御できる。
固体有機シランソースがポリシランである実施形態においては、起こりえる熱分解反応の1つで、Si−Si架橋の形成が固体ポリシラン内にもたらされ、この反応は、通常は最高約375℃で起きる。起こりえる他の反応はKumada転位として言及されるが、これは約225℃〜約350℃の間の温度で典型的に起き、Si−Si骨格鎖がSi−C−Si骨格鎖となる。通常この反応型は不揮発性生成物の生成のために用いるが、Kumada転位では揮発性のポリカルボシランオリゴマー、シラン及び/又はメチルシランを生成できる。Kumada転位を介して生成されるガス状種の量は、不揮発性固体又は液体ポリカルボシランの生成と競合するが、そのような種の生成は、全体収率には弊害をもたらすものの、ある実施形態においては、加熱室内に残るあらゆる物質、液体又は固体が無害且つ安全なセラミック物質に変わり、ガス発生プロセスが一度終結すると当該物質のより安全な取り扱いに繋がるという点で、当該プロセスの有用な側面が証明できる。
固体有機シランがポリシランである実施形態については、加熱室内での圧力は、生成されるガス状混合物中に望ましいモル比のガス状プリカーサー化合物を提供するために、予め決められた圧力で、又は予め決められた圧力の範囲内で維持できる。一般的に、高圧(例、600〜900psi)の維持は、低分子量(例、より少数のケイ素原子)を有するガス状プリカーサー種の生成に有利に働き、一方、低圧(例、100〜250psi)の維持は、高分子量(例、より多数のケイ素原子)を有するガス状有機シリコン種の生成に有利に働く。
ガス状プリカーサー種
一般的に、ガス状プリカーサーは、固体有機シランソースの揮発性フラグメントの混合物を含む。固体有機シランプリカーサーがポリシランである実施形態においては、ガス状プリカーサー種は、ガス状有機シリコン化合物の混合物、即ち20℃且つ20psiで気相中にある、ケイ素、炭素及び水素原子を含む化合物、である。
1つの実施形態においては、ガス状有機シリコン化合物の混合物は、ガス状シラン、ガス状ポリシラン、又はガス状ポリカルボシランから選択される1種以上のガスを含む。他の実施形態においては、混合物内に生成されるガス状有機シリコン化合物の実質的に全てが、1〜4個のケイ素原子を含む。ガス状シランは単一のケイ素原子を含む化合物を意味し、ガス状ポリシランは、2個以上のケイ素原子を含む化合物であって、ケイ素原子が共有結合している(例、Si−Si)化合物を意味し、ガス状ポリカルボシランは、2個以上のケイ素原子を含む化合物であって、ケイ素原子のうちの少なくとも2個が非ケイ素原子を介して連結されている(例、Si−CH−Si)化合物を意味する。
更なる実施形態においては、ガス状有機シリコン化合物は式:
Si(CH(H)−[(CH)−Si(CH(H)−Si(CHn’(H)m’(式中、n、m、n’及びm’は独立に0〜3の整数を表すが、n+m=3且つn’+m’=3であり、p及びqは独立に0〜2の整数を表すが、各ケイ素原子についてp+q=2であり、xは0〜3の整数である)
のガス状ポリカルボシランであり得る。
ガス状シラン及びガス状ポリカルボシランの例としては、シラン、ジメチルシラン(dimethyl)、トリメチルシラン、テトラメチルシラン、[Si(CH)(H)]−CH−[Si(CH(H)]、[Si(CH(H)]−CH−[Si(CH(H)]、[Si(CH]−CH−[Si(CH(H)]、[Si(CH(H)]−CH−[Si(CH]−CH−[Si(CH]、[Si(CH)(H)]−CH−[Si(CH]−CH−[Si(CH)(H)]、[Si(CH)(H)]−CH−[Si(CH]−CH−[Si(CH(H)]、[Si(CH(H)]−CH−[Si(CH]−CH−[Si(CH(H)]、[Si(CH(H)]−CH−[Si(CH]−CH−[Si(CH]−CH−[Si(CH(H)]、[Si(CH)(H)]−CH−[Si(CH]−CH−[Si(CH]−CH−[Si(CH(H)]、[Si(CH)(H)]−CH−[Si(CH]−CH−[Si(CH]−CH−[Si(CH)(H)]、及び[Si(H)]−CH−[Si(CH]−CH−[Si(CH]−CH−[Si(CH)(H)]が挙げられる。
ガス状プリカーサー形成後は、即使用してもよいし、又は後に使用するために適切な温度及び圧力の条件下で貯蔵してもよい。加熱室はリアクター外にあってもよいので、当該プロセスはこの段階で中断してもよい。
反応ガスの添加
加熱後、形成されたガス状プリカーサーは、加熱室中、蒸着室中又はガス混合ユニット中で反応ガスと混合してもよい。1つの実施形態においては、反応ガスは市販のガスの形態でもよく、当該ガスは当該システムに直接提供される。他の実施形態においては、反応ガスは、N、O、F、B、P、又はそれらの組み合わせ等の任意の数の元素を含む固体又は液体ソースを加熱することにより生成される。
例えば、反応ガスは、トリフェニルホスフィン(CP等の、リンを含む固体ソース;トリス(ピラゾール−1−イル)メタン)等の、窒素を含む固体ソース;又はt−ブチルアミンボラン(CHCNH:BH、ホウ酸トリエタノールアミンB(OCHCHN、ジメチルアミンボラン(CHNH:BH、又はトリフェニルホウ素B(C等の、ホウ素を含む固体ソースを加熱することにより生成してもよい。特許文献2は、(CPディスク存在下、Si標的のRFスパッタリングにより調製したa−SiCのドープ用の良好なホスフィンソースとしての、トリフェニルホスフィン(CPの使用を報告した。
他の実施形態においては、反応ガスはジフルオロベンゼン(C)等の、フッ素を含む液体ソース;トリエチルホスフィン(CP、ジメチルフェニルホスフィン(CH(C)P、又はトリス(トリメチルシリル)ホスフィン[(CHSi]P等の、リンを含む液体ソース;或いはトリス(トリメチルシロキシ)ホウ素[(CHSiO]B等の、ホウ素を含む液体ソースを加熱することにより生成してもよい。非特許文献3は、ポリマーソース、トリス[[ジクロロメチルシリル]エチル]ホウ素)を用いたSiCNセラミックのドープを報告し、特許文献4は、ポリヒドリドメチルシラザン(polyhydridomethlsilazane)(NCP 200TM)及びトリス[[ジクロロメチルシリル]エチル]ホウ素ポリマープリカーサーの、SiCNセラミック用p型ドーパントとしての使用を報告した。
なお他の例においては、反応ガスは、N、NH、又はNCl等の窒素ベースのガス;CO、O、O、CO等の酸素ベースのガス;CF、C、CH、NF、C、C、CHF、C、C、又はそれらの組み合わせ等のフッ素ベースのガス;BH、B、BCl、BCl等のホウ素ベースのガス;或いはPH又はPCl等のリンベースのガスであってもよい。
1つの実施形態においては、反応ガスはAl、B、Ge、Ga、P、As、N、In、Sb、S、Se、Te、In及びSbも含んでもよい。
加熱室及び蒸着室の構成
本発明の方法は、加熱室及び蒸着室;加熱室、ガス混合ユニット及び蒸着室;加熱室、ガス混合ユニット及び複数の蒸着室;又は複数の加熱室、ガス混合ユニット及び少なくとも1つの蒸着室等の、種々のシステム構成により実施(carried)し得る。好ましい実施形態においては、蒸着室はリアクター内にあり、加熱室はリアクター外にある。
ハイスループットの構成のためには、複数ユニットの加熱室を一体化してもよい。複数ユニットの構成中の各加熱室は比較的サイズが小規模でもよく、それで機械的構成が簡素で且つ信頼性のあるものになる。同様のスループットを有する従来のより大きなリアクターと同様のコストとなるよう、全ての加熱室が共通のガス搬出、排気及び制御システムを提供してもよい。理論上は、1システムに一体化してもよいリアクター数には制限はない。
本発明の方法では、過程で要求される適切な流速をより正確に搬出するために、一定の質量フローか、又は圧力の制御装置を利用してもよい。ガス状プリカーサーは連続フローか、又はパルスフローで蒸着室に移送されてもよい。
本発明の方法では、ある実施形態においては、ソース蒸気が凝縮したり、又はソースがより早期に分解したりするのを防ぐために配管ラインの加熱が不可欠な、液体ソースCVD法における多くの場合のような、配管の特別な加熱を必要とすることなしに、定番の配管を利用し得る。
蒸着室
膜形成を所望する場合、基板は蒸着室中に設置され、十分な低圧にまで減圧されて、ガス状プリカーサー及び任意で反応ガス及びキャリアガスが、連続的又はパルス状に導入される。蒸着を達成するために選択したエネルギー源が、選択した圧力で使用できる限り、あらゆる圧力が選択できる。例えばプラズマをエネルギー源として用いる場合、プラズマが形成できるあらゆる圧力が好適である。本発明の実施形態においては、圧力は、約50〜約500mTorr、約100〜約500mTorr、約150〜約500mTorr、約200〜約500mTorr、約200〜約500mTorr、約250〜約500mTorr、約300〜約500mTorr、約350〜約500mTorr、約400〜約500mTorr、約450〜約500mTorr、約50〜約450mTorr、約50〜約400mTorr、約50〜約350mTorr、約50〜約300mTorr、約50〜約250mTorr、約50〜約200mTorr、約50〜約150mTorr、約50〜約100mTorr、約100〜約450mTorr、約150〜約400mTorr、約200〜約350mTorr、約250〜約300mTorr、約50mTorr〜約5Torr、約50mTorr〜約4Torr、約50mTorr〜約3Torr、約50mTorr〜約2Torr、約50mTorr〜約1Torr、約50mTorr、約100mTorr、約150mTorr、約200mTorr、約250mTorr、約300mTorr、約350mTorr、約400mTorr、約450mTorr、約500mTorr、約1Torr、約2Torr、約3Torr、約4Torr、又は約5Torrであり得る。
基板は、例えば、約25〜約500℃、約50〜約500℃、約100〜約500℃、約150〜約500℃、約200〜約500℃、約250〜約500℃、約300〜約500℃、約350〜約500℃、約400〜約500℃、約450〜約500℃、約25〜約450℃、約25〜約400℃、約25〜約350℃、約25〜約300℃、約25〜約250℃、約25〜約200℃、約25〜約150℃、約25〜約100℃、約25〜約50℃、約50〜約450℃、約100〜約400℃、約150〜約350℃、約200〜約300℃、約25℃、約50℃、約100℃、約150℃、約200℃、約250℃、約300℃、約350℃、約400℃、約450℃、又は約500℃の範囲の温度で保持される。
エネルギー誘導性の化学気相成長法(CVD)を行うためのいずれのシステムも、本発明の方法に用いてもよい。当業者は他の好適な装置を認識するであろう。市販のコーティングされた太陽電池用に用いる種々のPECVD蒸着ツール用の典型的な装置、ガスフロー要件及び他の蒸着設定は、参照により内容を本明細書に内包するTrue Blue,Photon International,March 2006 pages 90−99中に見出すことができる。
蒸着室中のエネルギー源は例えば、電熱、熱フィラメントプロセス、UV照射、IR照射、マイクロ波照射、X線照射、電子ビーム、レーザービーム、プラズマ又はRFであってもよい。好ましい実施形態においては、エネルギー源はプラズマである。
例えば、好適なプラズマ蒸着法は、プラズマ化学気相成長法(PECVD)、高周波プラズマ化学気相成長法(RF−PECVD)、エレクトロン−サイクロトロン共鳴プラズマ化学気相成長法(ECR−PECVD)、誘導結合プラズマ化学気相成長法(ICP−ECVD)、プラズマビームソースプラズマ化学気相成長法(PBS−PECVD)又はそれらの組み合わせであり得る。更に、集積回路又は半導体ベースのデバイス製造において用いるのに好適な他のタイプの蒸着法も用いてもよい。
基板
基板上での膜形成は比較的低温で起こるので、種々の広範な基板材料を用いてもよい。基板用に好適な材料は例えば、金属及び無機の材料、元素のケイ素、シリコンカーバイド、シリコンナイトライド、アルミナ、石英、ガラス又はプラスチック等のカーボン及びセラミック材料、並びにフッ化炭素ポリマー又はポリアミド樹脂等の耐熱合成樹脂であり得る。1つの実施形態においては、基板はFZ Si(100)ウェハーである。
本発明の膜は、特にシリコンから製造される太陽電池に適用できる。これに関連して、当該膜は非晶質、結晶、又は多結晶のシリコン、及びn型ドープされたシリコン、p型ドープされたシリコン、又は真性シリコンに適用できる。反射防止コーティングとして用いる場合、当該膜は、n型ドープされたか、及び/又はp型ドープされた太陽電池の外部表面に適用でき、これら表面からの反射を最適に最少化し、当該膜中の(0.of)光吸収を1%未満に減少させる。

基板上に形成された膜は、化学式Si(式中、x及びyは例えば、約0.2〜約0.8、約0.3〜約0.8、約0.4〜約0.8、約0.5〜約0.8、約0.6〜約0.8、約7〜約0.8、約0.2〜約0.7、約0.2〜約0.6、約0.2〜約0.5、約0.2〜約0.4、約0.2〜約0.3、約0.3〜約0.7、約0.4〜約0.6、約0.2、約0.3、約0.4、約0.5、約0.6、約0.7、又は約0.8であり得る)を有してもよい。好ましい実施形態においては、x及びyは約0.5である。当該膜は、N、O、F、B、P又はそれらの組み合わせ等の他の元素を更に含んでもよい。
1つの実施形態においては、当該膜は、シリコンカーバイド(SiC)、シリコンカルボフルオライド(SiCF)、シリコンカルボナイトライド(SiCN)、シリコンオキシカーバイド(SiOC)、シリコンオキシカルボナイトライド(SiOCN)、シリコンカルボボライド(SiCB)、シリコンカルボニトロボライド(SiCNB)、シリコンカルボホスファイド(SiCP)、又はそれらの組み合わせであってもよい。当該膜は多層であってもよく、又は組成のグラジエントを有してもよい(例、膜内での深さが異なれば酸素濃度が変わるシリコンオキシカルボナイトライド膜)。
蒸着の間に用いるエネルギーがプラズマである実施形態(例、PE−CVD)については、x及びyの値は、(1)プラズマの発生、(2)基板温度、(3)リアクターの電力及び周波数、(4)蒸着室に導入するガス状プリカーサーの種類及び量、及び(5)ガス状プリカーサー及び反応ガスの混合比、についての条件を好適に選択することによって調節してもよい。
例えば、シリコンカーバイド層のケイ素:炭素比は、RF電力の関数として可変であるという点で、調節可能である。当該ケイ素:炭素比は、約1:2〜約2:1の範囲であり得る。例えば、RF電力900Wで形成するシリコンカーバイド層におけるケイ素:炭素比は、約0.94:1であるが、RF電力400Wで形成するシリコンカーバイド層のケイ素:炭素比は、約1.3:1である。RF電力約700Wでは化学量論的なシリコンカーバイド層が形成され得る。
ケイ素:炭素比は基板温度の関数としても可変である。より具体的には基板温度が上昇するにつれ、蒸着するシリコンカーバイド層中のケイ素:炭素比は低下する。
ケイ素:炭素比はSiC層形成の間のガス混合物組成の関数としても調節可能である。
本明細書中に記載の方法により製造される膜は、優良な不動態化、低機械ストレス、低吸光係数及び調節可能な屈折率等の、向上した特性を有する。
これらの向上した特性は、太陽電池効率にネガティブに影響する制限のうちのいくつかを最少にするために使用でき、この制限には、前表面反射;光学的損失(例、特に短波長領域におけるランダムテクスチャの表面に起因するもの);並びにランダムテクスチャ、SiO AR、金属化設計及び金属接点における吸光等に起因するもの等の、内部寄生的な損失が挙げられる。
これら膜は光学コーティングとして(例、傷防止及び/又は反射防止コーティングとして)も使用してもよい。
不動態化
本発明は、本明細書中に記載の方法により調製される膜を用いる半導体表面の不動態化にも関する。これら膜はN型及びP型材料両方の不動態化に使用できる。
当該膜は絶縁体−半導体界面での表面生成及び再結合効果を低減するための不動態層として使用できる。これら膜の適用により半導体基板のバルク寿命も増加させることができる。そのような増加はバルク寿命が短い(例、100μsを下回るバルク寿命)半導体材料に関してより顕著である。バルク寿命が増加する理由は、蒸着の間に存在する(ガス状プリカーサー及び任意の反応ガス由来の)水素量に起因し得、当該水素が半導体のバルク中に拡散してバルクの欠陥を不動態化し、従ってバルク寿命を向上させ得る。アニール等の蒸着後の過程の間にダングリングボンド不動態化のソースとして作用する相当量の水素を含有する膜を有することも好都合である。
当該技術において公知の膜は良好な不動態化結果をもたらすことができるが、本明細書中に記載の技法により製造する膜は、予想外に良い不動態化結果を提供する。高C:Si含量を有するプリカーサーでは、膜中大量のC−C又はC=C結合(当該結合により不動態化性能が劣化することが知られる)を有する膜がもたらされると予期されるが、本発明の方法は、得られる膜中のC−Si結合の存在を助長する一方、高C:Si含量を提供する。
膜厚及びSi/N比に関するマイノリティの有効寿命を、図6及び7中にそれぞれ示す。
本明細書中に記載の方法により製造される多層構造を、入射光を拡散させるために太陽電池前表面をテクスチャ化する複雑な工程の代わりにしてもよい。太陽電池前部のテクスチャ化により物理的な欠陥が形成され得るが、当該欠陥は半導体表面での再結合効果を促進する。テクスチャ化欠陥の除去と不動態層の存在とを組み合わせることにより、得られる基板の不動態性能をより良好にすることとなる。
当該不動態層は、その半導体デバイスの上部側及び/又は下部側との界面を改良するために任意でアニールでき、結晶学的欠陥密度を減少させるか、トラップ状態密度を減少させるか、又は熱アニールの他の周知の恩恵を獲得することができる。高速熱アニール(RTA)、高温ガスアニール、ベルト炉アニール又は等温アニールといった手段によって、(他の多くのアニール法も好適且つ周知ではあるが)最も一般的にアニールが達成される。アニールは不動態膜の蒸着の間及び/又はその後に、行うことができる。
低吸光係数
不動態薄膜の吸光が高いと、短絡電流の損失がもたらされ、それが今度は太陽電池の効率を低下させ得る。低吸収性の不動態薄膜では太陽電池の効率が上昇すると期待される。更に、特にUV領域の吸収により、当該UV光の高エネルギーに起因して太陽電池の加熱が早まる。そのような加熱で太陽電池の寿命が低下し得る。更に、UV光吸収により電池の劣化がもたらされ得る。
例示的な膜の可視光スペクトル中の光の透過率を図8中に示す。本明細書中に記載の方法により製造されるa−SiCN:H膜は、多くのSiC、SiN及びSiCN膜と比べて1〜2桁規模の吸光係数の減少を示す(図9)。
調節可能な屈折率
本明細書中に記載の方法を用いて、半導体表面に蒸着した不動態膜中の元素濃度を調節することが可能であり、従って当該膜の屈折率の調節が可能である。例えば、当該膜中の炭素濃度を最少化し、そして窒素、酸素、又はその両方の濃度を最大化することにより、シリコンナイトライド、シリコンオキサイド又はシリコンオキシナイトライドの屈折率に類似する屈折率を有する膜が調製でき、調製された膜について広範囲の屈折率が実現できる。例えば、一回の蒸着でO又はNをPDMSフロー流(flow stream)に導入することが実現可能で、それにより屈折率を1.5〜2.3に調整できる。屈折率の調節は膜の反射率に影響し得るので、このような調節は有益であることが証明できる。
一定の屈折率を有する1以上の膜層の追加により、又は屈折率のグラジエントを有する1の膜層の追加により、屈折率の変動(低下及び上昇)が実現できる。
本明細書中に記載の方法による多層構造の蒸着は、不動態化及び反射防止特性に関して、蒸着過程のパラメータ及び各層の厚さの変動により最適化され得る。
グラジエントがかかった膜層、即ち段階的な屈折率を有する層も、本明細書中に記載の方法を用いて調製できる。例えば蒸着室への、酸素又は窒素を含む反応ガスの濃度を上昇させることにより、当該層中の当該原子濃度を上昇させ得る。そのような濃度は1回の蒸着の間に連続的に調整できるので、当該層の屈折率をその厚さを通じて変化させることができる。
例えば、前部の反射防止材料は、酸素及び窒素の濃度変化を伴う、シリコンカーバイド(例、シリコンカルボナイトライド、シリコンオキシカーバイド及びシリコンオキシカルボナイトライド)の多層膜により調製できる。
グラジエントがかかった膜又は多層膜は、表面不動態化を増進させる一方、太陽電池背面の反射を増大させるためにも利用できる。太陽電池のための現行の製造ソリューション(manufacturing solution)では、背面コーティングなしに、シリコンに対して直接レアメタルを接触させる。当該メタルの存在により確かに表面不動態化効果があるが、性能を向上させるため、電池背面に本明細書中に記載の通りの不動態層を追加してもよい。
更に、入射光の背面反射を最適化し、光が吸収接合(absorption junction)と2回交差することを可能にするためにも、太陽電池背面へのグラジエント又は多層コーティングの塗布を利用できる。背面反射鏡は、屈折率が段階的な膜又は多層膜を太陽電池背面上に塗布することによって得ても良い(電池の近くは屈折率がより小さく、電池から遠いと屈折率はより大きい)。
以下、実施例を提供して本発明を説明する。しかしながら、各実施例中で与える具体的な詳細は説明の目的で選択されたものであり、本発明の範囲を限定するものと解釈すべきでないことが理解されるであろう。
以下の実施例中で膜を蒸着させるために用いたPECVDツールは、Applied Materials(Plasma II model)により製造された。このPECVDツールは平行なプレート形状を有する。プラズマは、システムの電極間に40KHzのAdvanced Energy PE−2500パワーサプライから電力を印加することにより発生させる。
基板電極の温度は室温から450℃(450C)まで調節でき、作業圧力はガスフロー及び/又はポンピング速度を調節することにより、約200ミリTorrから3Torrまで変えることができる。
実施例1−化学量論的a−SiC(ソースはPDMS)
直径4インチの単結晶型半導体シリコンウェハーをPECVDシステム中、接地した電極上に置き、電極中に組み込まれたヒーターにエネルギーを与えることにより300℃で加熱した。次いで真空ポンプを作動させることにより蒸着室を減圧した。蒸着室内側の圧力が0.05Torrに到達した時点で、PDMS蒸気を、蒸着室内側の圧力が継続的な蒸気の導入及び減圧のバランスにより0.125Torrに保持されるような速度で、その中へ導入した。周波数40KHz、600ワットの高周波電力を電極間に4分間供給して蒸着室内にプラズマを発生させ、それに電極上のシリコンウェハーを曝した。
蒸着室から取り出した後、純粋に近い状態で式Si0.50.5を有する非晶質シリコンカーバイド膜でコーティングされたシリコンウェハーが見出された。当該膜の厚さは0.1μmであった。
実施例2−プラスチック上のa−SiC(ソースはPDMS)
装置の接地した電極上に5cm×5cmのプラスチックプレートを加熱せずに置いた。真空ポンプを作動させることにより蒸着室を減圧した。蒸着室内側の圧力が0.05Torrに到達した時点でPDMS蒸気を、蒸着室内側の圧力が継続的な蒸気の導入及び減圧のバランスにより0.40Torrに保持されるような速度で、その中へ導入した。周波数40KHz、750ワットの高周波電力を電極間に20分間供給して蒸着室内にプラズマを発生させ、それに電極上のプラスチックプレートを曝した。プラズマ加熱のため、基板温度は75℃に上昇した。
蒸着室から取り出した後、純粋に近い状態で式Si0.50.5を有する淡黄色の非晶質シリコンカーバイド膜でコーティングされたプラスチックプレートが見出された。当該膜の厚さは0.2μmであった。
実施例3−a−SiCN(ソースはPDMS+N
500sccmのNガスをPDMS蒸気流に添加して、実施例1中に記載の通り前記方法を実施した。PDMS及びNのトータルフローを調節し、蒸着室内側で0.38Torrの圧力を保持した。蒸着の持続時間は15分であり、基板温度は300℃であった。
蒸着室から取り出した後、純粋に近い状態で式Si0.40.30.3を有する非晶質シリコンカルボナイトライド膜でコーティングされたシリコンウェハーが見出された。当該膜の厚さは0.280μmであった。
実施例4−a−SiCN(ソースはPDMS+NH
500sccmのNHガスをPDMS蒸気流に添加して、実施例1中に記載の通り前記方法を実施した。PDMS及びNHのトータルフローを調節し、蒸着室内側で0.38Torrの圧力を保持した。蒸着の持続時間は30分であり、基板温度は300℃であった。
蒸着室から取り出した後、純粋に近い状態で式Si0.40.150.45を有する非晶質シリコンカルボナイトライド膜でコーティングされたシリコンウェハーが見出された。当該膜の厚さは0.300μmであった。
実施例5−a−SiCF(ソースはPDMS+CF
100sccmのCFガスをPDMS蒸気流に添加して、実施例1中に記載の通り前記方法を実施した。PDMS及びCFのトータルフローを調節し、蒸着室内側で0.44Torrの圧力を保持した。蒸着の持続時間は10分であり、基板温度は300℃であった。
蒸着室から取り出した後、純粋に近い状態で式Si0.40.50.1を有する非晶質シリコンカルボフルオライド膜でコーティングされたシリコンウェハーが見出された。当該膜の厚さは0.100μmであった。
実施例6−a−SiOC(ソースはPDMS+CO
50sccmのCOガスをPDMS蒸気流に添加して、実施例1中に記載の通り前記方法を実施した。PDMS及びCOのトータルフローを調節し、蒸着室内側で0.40Torrの圧力を保持した。蒸着の持続時間は15分であり、基板温度は300℃であった。
蒸着室から取り出した後、純粋に近い状態で式Si0.450.40.15を有する非晶質シリコンオキシカーバイド膜でコーティングされたシリコンウェハーが見出された。当該膜の厚さは0.250μmであった。
表2及び3に、実施例1〜6の蒸着条件及び膜組成を集約する。
実施例7−膜の不動態化及び反射防止特性
本明細書中に記載の方法により、表4中に提示する蒸着条件を用いて、FZ Si(100)ウェハー上へ例示的な膜を蒸着させて、それらの不動態化及び反射防止特性を研究した。表4中の例示的な膜の組成はXPS(表5)及び弾性反跳粒子検出法(ERD)(図1〜3)により決定した。
例示的な膜中のマイノリティキャリアの有効寿命を評価するために、2つの技法:(1)SEMILAB Semiconductor Physics Laboratory,Inc.により開発されたマイクロ波光伝導減衰(μ−PCD)及び(2)Sinton Consulting, Inc.により開発されたWCT−120機器を用いる準定常状態光伝導(QSSPC)、を用いた。当該2技法の結果は、各技法によるサンプル測定により、±5%以内で同等であることがわかった(図4、5(a)及び5(b))。
SiCN:Hで不動態化した4インチのFZ Si(100)ウェハーに関して最長2500μsまでQSSPC法を用いて寿命を測定した(図4)。本明細書中に記載の方法により製造した不動態化膜の寿命は、当該技術において見られるものよりも予想外に良好である(表6を参照)。
以上の結果から、ガスフローにNHを添加すると、a−SiCN:Hで不動態化した4インチのFZ Si(100)ウェハーの測定寿命の増加につながることが理解できるが、このことは不動態化効果が窒素及び/又は水素原子の存在(即ちフリー結合の飽和)により変わり得ることを証明している。
明瞭な理解を目的とした図解及び例示のつもりで前記発明を幾分詳しく記載してきたが、本発明の教示を踏まえれば、添付した特許請求の範囲の精神又は範囲から逸脱することなく、それを幾分変更及び修正し得ることは当業者にとって容易に明らかなことである。
本明細書においていずれの刊行物、特許又は特許出願を引用しても、当該刊行物、特許又は特許出願が先行技術であると認めるわけではない。
本明細書中及び添付の特許請求の範囲中で使用する場合、文脈に明らかな別段の表示がない限り、単数形の“a”“an”及び“the”は複数形の参照を含むことに留意しなければならない。
別段の明示ない限り、本明細書中で使用する技術用語及び科学用語は全て、本発明が属する分野における当業者に一般に理解される意味と同じ意味を有する。
文献
1.Yao,U.S.Patent No.5,800,878.
2.Gardiner et al.,U.S.Patent No.5,820,664.
3.Chayka,U.S.Patent No.5,952,046.
4.M.W.Pitcher et al.,Advanced Mater.,16(8),706(2004).
5.Goldstein,U.S.Patent No.5,850,064 and Shen et al.,U.S.Patent No.6,730,802.
6.Goela et al.,U.S.Patent No.5,612,132.
7.Moehle et al.,U.S.Patent No.5,209,979.
8.Ruppel et al.,U.S.Patent No.5,944,963.
9.M.S.Aida and M.Ghrieb,Mater.Chem.and Phys.,47(1),97−100(1997).
10.R.Riedel,A Kienzle,W.Dressler,L.Ruwisch,J.Bill,and F.Aldinger,Nature,382,796(1996).
11.P.A.Ramakrishnan,Y.T.Wang,D.Balzar,Linan An,C.Haluschka and R.Riedel,and A.M.Hermann,Appl.Phys.Lett.,78(20),3076(2001).
12.M.Vetter,I.Martin,A.Orpella,J.Puigdollers,C.Voz,R.Alcubilla,Thin solid Films,451−452(2004)pp.340−344.
13.I.Martin,M.Vetter,A.Orpella,C.Voz,J.Puigdollers,and R.Alcubilla,Appl.Phys.Lett.81(23)(2002)4461−4463.
14.M.Vetter,C.Voz,R.Ferre,I.Martin,A.Orpella,J.Puigdollers,J.Andreu,and R.Alcubilla,Thin Solid Films,511−512(2006)290−294.
15.A.Cuevas,Solar energy Mater.Sol.Cells,71(2002)pp.295−312.
16.I.Martin,M.Vetter,A.Orpella,and J.Puigdollers,A.Cuevas,R.Alcubilla,Appl.Phys.Lett.,79(14),(2001)pp.2199−2201.
17.S.W.Glunz,Presented at the 4th World Conference on Photovoltaic Energy Conversion,Hawaii,May 2006.
18.I.Martin,M.Vetter,M.Garin,A.Orpella,C.Voz,J.Puigdollers,and R.Alcubilla J.Appl.Phys.,98(2005)pp.114912.
19.M.Vetter,I.Martin,A.Orpella,C.Voz,J.Puigdollers and R.AlcubillaMat.Res.Soc.Symp.Proc.,715(2002)pp.A24.5.1.
20.S.Janz,S.Riepe,M.Hofmann,S.Reber,and S.Glunz,Appl.Phys.Lett.,88(2006)pp.133516.
21.S.W.Glunz,S.Janz,M.Hofmann,T.Roth,and G.Willeke,Paper presented at the 4th World Conference on Photovoltaic Energy Conversion,Hawaii,May,2006.

Claims (36)

  1. 基板上に膜を形成するための方法であって:
    加熱室中で固体有機シランソースを加熱し、ガス状プリカーサーを形成すること;
    ガス状プリカーサーを、基板を含有する蒸着室へ移送すること;及び
    エネルギー源を用いてガス状プリカーサーを反応させ、基板上に膜を形成すること
    を含む、方法。
  2. エネルギー源が、電熱、UV照射、IR照射、マイクロ波照射、X線照射、電子ビーム、RF、又はプラズマである、請求項1に記載の方法。
  3. エネルギー源がプラズマである、請求項1に記載の方法。
  4. 膜が、プラズマ化学気相成長法(PECVD)、高周波プラズマ化学気相成長法(RF−PECVD)、エレクトロン−サイクロトロン共鳴プラズマ化学気相成長法(ECR−PECVD)、誘導結合プラズマ化学気相成長法(ICP−ECVD)、プラズマビームソースプラズマ化学気相成長法(PBS−PECVD)又はそれらの組み合わせにより基板上に形成される、請求項3に記載の方法。
  5. 加熱室が50〜700℃の範囲の温度に加熱される、請求項1〜4のいずれか1項に記載の方法。
  6. 加熱室が475〜500℃の範囲の温度に加熱される、請求項1〜4のいずれか1項に記載の方法。
  7. 基板が25〜500℃の範囲の温度である、請求項1〜6のいずれか1項に記載の方法。
  8. ガス状プリカーサーが連続フローで蒸着室に移送される、請求項1〜7のいずれか1項に記載の方法。
  9. ガス状プリカーサーがパルスフローで蒸着室に移送される、請求項1〜7のいずれか1項に記載の方法。
  10. 蒸着室がリアクター内にあり、加熱室がリアクター外にある、請求項1〜9のいずれか1項に記載の方法。
  11. 蒸着室及び加熱室が共にリアクター内にある、請求項1〜9のいずれか1項に記載の方法。
  12. 固体有機シランソースが、シリコンベースのポリマーである、請求項1〜11のいずれか1項に記載の方法。
  13. シリコンベースのポリマーが、加熱室における加熱の間に熱力学的に安定であるSi−C結合を含む、請求項12に記載の方法。
  14. シリコンベースのポリマーが、少なくとも1個のケイ素原子及び2個以上の炭素原子を含むモノマー単位を有する、請求項12又は13に記載の方法。
  15. モノマー単位が、N、O、F、B、P又はそれらの組み合わせを更に含む、請求項14に記載の方法。
  16. 固体有機シランソースが、ポリジメチルシラン、ポリカルボメチルシラン、トリフェニルシラン、又はノナメチルトリシラザンである、請求項1〜11のいずれか1項に記載の方法。
  17. 固体有機シランソースが、合成比の同位体を含む、請求項1〜16のいずれか1項に記載の方法。
  18. 膜が、シリコンカーバイド(SiC)、シリコンカルボフルオライド(SiCF)、シリコンカルボナイトライド(SiCN)、シリコンオキシカーバイド(SiOC)、シリコンオキシカルボナイトライド(SiOCN)、シリコンカルボボライド(SiCB)、シリコンカルボニトロボライド(SiCNB)、シリコンカルボホスファイド(SiCP)、又はそれらの組み合わせを含む、請求項1〜17のいずれか1項に記載の方法。
  19. 反応工程前にガス状プリカーサーを反応ガスと混合することを更に含む、請求項1〜18のいずれか1項に記載の方法。
  20. 反応ガスが、CF、C、CH、NF、C、C、CHF、C、C、又はそれらの組み合わせである、請求項19に記載の方法。
  21. 反応ガスが、N、NH、又はNClである、請求項19に記載の方法。
  22. 反応ガスが、O、O、CO、又はCOである、請求項19に記載の方法。
  23. 反応ガスが、BH、BCl、B、又はBClである、請求項19に記載の方法。
  24. 反応ガスが、PH又はPClである、請求項19に記載の方法。
  25. 反応ガスが、ジフルオロベンゼンを加熱することによって形成される、請求項19に記載の方法。
  26. 反応ガスが、トリフェニルホスフィン、トリエチルホスフィン、ジメチルフェニルホスフィン、又はトリス(トリメチルシリル)ホスフィンを加熱することによって形成される、請求項19に記載の方法。
  27. 反応ガスが、トリス(ピラゾール−1−イル)メタンを加熱することによって形成される、請求項19に記載の方法。
  28. 反応ガスが、t−ブチルアミンボラン、ホウ酸トリエタノールアミン、ジメチルアミンボラン、又はトリス(トリメチルシロキシ)ホウ素を加熱することによって形成される、請求項19に記載の方法。
  29. 移送工程がキャリアガスを用いることを含む、請求項1〜28のいずれか1項に記載の方法。
  30. キャリアガスが、He、Ar、Ne、又はそれらの組み合わせである、請求項29に記載の方法。
  31. 請求項1〜30のいずれか1項に記載の方法から得られた膜。
  32. シリコンベースの半導体の表面を不動態化するための方法であって、請求項1〜30のいずれか1項に記載の方法により半導体の表面上に膜を蒸着させることを含む、方法。
  33. 膜が、シリコンカーバイド(SiC)、シリコンカルボフルオライド(SiCF)、シリコンカルボナイトライド(SiCN)、シリコンオキシカーバイド(SiOC)、シリコンオキシカルボナイトライド(SiOCN)、シリコンカルボボライド(SiCB)、シリコンカルボニトロボライド(SiCNB)、シリコンカルボホスファイド(SiCP)、又はそれらの組み合わせを含む、請求項32に記載の方法。
  34. 蒸着させた後に半導体をアニールする更なる工程を含む、請求項32又は33に記載の方法。
  35. アニールが、高速熱アニール、高温ガスアニール、ベルト炉アニール又は等温アニールである、請求項34に記載の方法。
  36. 請求項1〜30のいずれか1項に記載の方法において用いるための、固体有機シランソースを加熱することによって生成されたガス状プリカーサーを含む容器。
JP2009551084A 2007-02-27 2008-02-27 基板上に膜を形成するための方法 Pending JP2010519773A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US89179007P 2007-02-27 2007-02-27
US97144207P 2007-09-11 2007-09-11
PCT/CA2008/000357 WO2008104059A1 (en) 2007-02-27 2008-02-27 Method for forming a film on a substrate

Publications (1)

Publication Number Publication Date
JP2010519773A true JP2010519773A (ja) 2010-06-03

Family

ID=39720808

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009551084A Pending JP2010519773A (ja) 2007-02-27 2008-02-27 基板上に膜を形成するための方法

Country Status (9)

Country Link
US (1) US20100129994A1 (ja)
EP (1) EP2122007A4 (ja)
JP (1) JP2010519773A (ja)
KR (1) KR20090121361A (ja)
CN (1) CN101675180A (ja)
AU (1) AU2008221198A1 (ja)
CA (1) CA2670809A1 (ja)
TW (1) TW200842950A (ja)
WO (1) WO2008104059A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011192902A (ja) * 2010-03-16 2011-09-29 Taiyo Nippon Sanso Corp 層間絶縁膜の成膜方法および層間絶縁膜
WO2013065315A1 (ja) * 2011-11-02 2013-05-10 国立大学法人山口大学 窒素がドープされたアモルファスシリコンカーバイドよりなるn型半導体及びn型半導体素子の製造方法
JP2013539225A (ja) * 2010-09-22 2013-10-17 ダウ コーニング コーポレーション 電子物品及びその形成方法
JP2018516233A (ja) * 2015-03-31 2018-06-21 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法
JP2018532272A (ja) * 2015-10-15 2018-11-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 共形ドーパント堆積を使用した3d si構造における共形ドーピング
JP2020532871A (ja) * 2017-09-03 2020-11-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 共形ドーパント膜堆積を使用した、3d構造体の共形ハロゲンドーピング

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
TW200922942A (en) * 2007-11-27 2009-06-01 Sixtron Advanced Materials Inc Methods and apparatus for forming gaseous organosilicon compounds
WO2009143618A1 (en) * 2008-05-28 2009-12-03 Sixtron Advanced Materials, Inc. Silicon carbide-based antireflective coating
JP5470633B2 (ja) 2008-12-11 2014-04-16 国立大学法人東北大学 光電変換素子及び太陽電池
DE102009026249B4 (de) * 2009-07-24 2012-11-15 Q-Cells Se Plasma unterstütztes Abscheideverfahren, Halbleitervorrichtung und Abscheidevorrichtung
DE102009054912A1 (de) * 2009-08-28 2011-03-10 M2K-Laser Gmbh Hochleistungs-Diodenlaser und Verfahren zum Herstellen eines Hochleistungs-Diodenlasers
FR2950080B1 (fr) * 2009-09-17 2012-03-02 Essilor Int Procede et dispositif de depot chimique en phase gazeuse d'un film polymere sur un substrat
CN102834933B (zh) * 2009-09-18 2016-03-30 乔治洛德方法研究和开发液化空气有限公司 性能改善的太阳能电池
US20110094574A1 (en) 2009-10-27 2011-04-28 Calisolar Inc. Polarization Resistant Solar Cell Design Using SiCN
CN101775591A (zh) * 2010-03-23 2010-07-14 福建钧石能源有限公司 沉积薄膜的方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
CN103168344A (zh) * 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
DE102010060339A1 (de) * 2010-11-04 2012-05-10 Q-Cells Se Solarzelle und Solarzellenherstellungsverfahren
US8551829B2 (en) 2010-11-10 2013-10-08 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
DE102011012298A1 (de) * 2010-12-28 2012-06-28 Osram Opto Semiconductors Gmbh Verbundsubstrat, Halbleiterchip mit Verbundsubstrat und Verfahren zur Herstellung von Verbundsubstraten und Halbleiterchips
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5514365B2 (ja) 2011-03-23 2014-06-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8710596B2 (en) 2011-05-13 2014-04-29 United Microelectronics Corp. Semiconductor device
US8597860B2 (en) 2011-05-20 2013-12-03 United Microelectronics Corp. Dummy patterns and method for generating dummy patterns
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
KR101319184B1 (ko) * 2011-07-25 2013-10-16 성균관대학교산학협력단 무기 분말 입자의 표면을 실리콘-탄소 복합체로 코팅하는 방법 및 상기 방법으로 코팅된 무기 분말 입자
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8507350B2 (en) 2011-09-21 2013-08-13 United Microelectronics Corporation Fabricating method of semiconductor elements
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US8975672B2 (en) 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US8921206B2 (en) 2011-11-30 2014-12-30 United Microelectronics Corp. Semiconductor process
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8536072B2 (en) 2012-02-07 2013-09-17 United Microelectronics Corp. Semiconductor process
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
WO2013134653A1 (en) * 2012-03-09 2013-09-12 Air Products And Chemicals, Inc. Methods for making silicon containing films on thin film transistor devices
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
WO2014018122A1 (en) * 2012-03-21 2014-01-30 Dow Corning Corporation Method of forming a light emitting diode module
WO2013142585A1 (en) * 2012-03-21 2013-09-26 Dow Corning Corporation Method of forming a photovoltaic cell module
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9064931B2 (en) 2012-10-11 2015-06-23 United Microelectronics Corp. Semiconductor structure having contact plug and metal gate transistor and method of making the same
US8927388B2 (en) 2012-11-15 2015-01-06 United Microelectronics Corp. Method of fabricating dielectric layer and shallow trench isolation
US8883621B2 (en) 2012-12-27 2014-11-11 United Microelectronics Corp. Semiconductor structure and method of fabricating MOS device
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9196352B2 (en) 2013-02-25 2015-11-24 United Microelectronics Corp. Static random access memory unit cell structure and static random access memory unit cell layout structure
US9214395B2 (en) 2013-03-13 2015-12-15 United Microelectronics Corp. Method of manufacturing semiconductor devices
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9093285B2 (en) 2013-03-22 2015-07-28 United Microelectronics Corp. Semiconductor structure and process thereof
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US9349812B2 (en) 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
US8993433B2 (en) 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN104241112B (zh) * 2013-06-09 2017-11-03 中芯国际集成电路制造(上海)有限公司 非晶半导体材料的形成方法及金属硅化物的形成方法
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9362107B2 (en) * 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102412614B1 (ko) 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10388524B2 (en) * 2016-12-15 2019-08-20 Tokyo Electron Limited Film forming method, boron film, and film forming apparatus
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR101941232B1 (ko) * 2016-12-20 2019-01-22 주식회사 티씨케이 반도체 제조용 부품, 복합체 코팅층을 포함하는 반도체 제조용 부품 및 그 제조방법
JP6960448B2 (ja) * 2017-03-14 2021-11-05 株式会社Ihiエアロスペース 炭化ケイ素系複合体の製造方法
US20210242357A1 (en) * 2018-04-27 2021-08-05 University Of Washington Metal-halide semiconductor optical and electronic devices and methods of making the same
CN109119493A (zh) * 2018-07-24 2019-01-01 深圳市拉普拉斯能源技术有限公司 应用于太阳能电池的多功能薄膜材料SixCyNz及其制备方法
WO2020112938A1 (en) * 2018-11-29 2020-06-04 Silcotek Corp Fluid contact process, coated article, and coating process
CN110357631B (zh) * 2019-08-14 2021-09-17 曾杰 基于微波处理的化学气相转化工艺制备碳化硅部件的方法及设备
CN111584358A (zh) * 2020-04-09 2020-08-25 中国科学院微电子研究所 刻蚀沟槽的方法
GB202008892D0 (en) * 2020-06-11 2020-07-29 Spts Technologies Ltd Method of deposition
EP4300823A1 (en) * 2022-06-29 2024-01-03 Silicon Austria Labs GmbH A layer for an acoustic device and a method for depositing the same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5209979A (en) * 1990-01-17 1993-05-11 Ethyl Corporation Silicon carbide coated article with ceramic topcoat
US5820664A (en) * 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
TW337513B (en) * 1992-11-23 1998-08-01 Cvd Inc Chemical vapor deposition-produced silicon carbide having improved properties and preparation process thereof
CA2180665A1 (en) * 1994-01-21 1995-07-27 Irving B. Ruppel Silicon carbide sputtering target
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5849089A (en) * 1997-03-14 1998-12-15 Kabushiki Kaisha Toshiba Evaporator for liquid raw material and evaporation method therefor
US5850064A (en) * 1997-04-11 1998-12-15 Starfire Electronics Development & Marketing, Ltd. Method for photolytic liquid phase synthesis of silicon and germanium nanocrystalline materials
US5952046A (en) * 1998-01-21 1999-09-14 Advanced Technology Materials, Inc. Method for liquid delivery chemical vapor deposition of carbide films on substrates
AU2003229196A1 (en) * 2002-05-23 2003-12-12 Bishop's University Ceramic thin film on various substrates, and process for producing same
US6730802B2 (en) * 2002-07-09 2004-05-04 Starfire Systems, Inc. Silicon carbide precursor
US20060121197A1 (en) * 2003-09-05 2006-06-08 Moffat William A Method for silane coating of indium tin oxide surfaced substrates
US8652625B2 (en) * 2004-09-21 2014-02-18 Konica Minolta Holdings, Inc. Transparent gas barrier film
US20060068603A1 (en) * 2004-09-30 2006-03-30 Tokyo Electron Limited A method for forming a thin complete high-permittivity dielectric layer
CN101111501B (zh) * 2005-01-31 2015-07-15 东曹株式会社 环状硅氧烷化合物、含硅膜形成材料及其用途

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011192902A (ja) * 2010-03-16 2011-09-29 Taiyo Nippon Sanso Corp 層間絶縁膜の成膜方法および層間絶縁膜
JP2013539225A (ja) * 2010-09-22 2013-10-17 ダウ コーニング コーポレーション 電子物品及びその形成方法
WO2013065315A1 (ja) * 2011-11-02 2013-05-10 国立大学法人山口大学 窒素がドープされたアモルファスシリコンカーバイドよりなるn型半導体及びn型半導体素子の製造方法
JPWO2013065315A1 (ja) * 2011-11-02 2015-04-02 国立大学法人山口大学 窒素がドープされたアモルファスシリコンカーバイドよりなるn型半導体及びn型半導体素子の製造方法
JP2018516233A (ja) * 2015-03-31 2018-06-21 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法
JP2018532272A (ja) * 2015-10-15 2018-11-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 共形ドーパント堆積を使用した3d si構造における共形ドーピング
JP2020532871A (ja) * 2017-09-03 2020-11-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 共形ドーパント膜堆積を使用した、3d構造体の共形ハロゲンドーピング
JP7288432B2 (ja) 2017-09-03 2023-06-07 アプライド マテリアルズ インコーポレイテッド 共形ドーパント膜堆積を使用した、3d構造体の共形ハロゲンドーピング

Also Published As

Publication number Publication date
CA2670809A1 (en) 2008-09-04
US20100129994A1 (en) 2010-05-27
WO2008104059A1 (en) 2008-09-04
AU2008221198A1 (en) 2008-09-04
EP2122007A1 (en) 2009-11-25
TW200842950A (en) 2008-11-01
CN101675180A (zh) 2010-03-17
EP2122007A4 (en) 2011-10-26
KR20090121361A (ko) 2009-11-25

Similar Documents

Publication Publication Date Title
JP2010519773A (ja) 基板上に膜を形成するための方法
US20110146787A1 (en) Silicon carbide-based antireflective coating
US20120222741A1 (en) Solar cell with improved performance
Dingemans et al. Plasma-assisted atomic layer deposition of low temperature SiO2
US7396563B2 (en) Ceramic thin film on various substrates, and process for producing same
US20100051096A1 (en) Silicon carbonitride antireflective coating
WO2012050869A1 (en) Atmospheric-pressure plasma-enhanced chemical vapor deposition
CN104532207B (zh) 一种氮氧化硅膜材料及其制备方法和用途
Swatowska et al. Optical and structural characterization of silicon‐carbon‐nitride thin films for optoelectronics
Grigaitis et al. Characterization of silicon nitride layers deposited in three-electrode plasma-enhanced CVD chamber
US20100186811A1 (en) Silicon Carbonitride Antireflective Coating
TWI518923B (zh) 以碳化矽為主的抗反射塗層
Kaminski et al. Passivation of silicon wafers by Silicon Carbide (SiCx) thin film grown by sputtering
CN115917037A (zh) 从n-烷基取代的全氢化环三硅氮烷制备的硅基薄膜
Goff et al. Near-Room-Temperature Soft Plasma Pulsed Deposition of SiCxNy from 1, 3, 5-tri (isopropyl) cyclotrisilazane
Khatami et al. Structural and optical properties of luminescent silicon carbonitride thin films
Tabata et al. Preparation of wide-gap hydrogenated amorphous silicon carbide thin films by hot-wire chemical vapor deposition at a low tungsten temperature
Mohd Azam Structure and optical properties of multi-phase structured amorphous silicon carbon nitride thin films deposited by plasma enhanced chemical vapour deposition/Mohd Azam Abdul Rahman
Rahman Structure and Optical Properties of Multi-Phase Structured Amorphous Silicon Carbon Nitride Thin Films Deposited by Plasma Enhanced Chemical Vapour Deposition
CN116657114A (zh) 一种低电阻的碳化硅基板及其制备方法和应用
Swihart et al. EuroCVD 17/CVD 17
Fischer et al. Radical-Assisted Silcore (R) CVD of Si3N4 and SiO2 Nanolaminates
Huran et al. Influence of substrate temperature on the photoluminescence properties of silicon carbide films prepared by ECR-PECVD
Fan Low pressure chemical vapor deposition of silicon nitride films from ditertiarybutylsilane
Cui et al. Microwave PECVD deposited SiNx: H films for crystalline silicon solar cell application