KR20090121361A - 기판상에 막을 형성하는 방법 - Google Patents

기판상에 막을 형성하는 방법 Download PDF

Info

Publication number
KR20090121361A
KR20090121361A KR1020097020080A KR20097020080A KR20090121361A KR 20090121361 A KR20090121361 A KR 20090121361A KR 1020097020080 A KR1020097020080 A KR 1020097020080A KR 20097020080 A KR20097020080 A KR 20097020080A KR 20090121361 A KR20090121361 A KR 20090121361A
Authority
KR
South Korea
Prior art keywords
silicon
gas
heating
film
deposition
Prior art date
Application number
KR1020097020080A
Other languages
English (en)
Inventor
유제프 아와드
세바스티앙 알렌
마이클 데이비스
알렉상드르 거몽
카카니 미 알리 엘
리아드 스미라니
Original Assignee
식스트론 어드밴스드 머티리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 식스트론 어드밴스드 머티리얼즈 인코포레이티드 filed Critical 식스트론 어드밴스드 머티리얼즈 인코포레이티드
Publication of KR20090121361A publication Critical patent/KR20090121361A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 기체 전구체를 형성하기 위해 가열 챔버에서 고체 유기실란 원료를 가열하는 단계; 기판을 함유하는 증착 챔버에 기체 전구체를 운반하는 단계; 및 기판상에 막을 형성하기 위해 에너지원을 사용하여 기체 전구체를 반응시키는 단계를 포함하여 기판상에 막을 형성하는 방법에 관한 것이다. 막은 Si 및 C를 포함하고, 선택적으로 N, O, F, B, P 또는 이의 조합과 같은 다른 원소들을 포함한다.
기판, 막, 고체 유기실란 원료, 기체 전구체

Description

기판상에 막을 형성하는 방법{Method for forming a film on a substrate}
본 발명은 기판상에 실리콘 카바이드계 막을 형성하는 방법에 관한 것이다.
기판상에 비결정 실리콘 카바이드계 막을 형성하는데 사용된 현재 이용가능한 다양한 방법과 원료 화합물들이 존재한다.
예를 들어, 기체 원료 화합물들은 반도체 상에 막을 증착하기 위한 화학기상증착(CVD) 공정에 사용될 수 있다. 야오1는 실란과 탄화수소 기체의 사용을 필요로 하는 SiC계 막을 생산하는 방법을 교시한다. 그러나, 이런 방법에서 실란 기체와 같은 대단히 자연발화성인 기체의 사용은 고가의 예방 처리 절차를 필요로 한다. 또한 이 방법은 실란과 탄화수소 기체 사이의 분열 온도 차이 때문에 기체 혼합물에 수소의 첨가 또는 반응 기체의 온도를 제어할 정교한 수단을 필요로 한다.
CVD 공정은 가디너 등2 또는 차이카3에 의해 개시된 것과 같이 용매 매질 속에 용해되거나 혼합된 액체 폴리머 원료 또는 원료 화합물을 사용할 것이다. 그러나, 대부분의 액체계 폴리머 원료들은 인화성이거나 자연발화성이어서, 특별한 처리를 필요로 한다. 게다가, 피처 등4은 추가 48시간의 처리 시간과 추가 24시간의 열분해가 필요하다는 것을 교시한다.
스타파이어 시스템5은 화학양론적 원료 화합물로부터 화학양론적 SiC 막을 생산하는 방법을 개발하였다. 이 방법에서, 두 개의 원료(CVD-2000TM 및 CVD-4000TM)는 액체이고, 가연성이고(발화점 9℃, 51℃) 공기 및 수분에 민감하다.
고엘라 등6은 기체 또는 액체 형태의 염소-함유 원료 화합물을 사용하는 CVD 공정을 교시한다. 그러나, 염소 함유 원료 화합물은 수분과 접촉하자마자 부식성이고 독성인 염화수소 연기를 형성하여, 이런 물질의 저장, 폐기, 처리 및 펌핑을 상당히 복잡하게 한다.
스핀 코팅 방법이 사용되었고 여기서 폴리머 원료는 용매에 분해되고 스피닝, 디핑, 스프레잉, 스와빙 또는 브러싱에 의해 기판에 도포된다. 결과적으로, 기판상에서 원료의 열분해는 고온, 예를 들어, 수시간 동안 1000℃ 이상에서 발생한다(모헬레 등7 참조). 스핀 코팅 방법에서 기판 모양과 배향의 제한 이외에, 열분해의 고온이 기판으로 사용된 물질의 형태를 제한한다. 또한 이 방법은 열분해 동안 용매의 가스방출 때문에 고밀도의 결함(간극), 스핀 코팅 때문에 균일하지 않은 막 두께 및 막의 수축 때문에 균열을 일으킬 수 있다.
루펠 등8은 비-화학양론적 막을 형성하는 스퍼터링에 의해 기판을 코팅하는 방법을 교시한다. 많은 양의 열이 스퍼터링 속도가 증가함에 따라 발생하여, 예를 들어, 기판이 플라스틱으로 제조된 경우, 기판을 파괴할 수 있다. 또한, 스퍼터링에 의해 발생된 막은 주로 수소가 없으며, 이것은 반도체 용도로 큰 단점이다.
상기한 것들과 같은 실리콘 카바이드계 막은 실리콘 웨이퍼계 태양 전지와 같은 실리콘 반도체 샘플의 표면 패시베이션으로 기술된 표면 재조합 속도의 감소를 위해 사용되었다. 우수한 패시베이션 특성을 가진 막은 이런 소자의 효율을 증가시킬 것이다. 그러나, 이런 소자들을 제조하는데 주로 발생하는 고비용과 기체의 독성 때문에, 소자들을 위한 이런 패시베이션 층들의 생산은 항상 실행할 수 없다.
본 발명의 한 태양에 따라, 다음 단계를 포함하여 기판상에 막을 형성하는 방법이 제공된다: 고체 유기실란 원료(기체 전구체로도 불림)의 휘발성 조각을 형성하기 위해 가열 챔버에서 고체 유기실란 원료를 가열하는 단계; 기판을 함유하는 증착 챔버에 기체 전구체를 운반하는 단계; 및 기판상에 막을 형성하기 위해 에너지원을 사용하여 기체 전구체를 반응시키는 단계. 한 실시예에서, 에너지원은 플라즈마이다. 다른 실시예에서, 운반 단계는 운반 기체를 사용하는 것을 포함할 수 있다. 또 다른 실시예에서, 이 방법은 반응시키는 단계 이전에 기체 전구체를 반응 기체와 혼합하는 단계를 더 포함할 수 있고; 기체 전구체와 반응 기체는 증착 챔버에 운반되기 이전에 미리 혼합될 수 있거나 기체 전구체와 반응 기체는 증착 챔버에 개별적으로 운반될 수 있다. 또 다른 실시예에서, 증착 챔버는 반응기 내에 있고 가열 챔버는 반응기 외부에 있다. 또 다른 실시예에서, 증착 챔버와 가열 챔버는 모두 반응기 내에 있다.
본 발명의 다른 태양에 따라, 본 발명에 개시된 방법에 따라 반도체의 표면상에 막을 증착하는 단계를 포함하며, 반도체와 증착된 막은 선택적으로 어닐링되는 실리콘계 반도체의 표면 페시베이션을 위한 방법이 제공된다.
본 발명의 또 다른 태양에 따라, 기판상에 막을 형성하는 방법에 사용되는 고체 유기실란 원료를 가열함으로써 발생한 기체 전구체를 포함하는 용기가 제공된다.
첨부된 도면은 본 발명의 예시적 실시예를 설명한다.
본 발명은 기체 전구체를 형성하기 위해 가열 챔버에서 고체 유기실란 원료를 가열하는 단계, 기체 전구체를 증착 챔버에 운반하는 단계 및 기판상에 막을 형성하기 위해 에너지원을 사용하여 기체 전구체를 반응시키는 단계를 포함하여 기판상에 막을 형성하는 방법에 관한 것이다.
본 발명의 방법은 고체 유기실란에서 Si:C 비율이 비-화학양론적일 때에도 기판상에 거의 화학양론적인 SiC 막을 형성할 수 있다. 만일 고체 유기실란이 PDMS인 경우, 상기 방법은 전구체 기체에서 Si-C 결합은 막의 증착에 선행하는 쿠마다 재배열 동안 얻을 수 있기 때문에, 기판의 표면상에 더 적은 실리콘-탄소 결합 형성을 필요로 할 수 있다. 다른 유기실란 고체(예를 들어, 폴리카보실란)의 경우, 이 방법은 Si-C 결합은 유기실란 고체로부터 얻은 막의 증착에 선행하여 증발되는 기체 전구체에 제공될 수 있기 때문에, 기판의 표면상에 더 적은 실리콘-탄소 결합 형성이 필요할 수 있다. 또한, 이 방법은 어떠한 용매도 필요하지 않아서 용매의 기체 발생에 의한 균열, 수축, 간극 또는 다공 형성을 하지 않는다.
고체 유기실란 원료
고체 유기실란 원료는 Si, C 및 H 원자를 포함하고, 실온과 압력에서 고체인 화합물들을 의미한다.
고체 유기실란 원료는, 한 실시예에서, 가열 챔버에서 가열하는 동안 열역학적으로 안정한 Si-C 결합을 포함하는 실리콘계 폴리머일 수 있다. 한 실시예에서, 실리콘계 폴리머는 적어도 하나의 실리콘 원자와 둘 이상의 탄소 원자를 포함하는 모노머 단위를 가진다. 모노머 단위는 N, O, F, B, P 또는 이의 조합과 같은 추가 원소들을 더 포함할 수 있다. 다른 실시예에서, 폴리머 원료는 폴리실란 또는 폴리카보실란이다.
폴리실란 화합물은 열분해될 때, 즉, 실질적으로 산소 분자가 없는 분위기에서 가열하여 고체 폴리실란의 화학적 분해 때 기체 유기실리콘 화합물을 생산할 수 있는 임의의 고체 폴리실란 화합물일 수 있다. 한 실시예에서, 고체 폴리실란 화합물은 선형 또는 가지형 폴리실리콘 사슬을 포함하며 각각의 실리콘은 하나 이상의 수소 원자, C1-C6 알킬기, 페닐기 또는 -NH3기에 의해 치환된다. 다른 실시예에서, 선형 또는 가지형 폴리실리콘 사슬은 적어도 하나의 실리콘 원자와 둘 이상의 탄소 원자를 포함하는 적어도 하나의 모노머 단위를 가진다.
고체 유기실란 원료의 예들은 폴리다이메틸실란(PDMS), 폴리카보메틸실란(PCMS) 및 트라이페닐실란과 같은 실리콘계 폴리머 또는 노나메틸트리스실라잔과 같은 다른 비-폴리머 종류를 포함한다. PCMS는 구입할 수 있고(시그마-알드리치), 예를 들어, 약 800g/mol 내지 약 2000g/mol의 평균 분자량을 가질 수 있다. PDMS는 구입할 수 있고(마이애미, 뉴버리포트, 겔레스트, 모리스빌, 피.에이 앤 스템 케미컬) 예를 들어, 약 1100 내지 1700의 평균 분자량을 가질 수 있다. PDMS는 폴리카보실란을 생산할 수 있는 폴리머로 알려져 있다. 원료 화합물로 PDMS를 사용하면 (a) 저장과 운반에 관해 처리하는데 매우 안전하고, (b) 공기와 수분에 안정하고, 산업 환경에서 대량 사용시 바람직한 특성을 가지며, (c) 부식성 성분들이 CVD 공정 조건에 노출된 PDMS로부터 얻은 유출 흐름에서 발생하지 않으며, (d) PDMS는 수소 치환기를 통해 자신의 수소 공급을 제공하며 50℃의 낮은 온도에서 불투명한 비결정 SiC를 생산한다는 점에서 유리하다.
다른 실시예에서, 고체 유기실란 원료는 적어도 하나의 표지 성분을 가질 수 있고, 이의 형태, 비율 및 농도는 표준 실험 분석 장치, 예를 들어, 2차 이온 질량분석법(SIMS), 아우거 전자분석법(AES), X-레이 광전자 분광기(XPS)에 의해 쉽게 측정될 수 있는 얻은 막에 화학적 "지문"을 형성하는데 사용될 수 있다. 한 실시예에서, 고체 유기실란 원료는 동위원소 표지, 즉, 예를 들어, C13 또는 C14인 고체 유기실란 원료에 함유된 원자 종류의 적어도 하나의 동위원소의 비-자연적으로 풍부한 상대량을 함유할 수 있다. 이것은 동위원소의 합성비로 불린다.
기체 전구체 종들의 형성
한 실시예에서, 고체 유기실란 원료는 분말, 펠렛, 막대 또는 다른 고체 형태로 배치 또는 연속 방식으로 가열 챔버에 첨가될 수 있다. 선택적으로, 고체 유기실란 원료는 가열 챔버에서 제 2 고체 폴리머와 혼합될 수 있다. 배치 첨가시, 고체 유기실란 원료 화합물은, 더 많은 양이 사용될 수 있으나, 예를 들어, 1mg 내지 10kg의 양으로 첨가될 수 있다.
한 실시예에서, 가열 챔버는, 고체 유기실란 원료가 챔버 내의 기체들을 아르곤 또는 헬륨과 같은 불활성 기체로 대체하기 위해 첨가된 후, 선택적으로 진공하에서 정화된다. 챔버는 가열이 개시되기 전에 정화될 수 있거나 챔버 내의 온도는 정화하는 동안, 또는 정화 이전에 증가될 수 있다. 정화하는 동안 챔버 내의 온도는 생성물의 손실을 최소화하기 위해 기체 전구체 종들의 발생이 개시되는 온도 이하로 유지되어야 한다.
고체 유기실란 원료로부터 기체 전구체의 생산은 열분해 단계를 통해 이루어지며, 고체 내에서 하나 이상의 다른 형태의 반응을 포함할 수 있다. 예를 들어, 고체 유기실란 원료 또는 고체 유기실란의 새로운 기체 유기실란 종들 속으로 분해/재배열과 같이 포함될 수 있는 다른 형태의 반응은 고체 유기실란 원료의 특성에 의존할 것이고 이런 반응은 열분해 단계를 위해 선택된 온도에 의해 촉진될 수 있다. 고체 유기실란 원료가 폴리실란인 실시예들의 경우, 기체 전구체 종들은 전문이 참조로 포함된 2007년 11월27일에 출원된 미국 가출원 S/N 60/990,447에 개시된 방법을 통해 얻을 수 있다.
가열 챔버에서 고체 유기실란 원료의 가열은 전기 가열, UV 조사, IR 조사, 마이크로웨이브 조사, X-레이 조사, 전자빔, 레이저빔 등에 의해 수행될 수 있다.
가열 챔버는, 예를 들어, 약 50 내지 700℃, 약 100 내지 700℃, 약 150 내지 700℃, 약 200 내지 700℃, 약 250 내지 700℃, 약 300 내지 700℃, 약 350 내지 700℃, 약 400 내지 700℃, 약 450 내지 700℃, 약 500 내지 700℃, 약 550 내지 700℃, 약 600 내지 700℃, 약 650 내지 700℃, 약 50 내지 650℃, 약 50 내지 600℃, 약 50 내지 550℃, 약 50 내지 500℃, 약 50 내지 450℃, 약 50 내지 400℃, 약 50 내지 350℃, 약 50 내지 300℃, 약 50 내지 250℃, 약 50 내지 200℃, 약 50 내지 150℃, 약 50 내지 100℃, 약 100 내지 650℃, 약 150 내지 600℃, 약 200 내지 550℃, 약 250 내지 500℃, 약 300 내지 450℃, 약 350 내지 400℃, 약 475 내지 500℃, 약 50℃, 약 100℃, 약 150℃, 약 200℃, 약 250℃, 약 300℃, 약 350℃, 약 400℃, 약 450℃, 약 500℃, 약 550℃, 약 600℃, 약 650℃, 또는 약 700℃의 온도로 가열된다. 고온은 기체 전구체 화합물들이 고체 유기실란 원료로부터 생산되는 속도를 증가시킬 수 있다.
한 실시예에서, 가열 챔버는 원하는 온도에 도달할 때까지 시간당 150℃의 속도로 가열되며, 챔버는 이 온도로 유지된다. 다른 실시예에서, 온도는 열분해가 진행되는 제 1 값으로 증가된 후 온도는, 예를 들어, 기체 전구체 화합물의 혼합물이 생산되는 속도를 변화시키거나 챔버 내의 압력을 변화시키기 위해서 때때로 변한다.
한 실시예에서, 가열 챔버 내의 온도와 압력은 제어되며, 기체 전구체의 생산은 압력을 감소시키고, 유기실란 원료를 가열하거나 이의 조합에 의해 진행될 수 있다. 가열 챔버를 위한 특정 온도와 압력 값의 선택은 얻은 기체 전구체의 특성을 제어하는데 사용될 수 있다.
고체 유기실란 원료가 폴리실란인 실시예에서, 한 가능한 열분해 반응은 고체 폴리실란 내에 Si-Si 가교의 형성을 유도하며, 이 반응은 주로 약 375℃에서 발생한다. 다른 가능한 반응은 쿠마다 재배열로 불리며, 통상적으로 약 225℃ 내지 약 350℃의 온도에서 발생하며, Si-Si 주쇄 사슬은 Si-C-Si 주쇄 사슬이 된다. 이런 형태의 반응은 주로 비-휘발성 생성물을 생산하는데 사용되는 반면에, 쿠마다 재배열은 휘발성 폴리카보실란 올리고머, 실란 및/또는 메틸 실란을 생산할 수 있다. 쿠마다 재배열에 의해 생산된 기체 종들의 양은 비 휘발성 고체 또는 액체 폴리카보실란의 생산에 필적하는 반면에, 이런 종들의 생산은, 전체 수율에 해롭지만, 가열 챔버에 남아 있는 임의의 물질, 액체 또는 고체는 일부 실시예들에서 해롭지 않고 안전한 세라믹 물질로 변해, 일단 공정이 종료되면 물질을 더 안전하게 처리하게 한다는 점에서 기체 발생 공정의 유익한 태양을 입증할 수 있다.
고체 유기실란이 폴리실란인 실시예들의 경우, 가열 챔버 내의 온도는 생성된 기체 혼합물에서 기체 전구체 화합물들의 원하는 몰비를 제공하기 위해서 소정의 압력 또는 소정의 압력 범위 내로 유지될 수 있다. 일반적으로, 고압, 예를 들어, 600 내지 900 psi를 유지하면 저 분자량(예를 들어, 실리콘 원자들의 낮은 수)을 가진 기체 전구체 종들의 생산을 돕는 반면에, 저압, 예를 들어, 100 내지 250 psi를 유지하면 고 분자량(예를 들어, 실리콘 원자들의 높은 수)을 가진 기체 유기실리콘 종들의 생산을 돕는다.
기체 전구체 종들
일반적으로, 기체 전구체는 고체 유기실란 원료의 휘발성 조각들의 혼합물을 포함한다. 고체 유기실란 전구체가 폴리실란인 실시예에서, 기체 전구체 종들은 기체 유기실리콘 화합물의 혼합물, 즉, 20℃ 및 20 psi에서 기체 상태인 실리콘, 탄소 및 수소 원자를 포함하는 화합물들이다.
한 실시예에서, 기체 유기실리콘 화합물들의 혼합물은 기체 실란, 기체 폴리실란 또는 기체 폴리카보실란으로부터 선택된 하나 이상의 기체를 포함한다. 다른 실시예에서, 혼합물 내에서 생산된 기체 유기실리콘 화합물들의 실질적으로 전부는 1 내지 4개 실리콘 원자를 포함한다. 기체 실란은 단일 실리콘 원자를 포함하는 화합물을 의미하며, 기체 폴리실란은 실리콘 원자들이 공유 결합된(예를 들어, Si-Si) 둘 이상의 실리콘 원자를 포함하는 화합물을 의미하며, 기체 폴리카보실란은 실리콘 원자의 적어도 둘이 비-실리콘 원자를 통해 연결된(예를 들어, Si-CH2-Si) 둘 이상의 실리콘 원자를 포함하는 화합물을 의미한다.
다른 실시예에서, 기체 유기실리콘 화합물은 다음 식의 기체 폴리카보실란일 수 있다:
Si(CH3)n(H)m - [(CH2) - Si(CH3)p(H)q]X - Si(CH3)n'(H)m'
여기서, n, m, n' 및 m'는 독립적으로 0 내지 3의 정수를 나타내며, n + m = 3 및 n' + m' = 3인 경우, p와 q는 독립적으로 0 내지 2의 정수를 나타내며, 각 실리콘 원자에 대해 p+q = 2인 경우, x는 0 내지 3의 정수이다.
기체 실란 및 기체 폴리카보실란의 예들은 실란, 다이메틸, 트라이메틸 실란, 테트라메틸 실란, [Si(CH3)(H)2]-CH2-[Si(CH3)2(H)], [Si(CH3)2(H)]-CH2-[Si(CH3)2(H)], [Si(CH3)3]-CH2-[Si(CH3)2(H)], [Si(CH3)2(H)]-CH2-[Si(CH3)2]-CH2- [Si(CH3)3], [Si(CH3)(H)2]-CH2-[Si(CH3)2]-CH2-[Si(CH3)(H)2], [Si(CH3)(H)2]-CH2-[Si (CH3)2]-CH2-[Si(CH3)2(H)], [Si(CH3)2(H)]-CH2-[Si(CH3)2]-CH2-[Si(CH3)2(H)], [Si (CH3)2(H)]-CH2-[Si(CH3)2]-CH2-[Si(CH3)2]-CH2-[Si(CH3)2(H), [Si(CH3)(H)2]-CH2-[Si (CH3)2]-CH2-[Si(CH3)2]-CH2-[Si(CH3)2(H)], [Si(CH3)(H)2]-CH2-[Si(CH3)2]-CH2-[Si(CH3) 2]-CH2-[Si(CH3)(H)2], 및 [Si(H)3]-CH2-[Si(CH3)2]-CH2-[Si(CH3)2]-CH2-[Si(CH3)(H)2]를 포함한다.
기체 전구체를 형성한 후, 즉시 사용될 수 있거나 이후 사용을 위해 적절한 온도와 압력 조건하에서 저장될 수 있다. 공정은 이 단계에서 중단될 수 있는데 이는 가열 챔버는 반응기 외부에 있을 수 있기 때문이다.
반응 기체의 첨가
가열 후, 형성된 기체 전구체는 가열 챔버, 증착 챔버 또는 기체 혼합 장치에서 반응 기체와 혼합될 수 있다. 한 실시예에서, 반응 기체는 구입할 수 있는 기체의 형태일 수 있고, 기체는 시스템에 직접 제공된다. 다른 실시예에서, 반응 기체는 N, O, F, B, P 또는 이의 조합과 같은 원소들의 임의의 수를 포함하는 고체 또는 액체 원료를 가열함으로써 생산된다.
예를 들어, 반응 기체는 트라이페닐포스핀(C6H5)3P과 같은 인을 함유하는 고체 원료; 트리스(파이라졸-1-일)메테인)과 같은 질소를 포함하는 고체 원료 또는 보레인 t-뷰틸아민 (CH3)3CNH2:BH3, 트라이에탄올아민보레이트 B(0CH2CH2)3N, 보레인 다이메틸아민 (CH3)2NH:BH3 또는 트라이페닐붕소 B(C6H5)3와 같은 붕소를 포함하는 고체 원료를 가열함으로써 생산될 수 있다. 아이다 등9은 (C6H5)3P 디스크의 존재하에서 Si 표적의 RF 스퍼터링에 의해 제조된 a-SiC를 도핑하기 위한 포스핀의 우수한 원료로서 트라이페닐포스핀 (C6H5)3P의 사용을 보고하였다.
다른 실시예에서, 반응 기체는 다이플루오로벤젠 (C6H4F2)과 같은 불소를 포함하는 액체 원료; 트라이에틸포스핀 (C2H5)3P, 다이메틸페닐포스핀 (CH3)2(C6H5)P 또는 트리스(트라이메틸실일)포스핀 [(CH3)3Si]3P과 같은 인을 포함하는 액체 원료; 또는 트리스(트라이메틸실록시)붕소 [(CH3)3SiO]3B와 같은 붕소를 포함하는 액체 원료를 가열함으로써 생산될 수 있다. 리에델 등10은 폴리머 원료 트리스([다이클로로메틸실릴]에틸]붕소)를 사용하는 SiCN 세라믹을 도핑하는 것을 보고하였고 라마카리쉬난 등11은 SiCN 세라믹에 대한 p-형 도펀트로서 폴리하이드리도메틸실라잔 (NCP 200TM)과 트리스[다이클로로메틸실릴]에틸]보레인 폴리머 전구체를 사용하는 것을 보고하였다.
또 다른 예에서, 반응 기체는 NH3, N2 또는 NCl3와 같은 질소계 기체; CO, O2, O3, CO2와 같은 산소계 기체; CF4, C4F8, CH2F2, NF3, C2F6, C3F8, CHF3, C2F4, C3F6 또는 이의 조합과 같은 불소계 기체; BH3, B2H6, BCl3, B2Cl6와 같은 붕소계 기체; 또는 PH3 또는 PCl3와 같은 인계 기체일 수 있다.
한 실시예에서, 반응 기체는 Al, B, Ge, Ga, P, As, N, In, Sb, S, Se, Te, In 및 Sb를 포함할 수 있다.
가열 및 증착 챔버의 형태
본 발명의 방법은 가열 챔버 및 증착 챔버; 가열 챔버, 기체 혼합 장치와 증착 챔버; 가열 챔버, 기체 혼합 장치와 복수의 증착 챔버; 또는 복수의 가열 챔버, 기체 혼합 장치와 적어도 하나의 증착 챔버와 같은 다양한 시스템 형태로 수행될 수 있다. 한 바람직한 실시예에서, 증착 챔버는 반응기 내부에 있고 가열 챔버는 반응기 외부에 있다.
높은 처리량 형태를 위해서, 가열 챔버의 여러 장치가 통합될 수 있다. 여러 장치 구조에서 각 가열 챔버는 크기가 비교적 작을 수 있어서, 기계적 구조는 단순하고 신뢰할 수 있다. 모든 가열 챔버는 공통 기체 전달장치, 배기 및 제어 시스템을 공급할 수 있어서 비용은 동일한 처리량을 가진 더 큰 통상적인 반응기와 유사하다. 이론적으로, 한 시스템에 통합될 수 있는 반응기의 수에 제한은 없다.
본 발명의 방법은 적절한 공정 요구 흐름 속도를 더욱 정확하게 전달하기 위해서 정상 유량 또는 압력 제어기를 사용할 수 있다. 기체 전구체는 연속적 흐름 또는 펄스 흐름(pulsed flow)으로 증착 챔버에 운반될 수 있다.
본 발명의 방법은 일부 실시예에서 원료 증기 응축 또는 원료의 초기 분해를 막기 위해 배관을 가열하는 것이 필수적인 여러 액체 원료 CVD 공정의 경우와 같이 배관을 특별히 가열할 필요가 없는 보통의 배관을 사용할 수 있다.
증착 챔버
막을 형성하기 원할 때, 기판은 충분하게 낮은 압력으로 빨아낸 증착 챔버 속에 놓이며, 기체 전구체 및 선택적으로 반응 기체와 운반 기체는 연속적으로 주입되거나 정제된다. 증착을 이루기 위해 선택된 에너지원이 선택된 압력에서 상용될 수 있는 한 임의의 압력이 선택될 수 있다. 예를 들어, 플라즈마가 에너지원으로 사용되는 경우, 플라즈마가 형성될 수 있는 이하의 임의의 압력이 적절하다. 본 발명의 실시예들에서, 압력은 약 50 내지 약 500mTorr, 약 100 내지 약 500mTorr, 약 150 내지 약 500mTorr, 약 200 내지 약 500mTorr, 약 250 내지 500mTorr, 약 300 내지 약 500mTorr, 약 350 내지 약 500mTorr, 약 400 내지 약 500mTorr, 약 450 내지 약 500mTorr, 약 50 내지 약 450mTorr, 약 50 내지 약 400mTorr, 약 50 내지 약 350mTorr, 약 50 내지 약 300mTorr, 약 50 내지 약 250mTorr, 약 50 내지 약 200mTorr, 약 50 내지 약 150mTorr, 약 50 내지 약 100mTorr, 약 100 내지 약 450mTorr, 약 150 내지 약 400mTorr, 약 200 내지 약 350mTorr, 약 250 내지 약 300mTorr, 약 50mTorr 내지 약 5Torr, 약 50mTorr 내지 약 4Torr, 약 50mTorr 내지 약 3Torr, 약 50mTorr 내지 약 2Torr, 약 50mTorr 내지 약 1Torr, 약 50mTorr, 약 100mTorr, 약 150mTorr, 약 200mTorr, 약 250mTorr, 약 300mTorr, 약 350mTorr, 약 400mTorr, 약 450mTorr, 약 500mTorr, 약 1Torr, 약 2Torr, 약 3Torr, 약 4Torr, 또는 약 5Torr일 수 있다.
기판은, 예를 들어, 약 25 내지 약 500℃, 약 50 내지 약 500℃, 약 100 내지 약 500℃, 약 150 내지 약 500℃, 약 200 내지 약 500℃, 약 250 내지 약 500℃, 약 300 내지 약 500℃, 약 350 내지 약 500℃, 약 400 내지 약 500℃, 약 45 내지 약 500℃, 약 25 내지 약 450℃, 약 25 내지 약 400℃, 약 25 내지 약 350℃, 약 25 내지 약 300℃, 약 25 내지 약 250℃, 약 25 내지 약 200℃, 약 25 내지 약 150℃, 약 25 내지 약 100℃, 약 25 내지 약 50℃, 약 50 내지 약 450℃, 약 100 내지 약 400℃, 약 150 내지 약 350℃, 약 200 내지 약 300℃, 약 25℃, 약 50℃, 약 100℃, 약 150℃, 약 200℃, 약 250℃, 약 300℃, 약 35℃, 약 400℃, 약 450℃ 또는 약 500℃의 온도로 유지된다.
에너지 유도 화학기상증착(CVD)을 수행하기 위한 임의의 시스템은 본 발명의 방법을 위해 사용될 수 있다. 다른 적절한 장비는 당업자가 알 것이다. 상업용 코팅 태양 전지를 위해 사용된 전형적인 장치, 기체 흐름 필요조건과 다른 증착 세팅은 내용이 참조로 본 발명에 포함된 True Blue, Photon International, 2006년 3월 페이지 90-99에서 발견할 수 있다.
증착 챔버에서 에너지원은, 예를 들어, 전기 가열, 핫 필라멘트 공정, UV 조사, IR 조사, 마이크로웨이브 조사, X-레이 조사, 전자빔, 레이저빔, 플라즈마 또는 RF일 수 있다. 한 바람직한 실시예에서, 에너지원은 플라즈마이다.
예를 들어, 적절한 플라즈마 증착 기술은 플라즈마 화학기상증착(PECVD), 무선 주파수 플라즈마 화학기상증착(RF-PECVD), 전자-사이클론-공명 플라즈마 화학기상증착(ECR-PECVD), 유도 결합 플라즈마 화학기상증착(ICP-ECVD), 플라즈마빔 원료 플라즈마 화학기상증착(PBS-PECVD) 또는 이의 조합일 수 있다. 게다가, 집적회로 또는 반도체계 소자를 제조하는데 사용하기에 적합한 다른 형태의 증착 기술이 사용될 수 있다.
기판
매우 다양한 기판 물질은 기판상의 막의 형성이 매우 낮은 온도에서 발생하기 때문에 사용될 수 있다. 기판에 적합한 물질은, 예를 들어, 금속 및 무기 물질, 단일 실리콘, 탄소 및 실리콘 카바이드, 실리콘 질화물과 세라믹 물질, 알루미나, 석영, 유리 또는 플라스틱뿐만 아니라 불화탄소 폴리머 또는 폴리아마이드 레진과 같은 내열성 합성 레진일 수 있다. 한 실시예에서, 기판은 FZ Si(100) 웨이퍼이다.
본 발명의 막은 특히 실리콘으로 제조된 태양 전지에 사용할 수 있다. 이 상황에서, 막은 비결정, 결정 또는 다결정 실리콘뿐만 아니라 n-도핑, p-도핑 또는 진성 실리콘에 도포될 수 있다. 반사방지 코팅으로 사용될 때, 막은 표면들로부터 반사를 최적으로 최소화하고 1% 미만으로 막에서 빛의 흡수를 감소시키기 위해 태양전지의 외부 n-도핑 및/또는 p-도핑 표면들에 도포된다.
기판상에 형성된 막은 화학식 SixCy를 가질 수 있으며, x 및 y는, 예를 들어, 약 0.2 내지 0.8, 약 0.3 내지 약 0.8, 약 0.4 내지 약 0.8, 약 0.5 내지 약 0.8, 약 0.6 내지 약 0.8, 약 0.7 내지 약 0.8, 약 0.2 내지 약 0.7, 약 0.2 내지 약 0.6, 약 0.2 내지 약 0.5, 약 0.2 내지 0.4, 약 0.2 내지 약 0.3, 약 0.3 내지 약 0.7, 약 0.4 내지 약 0.6, 약 0.2, 약 0.3, 약 0.4, 약 0.5, 약 0.6, 약 0.7 또는 약 0.8일 수 있다. 한 바람직한 실시예에서, x 및 y는 약 0.5이다. 막은 N, O, F, B, P 또는 이의 조합과 같은 다른 원소들을 더 포함할 수 있다.
한 실시예에서, 막은 실리콘 카바이드(SiC), 실리콘 카보플로라이드(SiCF), 실리콘 카보나이트라이드(SiCN), 실리콘 옥시카바이드(SiOC), 실리콘 옥시카보나이트라이드(SiOCN), 실리콘 카보보라이드(SiCB), 실리콘 카보나이트로보라이드(SiCNB), 실리콘 카보포스파이드(SiCP) 또는 이의 조합일 수 있다. 막은 다층일 수 있거나 조성물의 경사를 가질 수 있는데, 예를 들어, 산소 농도가 막 내의 다른 두께에서 변하는 실리콘 옥시카보나이트라이드 막일 수 있다.
증착하는 동안 사용된 에너지가 플라즈마인 실시예들의 경우, 예를 들어, PE-CVD의 경우, x 및 y의 값은 (1) 플라즈마의 발생, (2) 기판의 온도, (3) 반응기의 전력과 주파수, (4) 증착 챔버 속에 유도된 기체 전구체의 형태와 양 및 (5) 기체 전구체와 반응 기체의 혼합비에 대한 조건을 적절하게 선택함으로써 제어될 수 있다.
예를 들어, 실리콘 카바이드의 실리콘:탄소 비는 RF 전력의 함수로서 변할 수 있기 때문에 조절될 수 있다. 실리콘:탄소 비는 약 1:2 내지 약 2:1일 수 있다. 예를 들어, 900W의 RF 전력에서 형성된 실리콘 카바이드 층에서 실리콘:탄소 비는 약 0.94:1인 반면, 400W의 RF 전력에서 형성된 실리콘 카바이드 층에서 실리콘:탄소 비는 1.3:1이다. 화학양론적 실리콘 카바이드 층은 약 700W의 RF 전력에서 형성될 수 있다.
실리콘:탄소 비는 기판 온도의 함수로서 변할 수 있다. 더욱 구체적으로, 기판 온도가 증가함에 따라, 증착된 실리콘 카바이드 층에서 실리콘:탄소 비는 감소한다.
실리콘:탄소 비는 SiC 층을 형성하는 동안 기체 혼합물의 조성물의 함수로서 조절될 수 있다.
본 발명에 개시된 방법에 의해 생산된 막들은 우수한 패시베이션, 낮은 기계적 응력, 빛의 낮은 흡수 계수 및 제어가능한 굴절률과 같은 향상된 특성을 가진다.
이런 향상된 특성은 태양 전지 효율에 나쁜 영향을 미치는 일부 제한을 최소화하는데 사용될 수 있고, 이 제한은 전면 반사; 특히 더 짧은 파장 영역에서 광 손실, 예를 들어, 고르지 않게 텍스쳐된 표면(randomly textured surface)에 의한 광 손실, 랜덤 텍스쳐, SiO2 AR, 배선 설계 및 금속 컨택에서 빛의 흡수에 의한 것과 같은 내부 기생 손실을 포함한다.
이런 막은 광 코팅, 예를 들어, 긁힘 방지 및/또는 반사방지 코팅으로 사용될 수 있다.
패시베이션
본 발명은 본 발명에 개시된 방법에 의해 제조된 막을 사용하는 반도체의 표면의 패시베이션에 관한 것이다. 이런 막은 N 및 P 형 물질 모두를 패시베이트하는데 사용될 수 있다.
막은 절연체-반도체 계면에서 표면 발생 및 재조합 효과를 감소시키는 패시베이팅 층으로 사용될 수 있다. 이런 막의 도포는 반도체 기판의 내부 수명을 증가시킬 수 있다. 이런 증가는 적은 내부 수명, 예를 들어, 100㎲미만의 내부 수명을 가진 반도체 물질에 대해 더욱 뚜렷하다. 내부 수명 증가에 대한 이유는 (기체 전구체 및 광 반응 기체로부터) 증착하는 동안 존재하는 수소의 양 때문이며, 수소는 내부 결함들을 패시베이트 하기 위해 반도체의 내부 속으로 확산될 수 있어서, 내부 수명을 향상시킨다. 막은 어닐링과 같은 증착 후 공정 동안 끊어진 결합 패시베이션(dangling bond passivation)의 원료로서 작용하는 상당량의 수소를 함유하는 것이 유리하다.
당업계에 공지된 막이 우수한 패시베이션 결과를 나타내는 반면에, 본 발명에 개시된 기술에 의해 생산된 막은 뜻밖의 높은 패시베이션 결과를 제공한다. 높은 C:Si 함량을 가진 전구체가 막에서 많은 수의 C-C 또는 C=C 결합(이 결합은 패시베이션 성능을 떨어뜨리는 것으로 알려져 있다)을 갖는 막으로 유도될 것으로 예상되지만, 본 발명은 얻은 막에서 C-Si 결합의 존재를 증가시키면서 높은 C:Si 함량을 제공한다.
막 두께 및 Si/N 비에 대한 최소 유효 수명은 각각 도 6과 7에 설명된다.
본 발명에 개시된 방법에 의해 생산된 다층 구조는 입사광을 확산시키기 위해 태양전지의 전면을 텍스쳐링하는 복잡한 단계를 대체할 수 있다. 태양전지의 전면의 텍스쳐링은 물리적 결함을 형성할 수 있고, 이 결함은 반도체 표면에 재조합 효과를 증가시킨다. 텍스쳐링 결함의 제거와 함께 패시베이팅 층의 존재는 얻은 기판의 더 우수한 패시베이션 성능을 유도한다.
패시베이팅 층은 반도체 소자의 상부면 및/또는 바닥면과 이의 계면을 개량하고, 결정학적 결함의 밀도를 감소시키고, 트랩 상태의 밀도를 감소시키고 또는 열 어닐링의 다른 주지된 이득을 얻기 위해서 선택적으로 어닐링될 수 있다. 어닐링은, 비록 많은 다른 기술들도 적합하고 주지되어 있지만, 빠른 열 어닐링(RTA), 뜨거운-기체 어닐링, 벨트 로(belt furnace) 어닐링 또는 등온 어닐링의 수단에 의해 가장 일반적으로 수행된다. 어닐링은 패시베이팅 막의 증착 동안 및/또는 이후 수행될 수 있다.
낮은 광 흡수 계수
패시베이팅 막의 높은 광 흡수는 단락 전류에 손실을 일으켜서, 태양 전지의 효율을 감소시킬 수 있다. 낮은 흡수를 가진 패시베이팅 막은 태양 전지의 효율을 증가시키는 것으로 예상된다. 게다가, 특히 UV 범위에서 흡수는 UV광의 높은 에너지 때문에 태양 전지를 빠르게 가열한다. 이런 가열이 태양 전지의 수명을 감소시킬 수 있다. 또한, UV광의 흡수는 전지의 열화를 유도할 수 있다.
예시 막의 가시 스펙트럼에서 광의 투과는 도 8에 도시된다. 본 발명에 개시된 방법에 의해 생산된 a-SiCN:H 막은 많은 SiC, SiN 및 SiN 막과 비교해서 1-2등급의 크기만큼 광 흡수 계수의 감소를 나타낸다(도 9).
제어가능한 굴절률
본 발명에 개시된 방법을 사용하면, 반도체 표면상에 증착된 패시베이팅 막에 원소들의 농도를 제어할 수 있어서, 막의 굴절률을 제어할 수 있다. 예를 들어, 막에서 탄소의 농도를 최소화하고 질소, 산소 또는 둘 다의 농도를 최소화함으로써, 실리콘 질화물, 실리콘 산화물 또는 실리콘 옥시질화물의 굴절률과 유사한 굴절률을 가진 막은 제조된 막에 넓은 범위의 얻을 수 있는 굴절률을 제공하도록 준비될 수 있다. 예를 들어, 굴절률에 의해 단일 증착에서 PDMS 흐름 속에 O 또는 N을 주입할 수 있고 이에 의해 굴절률은 1.5-2.3으로 맞춰질 수 있다. 이런 제어는 굴절률의 제어가 막의 반사력을 결정할 수 있기 때문에, 유익한 것으로 증명된다.
굴절률의 변화(증가 및 감소)는 일정한 굴절률을 가진 하나 이상의 막 층의 첨가 또는 굴절률 경사를 가진 단일 막 층의 첨가에 의해 이루질 수 있다.
본 발명에 개시된 방법에 의한 다층 구조의 증착은 증착 공정 변수와 각 층의 두께의 변화에 의해 패시베이션과 반사방지 특성에 대해 최적화될 수 있다.
경사 막 층, 즉, 경사진 굴절률을 가진 층은 본 발명에 개시된 방법을 사용하여 제조될 수 있다. 예를 들어, 증착 챔버 속에 산소 또는 질소를 포함하는 반응 기체의 농도를 증가시키면 층에 원자의 농도를 증가시킬 수 있다. 이런 농도는 단일 증착 동안 연속적으로 조절될 수 있기 때문에, 층의 굴절률은 이의 두께를 통해 변할 수 있다.
예를 들어, 전면 반사방지 물질은 산소와 질소의 농도가 변하는 실리콘 카바이드(예를 들어, 실리콘 카보나이트라이드, 실리콘 옥시카바이드 및 실리콘 옥시카보나이트라이드)의 다층 막에 의해 제조될 수 있다.
경사 또는 다층 막은 태양 전지의 뒷면에 대한 반사를 증가시키는데 사용될 수 있는 반면 표면 패시베이션을 증가시키는데 사용될 수 있다. 태양 전지에 대한 현재의 제조 해결책은 실리콘에 직접 대면하는 후방 금속 컨택을 가지며, 뒷면 코팅은 갖지 않는다. 금속의 존재는 표면 패시베이팅 효과를 갖는 반면에, 본 발명에 개시된 대로 패시베이션 층은 성능을 향상시키기 위해 전지의 뒷면에 첨가될 수 있다. 또한 태양 전지의 뒷면에 경사 또는 다층 코팅제의 도포는 입사광의 뒷면 반사를 최적화하는데 사용될 수 있어서, 빛이 흡수 접합부를 두 번 통과하게 한다. 뒷면 반사 거울은 태양 전지의 뒷면에 등급을 매긴 굴절률 막 또는 다중 막 층을 도포함으로써 얻을 수 있고, 더 낮은 굴절률은 전지에 더 가깝고, 더 높은 굴절률은 전지로부터 더 멀다.
도 1은 a-SiCN:H 샘플의 탄성되튐검출(ERD)의 그래프이다.
도 2는 a-SiCN:H 샘플의 탄성되튐검출(ERD)의 그래프이다.
도 3은 a-SiCN:H 샘플의 탄성되튐검출(ERD)의 그래프이다.
도 4는 μ-PCD 기술을 사용하는 수명의 측정 결과이다.
도 5a는 신톤(Sinton) 기술을 사용하여 a-SiCN:H에 의해 패시베이트된 FZ Si 웨이퍼의 유효 수명 측정의 결과이다.
도 5b는 광 강도의 함수로서 Si 기판의 가해진 개방 회로 전압을 나타내는 그래프이다.
도 6은 막 두께의 함수로서 a-SiCN:H 코팅된 FZ Si 웨이퍼의 유효 수명의 그래프이다.
도 7은 실리콘 대 질소 비의 함수로서 a-SiCN:H 코팅된 FZ Si 웨이퍼의 유효 수명의 그래프이다.
도 8은 PDMS 단일 원료와 기체 흐름에 첨가된 NH3를 사용하여 400℃에서 석영상에 증착된 a-SiCN:H 막의 광 전도 스펙트럼이다. 4개의 다른 샘플이 공정 반복가능성을 확인하기 위해 제조되었다. a-SiCN:H 막의 두께는 통상적으로 80±5nm이다.
도 9는 본 발명에서 개시된 방법에 의해 제조된 막 및 종래의 막에 대한 흡수 계수 및 파장의 그래프이다.
도 10은 각 주요 표면상에 여러 광 코팅제를 가진 태양전지의 개략도이고, 코팅제의 각각은 n1, n2, nx, n'1, n'2 또는 n'y의 굴절률을 가진다.
다음 실시예들은 본 발명을 설명하기 위해 제공된다. 그러나, 각 실시예에 제공된 구체적인 내용은 설명을 위해 선택되었고 본 발명의 범위를 제한하는 것으로 해석되지 않는다.
다음 실시예들에서 막을 증착하는데 사용된 PECVD 장치는 어플라이드 머트리얼(플라즈마 II 모델)이 제조하였다. 이 PECVD 장치는 평형 판 형태를 가진다. 플라즈마는 시스템 전극을 가로질러 어드밴스드 에너지 PE-2500 전원으로부터 전력을 인가하여 발생한다.
기판 전극 온도는 실온 내지 450℃로 제어될 수 있고, 작동 압력은 기체 흐름 및/또는 펌핑 속도를 제어함으로써 ~200mTorr 내지 3Torr로 변할 수 있다.
실시예 1 - 화학양론적 a-SiC (원료는 PDMS이다).
4″지름 단일-결정 반도체 실리콘 웨이퍼를 PECVD 시스템에 접지 전극 상에 놓고 전극 속에 장착된 가열기를 작동시켜 300℃로 가열하였다. 증착 챔버를 진공 펌프를 작동시켜 내용물을 제거하였다. 증착 챔버의 내부 압력이 0.05Torr에 도달할 때, 증착 챔버 내부의 압력은 증기의 연속 주입과 제거의 균형에 의해 0.215Torr로 유지하는 비율로 PDMS의 증기를 증착 챔버에 주입하였다. 40KHz의 주파수에서 600와트의 고주파수 전력이 4분 동안 전극들 사이에 공급되어 전극 상의 실리콘 웨이퍼가 노출되는 증착 챔버 내부에 플라즈마를 발생시켰다.
증착 챔버로부터 제거된 후, 실리콘 웨이퍼는 거의 순수한 상태의 일반식 Si0.5C0.5를 갖는 비결정 실리콘 카바이드 막으로 코팅되었다. 막은 0.1㎛의 두께를 가졌다.
실시예 2 - 플라스틱 상의 a-SiC (원료는 PDMS이다).
5cm x 5cm 플라스틱판을 가열 없이 장치의 접지 전극 상에 놓았다. 증착 챔버를 진공 펌프를 작동시켜 내용물을 제거하였다. 증착 챔버의 내부 압력이 0.05Torr에 도달할 때, 증착 챔버 내부의 압력은 증기의 연속 주입과 제거의 균형에 의해 0.40Torr로 유지하는 비율로 PDMS의 증기를 증착 챔버에 주입하였다. 40KHz의 주파수에서 750와트의 고주파수 전력이 20분 동안 전극들 사이에 공급되어 전극 상의 플라스틱판이 노출되는 증착 챔버 내부에 플라즈마를 발생시켰다. 기판의 온도는 플라즈마 가열 때문에 75℃로 상승했다.
증착 챔버로부터 제거된 후, 실리콘 웨이퍼는 거의 순수한 상태의 일반식 Si0.5C0.5를 갖는 연노랑 비결정 실리콘 카바이드 막으로 코팅되었다. 막은 0.2㎛의 두께를 가졌다.
실시예 3 - a-SiCN(원료는 PDMS + N2이다)
방법은 PDMS 증기의 흐름 속에 500 sccm N2 기체를 첨가하면서 실시예 1에 개시된 대로 수행하였다. PDMS와 N2의 전체 흐름은 증착 챔버 내부를 0.38Torr의 압력으로 유지하도록 조절하였다. 증착의 지속기간은 15분이었고 기판의 온도는 300℃이었다.
증착 챔버로부터 제거된 후, 실리콘 웨이퍼는 거의 순수한 상태의 일반식 Si0.4C0.3N0.3을 갖는 비결정 실리콘 카보나이트라이드 막으로 코팅되었다. 막은 0.280㎛의 두께를 가졌다.
실시예 4 - a-SiCN(원료는 PDMS + NH3이다)
방법은 PDMS 증기의 흐름 속에 500 sccm NH3 기체를 첨가하면서 실시예 1에 개시된 대로 수행하였다. PDMS와 NH3의 전체 흐름은 증착 챔버 내부를 0.38Torr의 압력으로 유지하도록 조절하였다. 증착의 지속기간은 30분이었고 기판의 온도는 300℃이었다.
증착 챔버로부터 제거된 후, 실리콘 웨이퍼는 거의 순수한 상태의 일반식 Si0.4C0.15N0.45을 갖는 비결정 실리콘 카보나이트라이드 막으로 코팅되었다. 막은 0.300㎛의 두께를 가졌다.
실시예 5 - a-SiCF(원료는 PDMS + CF4이다)
방법은 PDMS 증기의 흐름 속에 100 sccm CF4 기체를 첨가하면서 실시예 1에 개시된 대로 수행하였다. PDMS와 CF4의 전체 흐름은 증착 챔버 내부를 0.44Torr의 압력으로 유지하도록 조절하였다. 증착의 지속기간은 10분이었고 기판의 온도는 300℃이었다.
증착 챔버로부터 제거된 후, 실리콘 웨이퍼는 거의 순수한 상태의 일반식 Si0.4C0.5F0.1을 갖는 비결정 실리콘 카보플로라이드 막으로 코팅되었다. 막은 0.100㎛의 두께를 가졌다.
실시예 6 - a-SiOC(원료는 PDMS + CO2이다)
방법은 PDMS 증기의 흐름 속에 50 sccm CO2 기체를 첨가하면서 실시예 1에 개시된 대로 수행하였다. PDMS와 CO2의 전체 흐름은 증착 챔버 내부를 0.40Torr의 압력으로 유지하도록 조절하였다. 증착의 지속기간은 15분이었고 기판의 온도는 300℃이었다.
증착 챔버로부터 제거된 후, 실리콘 웨이퍼는 거의 순수한 상태의 일반식 Si0.45O0.4C0.15을 갖는 비결정 실리콘 옥시카바이드 막으로 코팅되었다. 막은 0.250㎛의 두께를 가졌다.
표 2 및 3은 실시예 1-6의 증착 조건과 막 조성물을 요약한다.
예시적 막의 증착 조건.
시간 (분) 기판 온도 (℃) 압력 ( Torr ) 두께 ( nm ) 반응 기체 ( sccm ) PDMS 증기 ( sccm ) Ar 전력 (와트)
실시예1 a-SiC/Si 4 300 0.22 100 - 30 750 600
실시예2 a-SiC/플라스틱 20 75 0.40 200 - 20 750 750
실시예3 a-SiCN/Si 15 300 0.38 280 500 N2 30 500 750
실시예4 a-SiCN/Si 15 300 0.40 165 500 NH3 25 500 750
실시예5 a-SiCF/Si 10 300 0.44 100 100 CF4 25 800 750
실시예6 a-SiOC/Si 15 300 0.40 250 50 CO2 25 750 750
X-레이 광전자 현미경(XPS)에 의해 측정한 예시적 막의 조성물.
XPS에 의해 측정된 막 조성물(%)
Si C N F O
실시예1 a-SiC/Si 50 49 0 0 1
실시예2 a-SiC/플라스틱 50 48 0 0 2
실시예3 a-SiCN/Si 40 30 30 0 0
실시예4 a-SiCN/Si 47 3 50 0 0
실시예5 a-SiCF/Si 40 50 0 8 2
실시예6 a-SiOC/Si 45 15 0 0 40
실시예 7 - 막의 패시베이션과 반사방지 특성.
예시적 막은 이들의 패시베이션과 반사방지 특성을 연구하기 위해 표 4에 설정한 증착 조건을 사용하여 본 발명에 개시된 방법에 따라 FZ Si(100) 웨이퍼 상에 증착하였다. 표 4의 예시적 막의 조성물은 XPS(표 5)와 탄성되튐검출(ERD)(도 1-3)에 의해 측정하였다.
예시적 막을 제조하는데 사용된 증착 조건.
샘플 이름 70208 P02 70226 P01 70226 P02 70312 P01A
코팅된 막 a-SiCN a-SiCN a-SiCN a-SiCN
수명(㎲) 1121.7 내지 1657.5 1488.7 1962.2 843.52
기판 형태 저항 (㏀) FZ Si(100) 3.5 - 10 FZ Si(100) 3.5 -10 FZ Si(100) 3.5 -10 FZ Si(100) 3.5 -10
온도(℃) 400 400 400 400
PDMS 흐름 (sccm) 35 35 35 35
아르곤(sccm) 100 100 100 100
NH3 흐름 (sccm) 75 250 250 250
반응기 전력 (와트) 900 900 900 900
챔버 압력 (mTorr) 258 335 330 298
예시적 a-SiCN:H 막의 XPS 구조 분석.
샘플 Si % C % O % N % 수명(㎲)
70208p02 48.0 14.0 3.0 35.0 1121
70226p02 47.4 14.6 1.5 36.5 1962
70312p01A 35.3 16.5 8.5 39.7 844
70312p01B 47.5 16.4 1.6 34.5 422
예시적 막에서 최소 담체의 유효 수명을 평가하기 위해 두 기술이 사용되었다: (1) SEMILAB 반도체 피직스 레보러토리사에 의해 개발된 마이크로웨이브 광전도감쇠(μ-PCD) 및 (2) 신톤 컨설팅사에 의해 개발된 WCT-120 장치를 사용하는 준정상 광전도성(QSSPC). 두 기술의 결과는 각 기술에 의해 샘플을 측정함으로써 ±5% 내에서 필적하였다(도 4, 5(a) 및 5(b)).
SiCN:H 패시베이트 4″FZ Si(100) 웨이퍼 상에서 2500㎲의 수명을 QSSPC 방법을 사용하여 측정하였다(도 4). 본 발명에 개시된 방법에 의해 생산된 패시베이팅 막의 수명은 당업계에서 발견한 것보다 예상 밖으로 좋다(표 6 참조).
패시베이션 막 기판 FZ Si 저항 Ω.cm Seff cm.S-1 유효 수명 (㎲) Ref.
PE-CVD a-SiC PE-CVD a-SiCN N N 1.5 1.5 100 2-3 12
PE-CVD a-SiC PE-CVD a-SiCN 1.4-1.6 54 16 100 1000 13
PE-CVD a-SiC N 0.85 ≤100 14
고유 산화물 N 1 1 50 50 50 50 130 10-20 2020 215 470 195 15
PE-CVD a-SiC P 3.3 0.4 0.4 30 2400 650 585 8 33 16
PE-CVD a-SiC P 1 1300 <5 17
PE-CVD a-SiC P N 3.3 1.4 29 50 ---- ---- 18
PE-CVD a-SiC PE-CVD a-SiC(n) P 3-4 30 10 ---- ---- 19
PE-CVD a-SiC(n) P 1 953 20
PE-CVD a-SiC 1356 21
상기 결과로부터, 기체 흐름에 NH3를 첨가하면 SiCN:H 패시베이트 4″FZ Si(100) 웨이퍼에 대해 측정된 수명이 증가하며, 패시베이션 효과는 질소 및/또는 수소 원자의 존재(즉, 자유 결합의 포화)에 의해 변할 수 있다는 것을 입증한다.
비록 상기한 발명은 이해를 명확하게 하기 위해 설명과 예시로 일부 상세하게 개시되었으나, 본 발명의 교시를 통해 첨부된 청구항의 취지 또는 범위를 벗어나지 않고 청구항에 대한 특정한 변화 및 변형이 가능하다는 것은 당업자에게 명백하다.
본 명세서에서 임의의 공보, 특허 또는 특허출원의 인용은 이 공보, 특허 또는 특허 출원이 종래기술이라는 것을 인정하는 것은 아니다.
본 명세서와 청구항에서 사용된 대로, 단수 형태("a", "an" 및 "the")는 내용이 분명하게 달리 나타내지 않는 한 복수를 포함한다는 것을 알아야 한다.
달리 정의되지 않는 한 본 발명에서 사용된 모든 기술적 및 과학적 용어는 본 발명이 속하는 기술분야의 당업자가 통상적으로 이해하는 것과 같은 의미를 가진다.
본 발명의 내용중에 포함되어 있음
참조문헌
1. Yao, U.S. Patent No. 5,800,878.
2. Gardiner et al . , U.S. Patent No. 5,820,664.
3. Chayka, U.S. Patent No. 5,952,046.
4. M. W. Pitcher et al . , Advanced Mater. , 16(8), 706 (2004).
5. Goldstein, U.S. Patent No. 5,850,064 and Shen et al . , U.S. Patent No. 6,730,802.
6. Goela et al . , U.S. Patent No. 5,612,132.
7. Moehle et al . , U.S. Patent No. 5,209,979.
8. Ruppel et al . , U.S. Patent No. 5,944,963.
9. M.S. Aida and M. Ghrieb, Mater . Chem . and Phys . , 47(1), 97-100 (1997) .
10. R. Riedel, A Kienzle, W. Dressier, L. Ruwisch, J. Bill, and F. Aldinger, Nature , 382, 796 (1996).
11. P.A. Ramakrishnan, Y. T. Wang, D. BaIzar, Linan An, C. Haluschka and R. Riedel, and A.M. Hermann, Appl . Phys . Lett., 78(20), 3076 (2001).
12. M. Vetter, I. Martin, A. Orpella, J. Puigdollers, C. Voz, R. Alcubilla, Thin solid Films, 451-452 (2004) pp. 340-344.
13. I. Martin, M. Vetter, A. Orpella, C. Voz, J. Puigdollers, and R. Alcubilla, Appl. Phys. Lett. 81 (23) (2002) 4461-4463.
14. M. Vetter, C. Voz, R. Ferre, I. Martin, A. Orpella, J. Puigdollers, J. Andreu, and R. Alcubilla, Thin Solid Films, 511-512 (2006) 290-294.
15. A. Cuevas, Solar energy Mater. Sol. Cells, 71 (2002) pp. 295-312.
16. I. Martin, M. Vetter, A. Orpella, and J. Puigdollers, A. Cuevas, R. Alcubilla, Appl . Phys . Lett., 79 (14), (2001) pp. 2199-2201.
17. S. W. Glunz, Presented at the 4th World Conference on Photovoltaic Energy Conversion, Hawaii, May 2006.
18. I. Martin, M. Vetter, M. Garin, A. Orpella, C. Voz, J. Puigdollers, and R. Alcubilla J. Appl. Phys., 98 (2005) pp. 114912.
19. M. Vetter, I. Martin, A. Orpella, C. Voz, J. Puigdollers and R. AlcubillaMat . Res. Soc . Symp. Proc . , 715 (2002) pp. A24.5.1.
20. S. Janz, S. Riepe, M. Hofmann, S. Reber, and S. Glunz, Appl. Phys. Lett., 88 (2006) pp. 133516.
21. S. W. Glunz, S. Janz, M. Hofmann, T. Roth, and G. Willeke, Paper presented at the 4th World Conference on Photovoltaic Energy Conversion, Hawaii, May, 2006.

Claims (36)

  1. 기체 전구체를 형성하기 위해 가열 챔버에서 고체 유기실란 원료를 가열하는 단계;
    기판을 함유하는 증착 챔버에 기체 전구체를 운반하는 단계; 및
    기판상에 막을 형성하기 위해 에너지원을 사용하여 기체 전구체를 반응시키는 단계를 포함하여 기판상에 막을 형성하는 방법.
  2. 제 1 항에 있어서,
    에너지원은 전기 가열, UV 조사, IR 조사, 마이크로웨이브 조사, X-레이 조사, 전자빔, RF 또는 플라즈마인 방법.
  3. 제 1 항에 있어서,
    에너지원은 플라즈마인 방법.
  4. 제 3 항에 있어서,
    막은 플라즈마 화학기상증착(PECVD), 무선 주파수 플라즈마 화학기상증착(RF-PECVD), 전자-사이클론-공명 플라즈마 화학기상증착(ECR-PECVD), 유도 결합 플라즈마 화학기상증착(ICP-ECVD), 플라즈마빔 원료 플라즈마 화학기상증착(PBS-PECVD) 또는 이의 조합에 의해 기판상에 형성되는 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    가열 챔버는 50 내지 700℃의 온도로 가열되는 방법.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    가열 챔버는 475 내지 500℃의 온도로 가열되는 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    기판은 25 내지 500℃의 온도인 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    기체 전구체는 연속적 흐름으로 증착 챔버로 운반되는 방법.
  9. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    기체 전구체는 펄스 흐름으로 증착 챔버로 운반되는 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    증착 챔버는 반응기 내부에 있고 가열 챔버는 반응기 외부에 있는 방법.
  11. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    증착 챔버와 가열 챔버는 모두 반응기 내에 있는 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    고체 유기실란 원료는 실리콘계 폴리머인 방법.
  13. 제 12 항에 있어서,
    실리콘계 폴리머는 가열 챔버에서 가열하는 동안 열역학적으로 안정한 Si-C 결합을 포함하는 방법.
  14. 제 12 항 또는 제 13 항에 있어서,
    실리콘계 폴리머는 적어도 하나의 실리콘 원자 및 둘 이상의 탄소 원자를 포함하는 모노머 단위를 갖는 방법.
  15. 제 14 항에 있어서,
    모노머 단위는 N, O, F, B, P 또는 이의 조합을 더 포함하는 방법.
  16. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    고체 유기실란 원료는 폴리다이메틸실란, 폴리카보메틸실란, 트라이페닐실란 또는 노나메틸트리스실라잔인 방법.
  17. 제 1 항 내지 제 16 항 중 어느 한 항에 있어서,
    고체 유기실란 원료는 합성비의 동위원소를 포함하는 방법.
  18. 제 1 항 내지 제 17 항 중 어느 한 항에 있어서,
    막은 실리콘 카바이드(SiC), 실리콘 카보플로라이드(SiCF), 실리콘 카보나이트라이드(SiCN), 실리콘 옥시카바이드(SiOC), 실리콘 옥시카보나이트라이드(SiOCN), 실리콘 카보보라이드(SiCB), 실리콘 카보나이트로보라이드(SiCNB), 실리콘 카보포스파이드(SiCP) 또는 이의 조합을 포함하는 방법.
  19. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    반응 단계 이전에 기체 전구체와 반응 기체를 혼합하는 단계를 더 포함하는 방법.
  20. 제 19 항에 있어서,
    반응 기체는 CF4, C4F8, CH2F2, NF3, C2F6, C3F8, CHF3, C2F4, C3F6 또는 이의 조합인 방법.
  21. 제 19 항에 있어서,
    반응 기체는 N2, NH3 또는 NCl3인 방법.
  22. 제 19 항에 있어서,
    반응 기체는 O2, O3, CO 또는 CO2인 방법.
  23. 제 19 항에 있어서,
    반응 기체는 BH3, BCl3, B2H6 또는 B2Cl6인 방법.
  24. 제 19 항에 있어서,
    반응 기체는 PH3 또는 PCl3인 방법.
  25. 제 19 항에 있어서,
    반응 기체는 다이플루오로벤젠을 가열함으로써 형성되는 방법.
  26. 제 19 항에 있어서,
    반응 기체는 트라이페닐포스핀, 트라이에틸포스핀, 다이메틸페닐포스핀 또는 트리스(트라이메틸실릴)포스핀을 가열함으로써 형성되는 방법.
  27. 제 19 항에 있어서,
    반응 기체는 트리스(파이라졸-1-일)메테인을 가열함으로써 형성되는 방법.
  28. 제 19 항에 있어서,
    반응 기체는 보레인 t-뷰틸아민, 트라이에탄올아민보레이트, 보레인 다이메틸아민 또는 트리스(트라이메틸실록시)붕소를 가열함으로써 형성되는 방법.
  29. 제 1 항 내지 제 28 항 중 어느 한 항에 있어서,
    운반하는 단계는 운반 기체를 포함하는 방법.
  30. 운반 기체는 He, Ar, Ne 또는 이의 조합인 방법.
  31. 제 1 항 내지 제 30 항 중 어느 한 항에 따른 방법으로 얻은 막.
  32. 제 1 항 내지 제 30 항 중 어느 한 항의 방법에 따라 반도체의 표면상에 막을 증착하는 단계를 포함하는 실리콘계 반도체의 표면 패시베이션 방법.
  33. 제 32 항에 있어서,
    막은 실리콘 카바이드(SiC), 실리콘 카보플로라이드(SiCF), 실리콘 카보나이트라이드(SiCN), 실리콘 옥시카바이드(SiOC), 실리콘 옥시카보나이트라이드(SiOCN), 실리콘 카보보라이드(SiCB), 실리콘 카보나이트로보라이드(SiCNB), 실리콘 카보포스파이드(SiCP) 또는 이의 조합을 포함하는 방법.
  34. 제 32 항 또는 제 33 항에 있어서,
    증착 후 반도체를 어닐링하는 추가 단계를 포함하는 방법.
  35. 제 34 항에 있어서,
    어닐링은 빠른 열 어닐링, 뜨거운-기체 어닐링, 벨트 로 어닐링 또는 등온 어닐링인 방법.
  36. 제 1 항 내지 제 30 항 중 어느 한 항에 따른 방법에 사용하기 위해, 고체 유기실란 원료를 가열함으로써 생산된 기체 전구체를 포함하는 용기.
KR1020097020080A 2007-02-27 2008-02-27 기판상에 막을 형성하는 방법 KR20090121361A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US89179007P 2007-02-27 2007-02-27
US60/891,790 2007-02-27
US97144207P 2007-09-11 2007-09-11
US60/971,442 2007-09-11

Publications (1)

Publication Number Publication Date
KR20090121361A true KR20090121361A (ko) 2009-11-25

Family

ID=39720808

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097020080A KR20090121361A (ko) 2007-02-27 2008-02-27 기판상에 막을 형성하는 방법

Country Status (9)

Country Link
US (1) US20100129994A1 (ko)
EP (1) EP2122007A4 (ko)
JP (1) JP2010519773A (ko)
KR (1) KR20090121361A (ko)
CN (1) CN101675180A (ko)
AU (1) AU2008221198A1 (ko)
CA (1) CA2670809A1 (ko)
TW (1) TW200842950A (ko)
WO (1) WO2008104059A1 (ko)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013036667A2 (en) * 2011-09-09 2013-03-14 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
WO2013039881A2 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8440571B2 (en) 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
KR101293041B1 (ko) * 2011-06-22 2013-08-05 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9144147B2 (en) 2011-01-18 2015-09-22 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
CN101622261A (zh) * 2007-11-27 2010-01-06 斯克司聪先进材料公司 用于形成气态有机硅化合物的方法和设备
WO2009143618A1 (en) * 2008-05-28 2009-12-03 Sixtron Advanced Materials, Inc. Silicon carbide-based antireflective coating
JP5470633B2 (ja) 2008-12-11 2014-04-16 国立大学法人東北大学 光電変換素子及び太陽電池
DE102009026249B4 (de) * 2009-07-24 2012-11-15 Q-Cells Se Plasma unterstütztes Abscheideverfahren, Halbleitervorrichtung und Abscheidevorrichtung
DE102009054912A1 (de) * 2009-08-28 2011-03-10 M2K-Laser Gmbh Hochleistungs-Diodenlaser und Verfahren zum Herstellen eines Hochleistungs-Diodenlasers
FR2950080B1 (fr) * 2009-09-17 2012-03-02 Essilor Int Procede et dispositif de depot chimique en phase gazeuse d'un film polymere sur un substrat
US20120222741A1 (en) * 2009-09-18 2012-09-06 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Solar cell with improved performance
US20110094574A1 (en) 2009-10-27 2011-04-28 Calisolar Inc. Polarization Resistant Solar Cell Design Using SiCN
JP5607394B2 (ja) * 2010-03-16 2014-10-15 大陽日酸株式会社 層間絶縁膜の成膜方法および層間絶縁膜
CN101775591A (zh) * 2010-03-23 2010-07-14 福建钧石能源有限公司 沉积薄膜的方法
CN103201845A (zh) * 2010-09-22 2013-07-10 道康宁公司 电子制品及形成方法
DE102010060339A1 (de) * 2010-11-04 2012-05-10 Q-Cells Se Solarzelle und Solarzellenherstellungsverfahren
US8551829B2 (en) 2010-11-10 2013-10-08 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
DE102011012298A1 (de) * 2010-12-28 2012-06-28 Osram Opto Semiconductors Gmbh Verbundsubstrat, Halbleiterchip mit Verbundsubstrat und Verfahren zur Herstellung von Verbundsubstraten und Halbleiterchips
KR101378478B1 (ko) 2011-03-23 2014-03-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8710596B2 (en) 2011-05-13 2014-04-29 United Microelectronics Corp. Semiconductor device
US8597860B2 (en) 2011-05-20 2013-12-03 United Microelectronics Corp. Dummy patterns and method for generating dummy patterns
KR101319184B1 (ko) * 2011-07-25 2013-10-16 성균관대학교산학협력단 무기 분말 입자의 표면을 실리콘-탄소 복합체로 코팅하는 방법 및 상기 방법으로 코팅된 무기 분말 입자
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8507350B2 (en) 2011-09-21 2013-08-13 United Microelectronics Corporation Fabricating method of semiconductor elements
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
JP6083676B2 (ja) * 2011-11-02 2017-02-22 国立大学法人山口大学 窒素がドープされたアモルファスシリコンカーバイドよりなるn型半導体及びn型半導体素子の製造方法
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US8975672B2 (en) 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US8921206B2 (en) 2011-11-30 2014-12-30 United Microelectronics Corp. Semiconductor process
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8536072B2 (en) 2012-02-07 2013-09-17 United Microelectronics Corp. Semiconductor process
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
CN104284997B (zh) * 2012-03-09 2016-08-17 气体产品与化学公司 在薄膜晶体管器件上制备含硅膜的方法
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
WO2013142585A1 (en) * 2012-03-21 2013-09-26 Dow Corning Corporation Method of forming a photovoltaic cell module
WO2014018122A1 (en) * 2012-03-21 2014-01-30 Dow Corning Corporation Method of forming a light emitting diode module
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US9064931B2 (en) 2012-10-11 2015-06-23 United Microelectronics Corp. Semiconductor structure having contact plug and metal gate transistor and method of making the same
US8927388B2 (en) 2012-11-15 2015-01-06 United Microelectronics Corp. Method of fabricating dielectric layer and shallow trench isolation
US8883621B2 (en) 2012-12-27 2014-11-11 United Microelectronics Corp. Semiconductor structure and method of fabricating MOS device
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9196352B2 (en) 2013-02-25 2015-11-24 United Microelectronics Corp. Static random access memory unit cell structure and static random access memory unit cell layout structure
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9214395B2 (en) 2013-03-13 2015-12-15 United Microelectronics Corp. Method of manufacturing semiconductor devices
US9093285B2 (en) 2013-03-22 2015-07-28 United Microelectronics Corp. Semiconductor structure and process thereof
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US8993433B2 (en) 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US9349812B2 (en) 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
CN104241112B (zh) * 2013-06-09 2017-11-03 中芯国际集成电路制造(上海)有限公司 非晶半导体材料的形成方法及金属硅化物的形成方法
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US9362107B2 (en) * 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US9640400B1 (en) * 2015-10-15 2017-05-02 Applied Materials, Inc. Conformal doping in 3D si structure using conformal dopant deposition
KR102412614B1 (ko) 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
US10388524B2 (en) * 2016-12-15 2019-08-20 Tokyo Electron Limited Film forming method, boron film, and film forming apparatus
KR101941232B1 (ko) * 2016-12-20 2019-01-22 주식회사 티씨케이 반도체 제조용 부품, 복합체 코팅층을 포함하는 반도체 제조용 부품 및 그 제조방법
EP3597621B1 (en) * 2017-03-14 2022-11-09 IHI Aerospace Co., Ltd. Method for producing silicon-carbide-based composite
JP7288432B2 (ja) * 2017-09-03 2023-06-07 アプライド マテリアルズ インコーポレイテッド 共形ドーパント膜堆積を使用した、3d構造体の共形ハロゲンドーピング
EP3785020A4 (en) * 2018-04-27 2022-01-19 University of Washington METAL HALIDE SEMICONDUCTOR OPTICAL AND ELECTRONIC DEVICES AND PROCESSES FOR THEIR MANUFACTURE
CN109119493A (zh) * 2018-07-24 2019-01-01 深圳市拉普拉斯能源技术有限公司 应用于太阳能电池的多功能薄膜材料SixCyNz及其制备方法
SG11202105663XA (en) * 2018-11-29 2021-06-29 Silcotek Corp Fluid contact process, coated article, and coating process
CN110357631B (zh) * 2019-08-14 2021-09-17 曾杰 基于微波处理的化学气相转化工艺制备碳化硅部件的方法及设备
CN111584358A (zh) * 2020-04-09 2020-08-25 中国科学院微电子研究所 刻蚀沟槽的方法
GB202008892D0 (en) * 2020-06-11 2020-07-29 Spts Technologies Ltd Method of deposition
EP4300823A1 (en) * 2022-06-29 2024-01-03 Silicon Austria Labs GmbH A layer for an acoustic device and a method for depositing the same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5209979A (en) * 1990-01-17 1993-05-11 Ethyl Corporation Silicon carbide coated article with ceramic topcoat
US5820664A (en) * 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
TW337513B (en) * 1992-11-23 1998-08-01 Cvd Inc Chemical vapor deposition-produced silicon carbide having improved properties and preparation process thereof
JPH09508178A (ja) * 1994-01-21 1997-08-19 ザ カーボランダム カンパニー 炭化ケイ素のスパッタリングターゲット
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5849089A (en) * 1997-03-14 1998-12-15 Kabushiki Kaisha Toshiba Evaporator for liquid raw material and evaporation method therefor
US5850064A (en) * 1997-04-11 1998-12-15 Starfire Electronics Development & Marketing, Ltd. Method for photolytic liquid phase synthesis of silicon and germanium nanocrystalline materials
US5952046A (en) * 1998-01-21 1999-09-14 Advanced Technology Materials, Inc. Method for liquid delivery chemical vapor deposition of carbide films on substrates
WO2003100123A1 (en) * 2002-05-23 2003-12-04 UNIVERSITé DE SHERBROOKE Ceramic thin film on various substrates, and process for producing same
US6730802B2 (en) * 2002-07-09 2004-05-04 Starfire Systems, Inc. Silicon carbide precursor
US20060121197A1 (en) * 2003-09-05 2006-06-08 Moffat William A Method for silane coating of indium tin oxide surfaced substrates
EP1792726A4 (en) * 2004-09-21 2008-12-31 Konica Minolta Holdings Inc TRANSPARENT GASPERRFILM
US20060068603A1 (en) * 2004-09-30 2006-03-30 Tokyo Electron Limited A method for forming a thin complete high-permittivity dielectric layer
WO2006080205A1 (ja) * 2005-01-31 2006-08-03 Tosoh Corporation 環状シロキサン化合物、Si含有膜形成材料、およびその用途

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8440571B2 (en) 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
US9144147B2 (en) 2011-01-18 2015-09-22 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101293041B1 (ko) * 2011-06-22 2013-08-05 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
WO2013036667A2 (en) * 2011-09-09 2013-03-14 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
WO2013036667A3 (en) * 2011-09-09 2013-05-02 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
WO2013039881A3 (en) * 2011-09-13 2013-05-02 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
WO2013039881A2 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10580690B2 (en) 2016-11-23 2020-03-03 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Also Published As

Publication number Publication date
AU2008221198A1 (en) 2008-09-04
EP2122007A1 (en) 2009-11-25
EP2122007A4 (en) 2011-10-26
TW200842950A (en) 2008-11-01
WO2008104059A1 (en) 2008-09-04
JP2010519773A (ja) 2010-06-03
US20100129994A1 (en) 2010-05-27
CN101675180A (zh) 2010-03-17
CA2670809A1 (en) 2008-09-04

Similar Documents

Publication Publication Date Title
KR20090121361A (ko) 기판상에 막을 형성하는 방법
US20110146787A1 (en) Silicon carbide-based antireflective coating
CN102834933B (zh) 性能改善的太阳能电池
Dingemans et al. Plasma-assisted atomic layer deposition of low temperature SiO2
US7396563B2 (en) Ceramic thin film on various substrates, and process for producing same
CN101316945B (zh) 低介电常数薄膜的灰化/湿法蚀刻损伤的抵抗性以及整体稳定性的改进方法
US20100051096A1 (en) Silicon carbonitride antireflective coating
Guruvenket et al. Atmospheric pressure plasma CVD of amorphous hydrogenated silicon carbonitride (a‐SiCN: H) films using triethylsilane and nitrogen
US20110313184A1 (en) Insulating film material, and film formation method utilizing the material, and insulating film
Kim et al. Annealing effects on a-SiC: H and a-SiC: H (F) thin films deposited by PECVD at room temperature
Wrobel et al. Hard silicon carbonitride thin‐film coatings produced by remote hydrogen plasma chemical vapor deposition using aminosilane and silazane precursors. 1: Deposition mechanism, chemical structure, and surface morphology
Ermakova et al. Organosilicon compounds as single-source precursors for SiCN films production
Swatowska et al. Optical and structural characterization of silicon‐carbon‐nitride thin films for optoelectronics
TWI518923B (zh) 以碳化矽為主的抗反射塗層
US20100186811A1 (en) Silicon Carbonitride Antireflective Coating
Chainani et al. Electronic structure of carbon-free silicon oxynitride films grown using an organic precursor hexamethyl-disilazane
Hartenstein et al. Hydrogen Stability and Bonding in SiN x and Al2O3 Dielectric Stacks on Poly-Si/SiO x Passivating Contacts
Aite et al. The relationship between intrinsic stress of silicon nitride films and ion generation in a 50 kHz RF discharge
Chu et al. Doped amorphous SiC, mixed carbide and oxycarbide thin films by a liquid route
CA2486867C (en) Ceramic thin film on various substrates, and process for producing same
TW201409567A (zh) 塗覆鈍化層之矽晶圓
Goldbach et al. Hot-wire chemical vapor deposition of silicon nitride for multicrystalline silicon solar cells
Ding et al. Influence of annealing environment on the Hydrogen related bonding structure in silicon nitride thin films containing silicon nanoparticles
Mohd Azam Structure and optical properties of multi-phase structured amorphous silicon carbon nitride thin films deposited by plasma enhanced chemical vapour deposition/Mohd Azam Abdul Rahman
Rahman Structure and Optical Properties of Multi-Phase Structured Amorphous Silicon Carbon Nitride Thin Films Deposited by Plasma Enhanced Chemical Vapour Deposition

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid