KR102496037B1 - 플라즈마 식각 방법 및 장치 - Google Patents

플라즈마 식각 방법 및 장치 Download PDF

Info

Publication number
KR102496037B1
KR102496037B1 KR1020160006955A KR20160006955A KR102496037B1 KR 102496037 B1 KR102496037 B1 KR 102496037B1 KR 1020160006955 A KR1020160006955 A KR 1020160006955A KR 20160006955 A KR20160006955 A KR 20160006955A KR 102496037 B1 KR102496037 B1 KR 102496037B1
Authority
KR
South Korea
Prior art keywords
etching
time
chamber
incubation time
material layer
Prior art date
Application number
KR1020160006955A
Other languages
English (en)
Other versions
KR20170087239A (ko
Inventor
박기종
양준열
고용선
김경현
김태헌
신재진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020160006955A priority Critical patent/KR102496037B1/ko
Priority to US15/133,989 priority patent/US10096453B2/en
Publication of KR20170087239A publication Critical patent/KR20170087239A/ko
Priority to US15/841,230 priority patent/US10580617B2/en
Application granted granted Critical
Publication of KR102496037B1 publication Critical patent/KR102496037B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

본 발명의 실시예에 의한 플라즈마 식각 장치는, 물질막이 형성된 기판을 지지하는 식각 챔버; 플라즈마 식각 공정이 수행되기 전에 상기 물질막의 식각 공정에 대한 공정 조건을 결정하고, 상기 공정 조건에 따라 식각 챔버의 동작을 제어하는 적어도 하나의 프로세서가 포함되며, 상기 공정 조건은 상기 플라즈마 식각 공정이 연속적으로 수행되는 단위 식각시간을 포함한다.

Description

플라즈마 식각 방법 및 장치{method and apparatus for plasma etching}
본 발명은 플라즈마 식각 방법 및 장치에 관한 것이다.
반도체 소자 제조 영역에 있어서, 플라즈마 식각은 기판 상 또는 기판 내의 패턴을 형성하기 위해 사용될 수 있다. 즉, 상기 플라즈마 식각은 벌크(bulk) 상태의 기판을 패터닝하거나, 기판 상에 형성되는 적어도 하나의 도전층 및/또는 절연층을 패터닝하는데 활용될 수 있다. 이에 따라 반도체 제조공정은 증착 공정을 통해 기판 상에 물질막을 형성하는 공정, 상기 물질막에 마스크 패턴을 형성하는 노광 공정, 상기 마스크 패턴을 통해 물질막을 선택적으로 식각하는 플라즈마 식각 공정을 포함할 수 있다. 상기 플라즈마 식각을 이용하면 기존의 식각 기법보다 더 세밀한 패턴을 형성할 수 있다.
상기 플라즈마 식각은 식각 대상이 되는 물질이 놓여진 챔버 내에 일반적으로 플라즈마 형태(이온화된)의 반응 가스(reactive gas)를 포함한다. 상기 이온화된 반응 가스는 플라즈마 상태의 반응 입자들과 마스크 층의 마스크 패턴에 의해 노출된 식각 대상 물질 면 사이의 화학흡착(chemisorption)이 발생하도록 상기 식각 대상으로 확산된다. 이러한 반응들은 챔버에서 배기되어야 하는 식각 부산물들을 생성한다. 이와 같이 상기 노출된 물질 면은 마스크 층의 마스크 패턴에 따라 식각될 수 있으나, 상기 식각 대상 물질에 대한 패턴의 정밀도(resolution)는 상기 노출된 물질 면과 마스크 층의 물질 간 식각 선택비(etching selectivity)에 의해 영향 받을 수 있다. 따라서, 패턴을 보다 정밀하게 형성하기 위해서는 상기 식각 선택비의 향상이 요구된다.
본 발명의 실시예는 식각 선택비를 향상시킬 수 있는 플라즈마 식각 장치 및 방법을 제공함을 목적으로 한다.
상기 목적을 달성하기 위하여 본 발명의 실시예에 의한 플라즈마 식각 장치는, 물질막이 형성된 기판을 지지하는 식각 챔버; 플라즈마 식각 공정이 수행되기 전에 상기 물질막의 식각 공정에 대한 공정 조건을 결정하고, 상기 공정 조건에 따라 식각 챔버의 동작을 제어하는 적어도 하나의 프로세서가 포함되며, 상기 공정 조건은 상기 플라즈마 식각 공정이 연속적으로 수행되는 단위 식각시간을 포함한다.
상기 기판 상에 형성된 적어도 2개의 물질막의 식각량을 측정하는 계측장비를 더 포함하며, 상기 적어도 하나의 프로세서는, 상기 계측장비에서 출력된 정보에 대응하여 상기 적어도 2개의 물질막에 대한 각각의 인큐베이션 타임을 추정하고, 상기 적어도 2개의 물질막에 대한 각각의 인큐베이션 타임에 따라 상기 단위 식각시간을 결정하며, 상기 각각의 인큐베이션 타임은 상기 적어도 2개의 물질막 각각이 식각가스에 반응하는 최소 반응시간이다.
상기 적어도 2개의 물질막은, 식각 대상이 되는 제 1물질막과 상기 제 1물질막의 식각 패턴을 가이드하는 제 2물질막을 포함한다.
상기 각각의 인큐베이션 타임은, 상기 제 1물질막에 대한 제 1인큐베이션 타임과 제 2물질막에 대한 제 2인큐베이션 타임을 포함하며, 상기 제 2인큐베이션 타임이 제 1인큐베이션 타임보다 크다.
상기 단위 식각시간은 상기 제 1인큐베이션 타임과 제 2인큐베이션 타임 사이의 시간으로 설정되는 플라즈마 식각 장치.
본 발명의 실시예에 의한 플라즈마 식각 방법은, 기판 상에 형성된 물질막에 관련하여 계측된 식각 정보를 수신하는 단계; 상기 계측된 식각 정보에 근거하여 상기 물질막의 플라즈마 식각을 제어하는 조건을 결정하는 단계; 상기 조건 결정 이후, 상기 조건에 따라 플라즈마 식각을 수행하는 단계를 포함하며, 상기 조건은 상기 플라즈마 식각이 연속적으로 수행되는 단위 식각시간을 포함한다.
또한, 적어도 2개 이상의 상기 물질막에 대한 식각량을 측정하는 단계; 상기 측정된 각 물질막의 식각량 값을 통해 각 물질막에 대한 인큐베이션 타임을 결정하는 단계가 더 포함되며, 상기 식각량은 상기 계측된 식각 정보에 대응되고, 상기 단위 식각시간은 상기 적어도 2개의 물질막에 대한 각각의 인큐베이션 타임에 따라 결정되고, 상기 각각의 인큐베이션 타임은 상기 적어도 2개의 물질막 각각이 식각가스에 반응하는 최소 반응시간이다.
이와 같은 본 발명의 실시예에 의하면, 높은 식각 선택비를 안정적으로 유지하면서 플라즈마 식각 공정을 수행할 수 있다.
도 1a는 본 발명의 실시예에 의한 플라즈마 식각 장치의 구성을 나타내는 블록도.
도 1b 및 도 1c는 본 발명의 실시예에 의한 플라즈마 식각 장치의 레이아웃을 도시하는 블록도.
도 2는 도 1에 도시된 플라즈마 식각 장치의 동작을 설명하기 위한 그래프.
도 3은 도 2의 그래프 결과에 대응되는 식각 선택비를 나타내는 그래프.
도 4는 특정 물질막들에 대한 시간 대비 식각량을 측정한 결과를 나타내는 그래프.
도 5는 본 발명의 실시예에 의한 플라즈마 식각 방법을 나타내는 순서도.
도 6은 본 발명의 다른 실시예에 의한 플라즈마 식각 방법을 나타내는 순서도.
위 발명의 배경이 되는 기술 란에 기재된 내용은 오직 본 발명의 기술적 사상에 대한 배경 기술의 이해를 돕기 위한 것이며, 따라서 그것은 본 발명의 기술 분야의 당업자에게 알려진 선행 기술에 해당하는 내용으로 이해될 수 없다.
아래의 서술에서, 설명의 목적으로, 다양한 실시예들의 이해를 돕기 위해 많은 구체적인 세부 내용들이 제시된다. 그러나, 다양한 실시예들이 이러한 구체적인 세부 내용들 없이 또는 하나 이상의 동등한 방식으로 실시될 수 있다는 것은 명백하다. 다른 예시들에서, 잘 알려진 구조들과 장치들은 장치는 다양한 실시예들을 불필요하게 이해하기 어렵게 하는 것을 피하기 위해 블록도로 표시된다.
도면에서, 레이어들, 필름들, 패널들, 영역들 등의 크기 또는 상대적인 크기는 명확한 설명을 위해 과장될 수 있다. 또한, 동일한 참조 번호는 동일한 구성 요소를 나타낸다.
명세서 전체에서, 어떤 소자 또는 레이어가 다른 소자 또는 레이어와 "연결되어 있다"고 서술되어 있으면, 이는 직접적으로 연결되어 있는 경우뿐 아니라, 그 중간에 다른 소자나 레이어를 사이에 두고 간접적으로 연결되어 있는 경우도 포함한다. 그러나, 만약 어떤 부분이 다른 부분과 "직접적으로 연결되어 있다"고 서술되어 있으면, 이는 해당 부분과 다른 부분 사이에 다른 소자가 없음을 의미할 것이다. "X, Y, 및 Z 중 적어도 어느 하나", 그리고 "X, Y, 및 Z로 구성된 그룹으로부터 선택된 적어도 어느 하나"는 X 하나, Y 하나, Z 하나, 또는 X, Y, 및 Z 중 둘 또는 그 이상의 어떤 조합 (예를 들면, XYZ, XYY, YZ, ZZ) 으로 이해될 것이다. 여기에서, "및/또는"은 해당 구성들 중 하나 또는 그 이상의 모든 조합을 포함한다.
여기에서, 첫번째, 두번째 등과 같은 용어가 다양한 소자들, 요소들, 지역들, 레이어들, 및/또는 섹션들을 설명하기 위해 사용될 수 있지만, 이러한 소자들, 요소들, 지역들, 레이어들, 및/또는 섹션들은 이러한 용어들에 한정되지 않는다. 이러한 용어들은 하나의 소자, 요소, 지역, 레이어, 및/또는 섹션을 다른 소자, 요소, 지역, 레이어, 및 또는 섹션과 구별하기 위해 사용된다. 따라서, 일 실시예에서의 첫번째 소자, 요소, 지역, 레이어, 및/또는 섹션은 다른 실시예에서 두번째 소자, 요소, 지역, 레이어, 및/또는 섹션이라 칭할 수 있다.
"아래", "위" 등과 같은 공간적으로 상대적인 용어가 설명의 목적으로 사용될 수 있으며, 그렇게 함으로써 도면에서 도시된 대로 하나의 소자 또는 특징과 다른 소자(들) 또는 특징(들)과의 관계를 설명한다. 이는 도면 상에서 하나의 구성 요소의 다른 구성 요소에 대한 관계를 나타내는 데에 사용될 뿐, 절대적인 위치를 의미하는 것은 아니다. 예를 들어, 도면에 도시된 장치가 뒤집히면, 다른 소자들 또는 특징들의 "아래"에 위치하는 것으로 묘사된 소자들은 다른 소자들 또는 특징들의 "위"의 방향에 위치한다. 따라서, 일 실시예에서 "아래" 라는 용어는 위와 아래의 양방향을 포함할 수 있다. 뿐만 아니라, 장치는 그 외의 다른 방향일 수 있다 (예를 들어, 90도 회전된 혹은 다른 방향에서), 그리고, 여기에서 사용되는 그런 공간적으로 상대적인 용어들은 그에 따라 해석된다.
여기에서 사용된 용어는 특정한 실시예들을 설명하는 목적이고 제한하기 위한 목적이 아니다. 명세서 전체에서, 어떤 부분이 어떤 구성요소를 "포함한다" 고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있는 것을 의미한다. 다른 정의가 없는 한, 여기에 사용된 용어들은 본 발명이 속하는 분야에서 통상적인 지식을 가진 자에게 일반적으로 이해되는 것과 같은 의미를 갖는다.
이하 첨부된 도면을 참고하여 본 발명의 실시예를 보다 상세히 설명하도록 한다.
도 1a, 도 1b 및 도 1c는 각각 본 발명의 실시예에 의한 플라즈마 식각 장치의 구성을 나타내는 블록도이다.
도 1a를 참조하면, 본 발명의 실시예에 의한 플라즈마 식각 장치(100)는, 물질막에 대한 식각 공정 및 식각 초기화 공정이 수행되는 식각 챔버(110)와, 상기 식각 챔버(110)에 의한 식각 공정이 수행되기 전 식각에 대한 공정조건을 설정하는 프로세서(120) 및 상기 프로세서(120)에 의해 설정된 공정조건에 따라 상기 식각 챔버(110)의 동작을 제어하는 제어부(controller)(130)가 포함된다.
상기 식각 챔버(110)는, 제 1챔버(112)와 제 2챔버(114) 및 상기 제 1챔버(112)와 제 2챔버(114)를 연결하는 연결 채널(113)를 포함할 수 있다. 상기 제 1, 2챔버(112, 114)는 플라즈마를 이용한 반도체 제조공정이 이루어지는 진공 상태의 공정 챔버이다. 상기 제 1챔버(112) 및 제 2챔버(114)는 식각 공정 및 식각 초기화 공정을 수행할 수 있다. 일 예로, 상기 제 1챔버(112)가 식각 공정을 수행하고, 제 2챔버(114)가 식각 초기화 공정을 수행할 수 있다. 또한, 상기 제 1챔버(112)는 상기 제 1챔버(114)가 제 2기판에 대한 식각 초기화 공정을 수행하는 동안 제 1기판에 대한 식각 공정을 수행할 수 있다. 그러나, 본 발명의 실시예가 이에 한정되는 것은 아니다. 일 예로, 상기 제 1챔버(112)가 식각 초기화 공정을 수행하고, 제 2챔버(114)가 식각 공정을 수행할 수 있다. 다른 실시예로서, 상기 제 1실시예(112) 및 제 2실시예(114)가 각각 식각 공정 및 식각 초기화 공정 모두를 수행할 수 있다.
또한, 상기 제 1챔버(112) 및 제 2챔버(114)는 도 1b에 도시된 바와 같이 복수의 서브 챔버들 일 예로, 제 1서브 챔버들(1121, 1122, 1123, …, 112n)및 제 2서브 챔버(114)를 포함하는 식각 장치(1101)의 부분들로 정의될 수 있다. 다른 실시예로서, 소정의 타겟 깊이로 플라즈마 식각되는 기판은 상기 복수의 제 1서브 챔버들(1121, 1122, 1123, …, 112n)을 경유하여 순환될 수 있다. 즉, 각각의 제 1서브 챔버들(1121, 1122, 1123, …, 112n)은 서브 플라즈마 식각 공정을 수행하며, 이와 같은 상기 서브 플라즈마 식각 공정이 모두 수행되면 결과적으로 상기 소정의 타겟 깊이로 기판이 식각될 수 있다.
본 발명의 실시예에 의하면, 상기 제 1서브 챔버들(1121, 1122, 1123, …, 112n)은 상기 제 2서브 챔버(114)를 중앙으로 하여 둘레를 둘러싸도록 배열될 수 있으며, 각각의 제 1서브 챔버들(1121, 1122, 1123, …, 112n)은 연결 채널 일 예로 복수의 연결 채널들(1131, 1132, 1133, …, 113n) 중 하나를 통해 중앙의 제 2서브 챔버(114)와 연결될 수 있다. 따라서, 상기 식각 공정은 복수의 서브 챔버들(1121, 1122, 1123, …, 112n)에서 수행되고, 식각 초기화 공정은 상기 중앙의 제 2서브 챔버(114)에서 수행될 수 있다.
그러나, 본 발명의 실시예가 반드시 이에 한정되는 것은 아니다. 예를 들면, 도 1c에 도시된 바와 같이 식각 장치(1103)는 복수의 연결 채널들(1131, 1132, 1133, …, 113n)을 통해 서로 연결되는 복수의 서브 챔버들(11021, 11022, 11023, …, 1102n)을 포함할 수 있다. 이 경우 각각의 서브 챔버들(11021, 11022, 11023, …, 1102n)은 식각 공정 및 식각 초기화 공정을 수행할 수 있다. 이 때, 상기 제 n번째 서브 챔버(1102n)는 제 1번째 서브 챔버(11021)와 연결되지 않을 수도 있다.
상기 식각 장치(1101 또는 1103)는 제조 공정상의 효율을 높이기 위해 동시에 여러 개의 기판들에 대한 공정을 수행할 수 있다. 도 1b의 실시예에 의할 경우 소정의 제 1서브 챔버와 제 2서브 챔버(114) 사이의 변경 동작(일 예로 기판 이송 등)이 수행되는 동안 다른 제 1서브 챔버들에 의해 다른 기판에 대한 공정(일 예로 식각 공정)이 수행될 수 있다. 즉, 상기 복수의 제 1서브 챔버들(1121, 1122, 1123, …, 112n)을 통해 기판에 대한 공정을 반복적으로 수행할 수 있는 것이다. 마찬가지로 도 1c의 실시예에 의할 경우도 복수의 서브 챔버들(11021, 11022, 11023, …, 1102n)을 통해 기판에 대한 공정을 반복적으로 수행할 수 있다. 이에 따라, 도 1b에 도시된 복수의 제 1서브 챔버들(1121, 1122, 1123, …, 112n) 또는 도 1c에 도시된 복수의 서브 챔버들(11021, 11022, 11023, …, 1102n)에 의해 공정을 반복함으로써 제조 공정 라인은 원하는 타겟 깊이로 식각할 수 있으며, 상기 반복 횟수를 제어함으로써 기판의 식각 정도(타겟 깊이)를 제어할 수 있게 된다.
설명의 편의를 위하여 도 1a에 도시된 식각 챔버(110)는 식각 공정을 수행하는 제 1챔버(112)와 식각 초기화 공정을 수행하는 제 2챔버(114)를 포함하는 것으로 한다. 따라서, 상기 제 1챔버(112)는 식각 대상이 되는 기판(115) 상에 형성된 특정 물질막에 대한 식각 공정이 수행되고, 상기 제 2챔버(114)에서는 상기 식각 공정에 의해 생성된 식각 부산물을 제거(퍼징)하는 식각 초기화 공정이 수행된다.
또한, 각 챔버(112, 114)에는 가스 주입구(116) 및 배출구(117)와, 유입되는 가스를 플라즈마(이온화) 상태로 형성하기 위해 고주파 전력을 제공하는 상부 전극(118) 및 기판 지지부(119)가 포함된다. 이 때, 상기 제 1챔버(112)에는 상기 가스 주입구(116)를 통해 식각 가스가 유입되고, 상기 제 2챔버(114)에는 식각에 의한 부산물들을 제거하기 위한 퍼징 가스가 유입될 수 있다.
상기 상부 전극(118)은 각 챔버(112, 114)의 상부에 배치되어 약 60 ~ 200㎒의 고주파 소스 전력이 공급되는 평판 형상의 도체로 구현될 수 있다. 또한, 상기 기판 지지부(119)는 도시된 바와 같이 식각 대상 물질이 도포된 기판(115)이 놓여질 수 있도록 각 챔버(112, 114)의 하부에 상기 상부 전극(118)과 평행하게 배치되는 평판 형상의 도체로 구현될 수 있다.
단, 상기 기판 지지부(119)는 상기 상부 전극(118)에 대응되는 하부 전극으로서의 역할을 수행할 수 있다. 즉, 상기 제 1챔버(112)에 구비된 기판 지지부(119)에는 식각 공정을 수행하기 위해 약 2~13.56㎒의 저주파 바이어스 전력이 공급될 수 있으며, 제 2챔버(114)에 구비된 기판 지지부(119)에는 식각 초기화 공정을 수행하기 위해 약 60 ~ 200㎒의 고주파 소스 전력이 공급될 수 있다.
또한, 상기 제 1챔버(112)와 제 2챔버(114)는 내부가 진공 상태로 유지되는 연결 채널(113)를 통해 서로 연결될 수 있다. 즉, 제 1챔버(112)에서 식각 공정이 수행된 기판(115)은 상기 연결 채널(113)를 통해 제 2챔버(114)로 이동되어 식각 초기화 공정이 수행될 수 있다. 이와 마찬가지로 상기 제 2챔버(114)에서 식각 초기화 공정이 수행되는 기판(115')은 상기 연결 채널(113)를 통해 제 1챔버(112)로 이동되어 식각 공정이 수행될 수 있다.
도시되지 않았으나, 상기 제 1챔버(112) 및/또는 제 2챔버(114)는 상기 제 1챔버(112) 또는 제 2챔버(114)의 내부 공간으로 기판(115)을 유입시키는 기판 주입구 및 상기 상기 제 1챔버(112) 또는 제 2챔버(114)의 내부 공간에서 상기 기판(115)을 배출시키는 기판 배출구를 포함할 수 있다. 여기서, 식각 초기화, 식각 및 퍼징 공정을 수행하는 동안 제 1챔버(112) 및 제 2챔버(114)를 진공 상태로 유지하기 위해 분리된 게이트 밸브들이 상기 기판 주입구 및 기판 배출구로 이용될 수 있다.
도 1a에 도시된 실시예에서는 상기 식각 챔버(110)에 포함되는 챔버가 2개로 구현되는 것으로 설명하고 있으나, 이는 하나의 실시예에 불과한 것으로 본 발명의 실시예가 반드시 이에 한정되는 것은 아니다. 즉, 상기 식각 챔버(110)는 하나의 챔버로도 구현될 수 있으며, 이 경우 상기 하나의 챔버 내에서 식각 공정 및 식각 초기화 공정이 번갈아 반복적으로 수행될 수 있다. 즉, 상기 식각 챔버(110)는 적절한 개수의 챔버 및/또는 연결 채널을 포함할 수 있다.
상기 프로세서(120)는 상기 식각 챔버(110)에 의한 식각 공정이 수행되기 전 식각에 대한 적어도 하나의 공정조건을 설정하는 역할을 수행한다. 또한, 상기 프로세서(120)는 제어부(130)를 통해 실시간으로 식각 공정에 영향을 미칠 수 있음도 고려될 수 있다. 즉, 상기 프로세서(120)는 식각 조건들을 모니터하고, 상기 식각 공정 중에 영향을 미칠 수도 있다. 상기 식각에 대한 공정조건은 제 1챔버(112) 내로 유입되는 식각 가스의 종류, 제 1챔버(112) 내의 압력, 온도 및 상기 상부 전극(118)에 인가되는 전력의 세기, 제 1챔버(112)에서 수행되는 식각 공정 시간 등이 될 수 있다. 또한, 상기 프로세서(120)는 식각 초기화 공정 및 부산물 제거를 수행하는 제 2챔버(114)에 연관된 적어도 하나의 공정 조건에 영향을 미칠 수도 있다.
본 발명의 실시예에서는 상기 공정조건으로서 상기 제 1챔버(112)에서 식각 공정이 연속적으로 수행되는 단위 식각시간(unit etching time)을 설정함을 그 예로 설명한다.
도 1a를 참조하면, 상기 프로세서(120)는, 식각량 측정기(Etched Amount Measuring Device)(122), 인큐베이션 타임 추정기(Incubation Time Analyzer)(124), 식각시간 설정기(Etching Time Configurator)(126), 유저 인터페이스 모듈(User Interface Module)(127) 및 메모리(Memory)(128)을 포함할 수 있다. 상기 식각량 측정기(122)는 상기 제 1챔버(112) 내의 기판(115) 상에 형성된 적어도 2개의 물질막에 대한 식각량을 측정할 수 있다. 상기 인큐베이션 타임 추정기(124)는 상기 식각량 측정기(122)로부터 전달받은 결과값을 통해 각 물질막에 대한 인큐베이션 타임을 추정할 수 있다. 상기 식각시간 설정기(126)는 상기 인큐베이션 타임 추정기(124)에 의해 추정된 각 물질막의 인큐베이션 타임에 근거하여 상기 제 1챔버(112)에서 연속적으로 수행되는 식각 공정의 단위 식각시간을 설정할 수 있다.
상기 유저 인터페이스 모듈(127)은 상기 공정 조건(예: 상기 단위 식각시간 및 원하는 패턴을 형성하기 위해 요구되는 총 식각시간) 정보를 제공받을 뿐 아니라, 플라즈마 식각 장치(100)의 다양한 기능들에 대한 유저 인터페이스를 제공할 수 있다. 예를 들면, 상기 유저 인터페이스 모듈(127)은 상기 플라즈마 식각 장치(100)의 다양한 구성요소들, 일 예로 제어부(130) 및 식각 챔버(110)와 사용자 간의 상호작용(interaction)을 용이하게 하는 적어도 하나의 그래픽 유저 인터페이스(GUI)를 제공할 수 있다. 또한, 상기 유저 인터페이스 모듈(127)은 사용자가 공정조건을 입력할 수 있는 입력장치(예: 터치스크린, 키보드, 마우스 등) 및 제공받은 공정조건이 디스플레이되는 스크린을 포함할 수 있다.
상기 메모리(128)는 상기 공정 조건에 대응되는 정보들을 수신하고 저장할 수 있다. 상기 메모리(128)는 상기 식각시간 설정기(126) 및 유저 인터페이스 모듈(127)로부터 공정 조건 정보를 수신하고, 이를 저장할 수 있다. 일 예로, 상기 메모리(128)는 식각 대상을 포함하는 물질막들, 식각 가스, 제 1챔버(112) 내의 압력, 인가되는 전력 등 다른 공정 조건들과 연관되어 얻어지는 단위 식각시간 정보를 저장할 수 있다. 이에 따라, 메모리(128)는 식각 대상이 되는 특정 물질을 위한 단위 식각시간을 결정함에 있어 상기 저장된 정보들을 제공할 수 있다. 또한, 상기 유저 인터페이스 모듈(127)은 상기 식각 대상 물질에 근거한 공정 조건들을 선택할 수 있도록 상기 메모리(128)의 정보를 이용할 수 있다. 따라서, 사용자 인터페이스 모듈(127)은 상기 기판(115)에 대한 기 설정 공정 정보들 일 예로 기판 상에 형성된 층들, 홀들, 패턴들 등에 대한 정보뿐만 아니라 상기 기판(115) 상에 형성되어야 할 패턴에 대한 정보(예: 디자인 데이터)를 포함하는 적어도 하나의 제조 데이터 베이스들(미도시) 과의 상호작용을 수행할 수 있다. 또한, 상기 유저 인터페이스 모듈(127)는 상기 제조 데이터 베이스로부터의 정보 추출 및/또는 사용자로부터의 정보 일 예로, 식각 대상 물질막의 전체 식각 깊이에 대한 정보를 제공받을 수 있다. 이에 따라 상기 유저 인터페이스 모듈(127)은 상기 프로세서(120)과 연계하여 상기 메모리(128) 및/또는 제어부(130)로 제공되는 공정조건을 자동적으로 결정하는 동작을 수행할 수 있다.
또한, 상기 제어부(130)는 상기 프로세서(120)에 의해 설정된 공정조건 즉, 제 1챔버(112)에서의 단위 식각시간에 근거하여 상기 식각 챔버(110)에 포함되는 제 1챔버(112) 및 제 2챔버(114)의 동작을 제어하는 역할을 수행한다.
상기 제어부(130)는 상기 유저 인터페이스 모듈(127)로부터 제공받은 공정 조건 정보들 및/또는 상기 메모리(128)에 저장된 공정 조건 정보들에 따라 상기 제 1챔버(112) 및 제 2챔버(114)의 동작을 제어할 수 있다. 일 예로, 상기 제어부(130)는 식각 대상을 포함하는 물질막들, 식각 가스, 제 1챔버(112) 내의 압력, 인가되는 전력 등의 공정 조건들을 상기 유저 인터페이스 모듈(127)로부터 제공받고, 상기 공정 조건들에 연계된 단위 식각시간 정보를 상기 메모리(128)로부터 제공받을 수 있다.
여기서, 상기 물질막들은 상기 제 1챔버(112)에 유입되는 이온화된 식각 가스에 의해 반응하는 물질막으로서, 식각 대상이 되는 제 1물질막과 상기 제 1물질막의 패턴을 가이드(예: 마스크 패턴)할 수 있는 2물질막을 포함할 수 있다.
이 경우 상기 제 2물질막에 대한 제 1물질막의 식각 선택비가 높을수록 보다 효율적인 식각 공정이 수행될 수 있다. 다만, 식각이 진행되는 과정에서 상기 식각 선택비가 변경될 경우에는 식각 공정의 안정성이 저해될 수 있다.
이에 본 발명의 실시예에 의한 프로세서(120)는 상기 제 1챔버(112)에서 수행되는 식각 공정에 있어서, 높은 식각 선택비를 유지하기 위한 최적의 단위 식각시간을 설정하고, 상기 제어부(130)는 상기 단위 식각시간에 근거하여 상기 제 1챔버(112)의 식각 공정이 수행되도록 제어하고, 상기 단위 식각시간 이후에는 제 2챔버(114)에 의한 식각 초기화 공정이 수행되도록 제어한다.
본 발명의 실시예에 있어서, 프로세서(120), 제어부(130) 및/또는 이들의 구성요소들은 적어도 하나의 별개의 회로들(discrete circuits), 디지털 신호 프로세싱 칩들(digital signal processing chips), 집적회로들(integrated circuits), 응용 특수 집적회로들(application specific integrated circuits), 마이크로프로세서들(microprocessors), 프로세서들(processors), 프로그램 어레이들(programmable arrays), 필드 프로그램 어레이들(field programmable arrays), 명령 세트 프로세서들(instruction set processors) 등과 같은 적어도 하나의 일반적인 목적 및/또는 특수 목적 구성요소들을 통해 구현될 수 있다. 또한, 이에 의해 수행되는 특징들(features), 기능들(functions) 및 프로세스들(processes)은 소프트웨어, 하드웨어(예: 일반 프로세서(general processor), DSP(digital signal processing) 칩, ASIC(application specific integrated circuit), FPGAs(field programmable gate arrays) 등), 펌웨어 또는 이들의 조합을 통해 구현될 수 있다. 상기 프로세서(120), 제어부(130) 및/또는 이들의 구성요소들은 이를 제어하는 코드(예: 명령들)를 포함하는 적어도 하나의 메모리들(미도시)이 포함될 수 있으며, 이를 통해 앞서 설명한 특징들(features), 기능들(functions) 및 프로세스들(processes)이 수행될 수 있다.
상기 메모리들은 적어도 하나의 소프트웨어, 하드웨어 및/또는 펌웨어 구성요소들의 수행을 위한 코드를 제공하는 매체들(medium)을 포함할 수 있다. 이러한 메모리들은 비휘발성 매체(media), 휘발성 매체, 및 트랜스미션(transmission) 매체를 포함하는 적절한 형태로 구현될 수 있다. 상기 비휘발성 매체는 일 예로 광학(optical) 또는 자계(magnetic) 디스크를 포함한다. 상기 휘발성 매체는 다이나믹 메모리(dynamic memory)를 포함한다. 트랜스미션 매체는 어쿠스틱(acoustic), 광학 또는 전자기(electromagnetic) 웨이브(wave)의 형태를 취할 수 있다. 컴퓨터 판독 가능한 일반적인 형태의 매체는 일 예로, 플로피 디스크, 플렉서블 디스크, 하드 디스크, 마그네틱 테이프, 그외의 마그네틱 매체, CD-ROM(compact disk-read only memory), CDRW(rewriteable compact disk), DVD(digital video disk), DVD-RW(rewriteable DVD), 그 외 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 광학 마크 쉬트(optical mark sheet), 홀들과 다른 광학적 표시(optically recognizable indicia) 패턴들을 갖는 그 외 물리적 매체, RAM(random access memory), PROM(programmable read only memory), EPROM(erasable programmable read only memory), FLASH-EPROM, 그 외 다른 메모리 칩 또는 카트리지, 캐리어 웨이브(carrier wave) 또는 그 외 제어부/ 프로세서에 의해 읽혀질 수 있는 정보가 저장된 다른 매체를 포함할 수 있다.
이하에서는 도 2 및 도 3을 참조하여 본 발명의 실시예에 의한 플라즈마 식각 장치의 동작을 보다 구체적으로 설명하도록 한다.
도 2는 도 1a에 도시된 플라즈마 식각 장치(100)의 동작을 설명하기 위한 그래프로서, 보다 구체적으로 공정조건 설정 단계에 있어서, 상기 제 1물질막(A) 및 제 2물질막(B)에 대한 시간(x축) 대비 식각량(y축)의 변화를 나타내는 그래프이다.
이 때, 상기 공정조건 설정 단계는 이후 진행될 실질적인 식각 공정 이전에 상기 각 물질막의 인큐베이션 타임을 추정하기 위한 예비적인 식각 공정을 말한다. 도 2를 통해 도 1에 도시된 프로세서(120)의 각 구성요소들 즉, 식각량 측정기(122), 인큐베이션 타임 추정기(124), 식각시간 설정기(126)의 구체적인 동작을 설명할 수 있다.
도 1 및 도 2를 참조하면, 상기 제 1챔버(112) 내에 인입되는 기판(115) 상에 제 1물질막(A) 및/또는 제 2물질막(B)이 형성됨을 가정한다. 단, 상기 제 1물질막(A)과 제 2물질막(B)은 반드시 동일한 기판 상에 함께 형성될 필요는 없다. 일 예로 상기 제 1물질막(A)과 제 2물질막(B)은 서로 다른 기판 상에 형성될 수 있으나, 상기 제 1물질막(A)과 제 2물질막(B)에 대한 공정조건 즉, 유입되는 식각가스, 식각시간 등은 동일해야 한다.
본 발명의 실시예에서는 상기 제 1물질막(A) 및 제 2물질막(B)이 동일한 기판 상에 형성되어 있음을 그 예로 설명한다.
즉, 상기 제 1물질막(A) 및 제 2물질막(B)이 형성된 기판(115)이 제 1챔버(112)에 인입되고, 식각가스의 유입 및 전력 공급에 의해 플라즈마 식각 공정이 진행되면, 소정 시간이 경과된 이후 상기 식각량 측정기(122)에 의해 상기 제 1물질막(A)과 제 2물질막(B) 각각의 식각량(Etch amount)이 측정된다. 본 발명의 실시예의 경우 동일한 공정 조건에서 같은 시각에 각각 상기 제 1물질막(A) 및 제 2물질막(B)에 대한 식각량을 측정할 수 있다.
도 2를 참조하면, 상기 식각량 측정기(122)는 제 1챔버(112)에 의한 식각 공정이 진행된 이후 기 설정된 시간 간격(a, b, c, d)으로 상기 제 1물질막(A) 및 제 2물질막(B)에 대한 식각량을 측정할 수 있다. 상기 식각량 측정기(122)는 계측장비(metrology device)를 포함할 수 있다. 일 예로 상기 식각량 측정기(122)는 광학적 임계치수(Optical Critical Dimension, OCD) 측정장치(미도시)를 포함할 수 있다. 상기 OCD 측정장치는 상기 각 물질막에 대한 식각량을 측정하기 위해 백색 광원을 사용하여 복합 파장의 광을 발생시키고, 발생된 광을 각 물질막이 형성된 기판(115)으로 조사할 수 있다. 이후 OCD 측정장치에 구비된 스펙트로미터(spectrometer)를 통해서 기판으로부터 반사된 광을 검출한다. 또한, OCD 측정장치 내부에는 분광기(beam splitter)가 구비되어 있어, 발생된 광을 각 파장별로 분할한 후 조사한다. 따라서, 상기 스펙트로미터에서 검출된 반사광은 각 파장에 대응되는 반사광을 분석하여 각 파장에 대응되는 반사율을 측정할 수 있으며, 이를 이용하여 각 물질막의 식각량을 측정할 수 있다.
상기 식각량 측정기(122)에 의해 일정 시간 간격으로 측정된 각 물질막의 식각량 정보는 인큐베이션 타임 추정기(124)로 전달된다.
이에 인큐베이션 타임 추정기(124)는 상기 각 물질막의 식각량 값을 외삽(extrapolation)하여 인큐베이션 타임(incubation time)을 추정하는 역할을 수행한다.
상기 인큐베이션 타임이란 각 물질막이 식각 가스에 반응하여 소정의 식각 부산물을 생성하기 시점까지의 기간을 말한다. 즉, 상기 식각 가스에 있어서, 각 물질막에 대한 최소 반응시간을 의미한다.
도 2를 참조하면, 상기 인큐베이션 타임 추정기(124)는 상기 식각량 측정기(122)에 의해 측정된 식각량 값을 연결하여 수직선을 생성하고, 상기 수직선이 x축과 교차하는 지점을 상기 인큐베이션 타임으로 추정한다. 이 경우 상기 식각량 측정기(122)에 의해 측정된 식각량 값이 측정 시간별로 리니어하게 변경되는 것을 가정한 것이나, 본 발명의 실시예가 반드시 이에 한정하는 것은 아니다.
즉, 상기 인큐베이션 타임 추정기(124)는 상기 식각량 측정기(122)에서 측정된 특정 식각 조건(식각 가스, 챔버 내 압력, 인가 전력 등) 하에서의 각 시간대별 식각량 값에 근거하여 각 물질막에 대한 인큐베이션 타임을 추정할 수 있다.
또한, 상기 인큐베이션 타임 추정기(124)에 의해 생성된 각 물질막의 수직선에 있어서, 상기 수직선의 기울기는 상기 식각가스에 대한 각 물질막의 식각율(Etch Rate)을 나타낼 수 있다. 즉, 도 2를 참조하면, 제 1물질막(A)에 대응되는 수직선의 기울기가 제 2물질막(B)에 대응되는 수직선의 기울기보다 작으므로, 상기 제 1물질막(A)의 식각율이 제 2물질막(B)의 식각율보다 작다.
여기서, 상기 각 물질막에 대한 수직선의 기울기는 상기 제 1챔버(112) 내의 식각조건 일 예로, 식각 가스의 조성비, 챔버 내 압력 등을 조정함을 통해 조절할 수도 있다.
도 2를 참조하면, 상기 인큐베이션 타임 추정기(124)에 의해 제 1물질막(A)에 대한 제 1인큐베이션 타임은 α이고, 제 2물질막(B)에 대한 제 2인큐베이션 타임은 β로 추정될 수 있다. 도시된 바와 같이 상기 제 1인큐베이션 타임 α 및 제 2인큐베이션 타임 β은 서로 상이하며, 제 2인큐베이션 타임이 제 1인큐베이션 타임보다 충분히 클 수 있다.
제 1인큐베이션 타임 이전에는 상기 제 1물질막 및 제 2물질막 모두 실질적인 식각이 진행되지 않으며, 제 1인큐베이션 타임 이후에 상기 제 1물질막이 식각 가스에 반응하여 실질적인 식각 공정이 수행된다. 단, 상기 제 1인큐베이션 타임과 제 2인큐베이션 타임 사이의 기간에는 제 1물질막만 식각되고, 제 2물질막에 대해서는 실질적인 식각이 진행되지 않는다. 또한, 상기 제 2인큐베이션 타임 이후에는 제 1물질막 및 제 2물질막 모두 실질적인 식각이 수행된다.
도 2를 참조하면, 상기 제 2물질막에 대응되는 수직선의 기울기가 제 1물질막에 대응되는 수직선의 기울기보다 크므로 상기 제 2인큐베이션 타임 이후 두 수직선이 교차하는 시점(Ω)이 발생된다. 다시 말하면, 도 2에 도시된 실시예의 경우 제 1물질막의 인큐베이션 타임이 제 2물질막에 대한 인큐베이션 타임보다 빠르지만, 상기 제 1물질막의 식각율은 상기 제 2물질막 보다 낮으므로, 상기 2인큐베이션 타임 이후 상기 두 물질막의 식각량이 동일해지는 시점(Ω)이 발생되는 것이다.
상기 Ω 시점 이후에는 식각 가스에 의한 식각의 진행 정도가 역전되어 제 2물질막(B)의 식각량이 제 1물질막(A)의 식각량보다 크게 된다. 일 예로 도 2에 도시된 바와 같이 δ 시점의 경우 제 1물질막(A)의 식각량 보다 제 2물질막(B)의 식각량이 더 많음을 확인할 수 있다.
이와 같이 상기 인큐베이션 타임 추정기(124)에 의해 추정된 각 물질의 인큐베이션 타임 정보(α, β)는 식각시간 설정기(126)로 전달된다. 이에 상기 식각시간 설정기(126)는 상기 인큐베이션 타임 추정기(124)를 통해 추정된 제 1물질막(A)에 대한 인큐베이션 타임 α 및 제 2물질막(B)에 대한 인큐베이션 타임 β에 근거하여 상기 제 1챔버(112)에서 수행되는 식각 공정의 단위 식각시간을 설정할 수 있다. 보다 구체적으로, 상기 식각시간 설정기(126)는 상기 단위 식각시간을 상기 제 1인큐베이션 타임 α와 제 2인큐베이션 타임 β 사이의 시간인 γ로 설정할 수 있다.
이 경우 상기 제 1물질막(A)은 식각 대상 물질막이 되고, 상기 제 2물질막(B)은 상기 제 1물질막(A)의 패턴을 가이드(예: 마스크 패턴)하는 역할을 수행할 수 있다.
상기 제 1챔버(112)에서 수행되는 식각 공정에 대하여 단위 식각시간을 제 1 및 제 2인큐베이션 타임 사이의 시간으로 설정(α<γ<β)하게 되면, 상기 단위 식각시간 동안에는 제 1물질막(A)만 반응하여 식각이 진행되고, 제 2물질막(B)에 대해서는 실질적인 식각이 진행되지 않게 된다. 따라서, 상기 단위 식각시간 γ에 의할 경우 상기 제 2물질막(B)에 대한 제 1물질막(A)의 식각 선택비는 상당히 높게 된다. 또한, 상기 단위 식각시간 γ 동안에만 식각이 진행되므로 상기 식각 선택비가 크게 변경되지 않는다. 즉, 본 발명의 실시예에 의할 경우 높은 식각 선택비를 안정적으로 유지하면서 플라즈마 식각 공정을 수행할 수 있다.
도 3은 도 2의 그래프 결과에 대응되는 식각 선택비를 나타내는 그래프로서, 이는 시간에 따른 제 2물질막(B)에 대한 제 1물질막(A)의 식각 선택비의 변화를 나타낸다.
도 3에 도시된 바와 같이 제 1인큐베이션 타임 α 이후에 상기 제 1물질막(A)이 식각가스에 반응하여 실질적인 식각 공정이 수행되며, 상기 제 1인큐베이션 타임 α과 제 2인큐베이션 타임 β 사이의 기간에는 제 1물질막(A)만 식각되고, 제 2물질막(B)에 대해서는 실질적인 식각이 진행되지 않는다. 즉, 상기 제 1인큐베이션 타임 이후부터 제 2인큐베이션 타임까지는 제 2물질막(B)에 대한 제 1물질막(A)의 식각 선택비가 상당히 높게 됨을 알 수 있다.
따라서, 상기 식각시간 설정기(126)를 통해 이후 제 1챔버(112)에서 진행될 실질적인 식각 공정의 단위 식각시간 γ을 상기 제 1인큐베이션 타임 α 및 제 2인큐베이션 타임 β 사이의 시간으로 설정함으로써, 상기 제 2물질막(B)에 대한 제 1물질막(A)의 식각 선택비를 높고 안정적으로 유지하면서 플라즈마 식각 공정을 수행할 수 있다.
또한, 앞서 도 2에서 설명한 바와 같이 상기 제 2물질막(B)의 식각율이 제 1물질막(A)의 식각율보다 크므로 상기 제 2인큐베이션 타임 이후에는 상기 선택 식각비가 역전되어 제 2물질막(B)에 대한 제 1물질막(A)의 식각 선택비가 저감된다.
다시 말하면, 상기 제 1물질막(A)의 인큐베이션 타임 δ이 제 2물질막(B)에 대한 인큐베이션 타임 β보다 빠르지만, 상기 제 1물질막(A)의 식각율은 상기 제 2물질막(B) 보다 낮으므로, 상기 제 2인큐베이션 타임 이후에는 상기 선택 식각비가 역전되며, 상기 선택 식각비가 1이 되는 시점(Ω)이 발생될 수 있다.
도 4는 특정 물질막들에 대한 공정시간 대비 식각량을 측정한 결과를 나타내는 그래프이다.
즉, 도 4는 실리콘 질화막(SiNx)과 실리콘 산화막(SiOx)에 대하여 예비적인 식각 공정을 수행한 결과를 나타내는 그래프로서, 공정조건 설정 단계에서 실리콘 질화막(SiNx)과 실리콘 산화막(SiOx)에 대한 시간(x축) 대비 식각량(y축)의 변화를 측정하고 이를 외삽(extrapolation)한 결과를 나타낸다.
본 발명의 실시예의 경우 제 1챔버에 유입되는 식각 가스로는 이불화메탄(CH2F2), 삼불화질소(NF3), 질소(N2) 및 산소(O2)가 사용될 수 있다. 이 때, 상기 이불화메탄(CH2F2) 가스의 공급량은 10 내지 500 SCCM, 삼불화질소(NF3) 가스의 공급량은 0 내지 1000 SCCM, 질소(N2) 가스의 공급량은 100 내지 2500 SCCM 및 산소(O2) 가스의 공급량은 100 내지 2500 SCCM이 될 수 있다.
또한, 상기 식각 가스를 포함한 공정 조건으로 기판 지지대의 온도는 0 ~ 70℃, 챔버에 제공되는 전력은 1000 ~ 3000W, 챔버 내 압력은 300 내지 1000 mT 일 수 있다.
도 4를 참조하면, 상기 실리콘 질화막(SiNx)과 실리콘 산화막(SiOx)이 형성된 기판이 제 1챔버(도 1의 112)에 인입되고, 식각가스의 유입 및 전력 공급에 의해 플라즈마 식각 공정이 진행되면, 소정 시간이 경과된 이후 식각량 측정기(도 1의 122)에 의해 상기 실리콘 질화막(SiNx)과 실리콘 산화막(SiOx) 각각의 식각량(Etch amount)이 측정된다.
도 4에 도시된 실시예의 경우 60초이후부터 60초 간격으로 8최 측정한 결과가 도시되어 있으며, 상기 식각량 측정기(도 1의 122)에 의해 일정 시간 간격으로 측정된 각 물질막의 식각량 정보는 인큐베이션 타임 추정기(도 1의 124)로 전달된다.
이에 인큐베이션 타임 추정기(124)는 상기 각 물질막의 식각량 값을 외삽(extrapolation)하여 인큐베이션 타임(incubation time)을 추정하는 역할을 수행한다.
도 4에 도시된 2개의 수직선은 상기 식각량 측정기(122)에 의해 측정된 실리콘 질화막(SiNx) 및 실리콘 산화막(SiOx)의 식각량 값을 각각 연결하여 생성된 것으로서, 상기 수직선이 x축과 교차하는 지점이 인큐베이션 타임으로 추정된다.
도 4를 참조하면, 앞서 언급한 공정조건 하에서 상기 실리콘 질화막(SiNx)의 인큐베이션 타임은 약 0이고, 실리콘 산화막(SiOx)의 인큐베이션 타임은 약 50초이다. 또한, 상기 인큐베이션 타임 추정기(124)에 의해 생성된 각 물질막의 수직선에 있어서, 상기 수직선의 기울기는 상기 식각가스에 대한 각 물질막의 식각율(Etch Rate)을 나타낼 수 있다. 즉, 도 4를 참조하면, 앞서 언급한 공정조건 하에서 실리콘 질화막(SiNx)에 대응되는 수직선의 기울기가 실리콘 산화막(SiOx)에 대응되는 수직선의 기울기보다 크므로, 상기 제 실리콘 질화막(SiNx)의 식각율이 실리콘 산화막(SiOx)의 식각율보다 크다.
결과적으로 실리콘 질화막(SiNx)의 인큐베이션 타임 및 실리콘 산화막(SiOx)의 인큐베이션 타임은 서로 상이하고, 상기 실리콘 산화막(SiOx)의 인큐베이션 타임이 실리콘 질화막(SiNx)의 인큐베이션 타임보다 충분히 크다. 따라서, 식각시간 설정기(도 1의 126)는 상기 인큐베이션 타임 추정기(124)를 통해 추정된 실리콘 질화막(SiNx)의 인큐베이션 타임 및 실리콘 산화막(SiOx)의 인큐베이션 타임에 근거하여 상기 제 1챔버(112)에서 수행되는 식각 공정의 단위 식각시간을 설정할 수 있다.
즉, 상기 식각시간 설정기(126)는 상기 단위 식각시간을 상기 실리콘 질화막(SiNx)의 인큐베이션 타임과 실리콘 산화막(SiOx)의 인큐베이션 타임 사이의 시간, 일 예로 40초로 설정할 수 있다.
이 경우 상기 실리콘 질화막(SiNx)은 식각 대상 물질막이 되고, 상기 실리콘 산화막(SiOx)은 상기 실리콘 질화막(SiNx)의 패턴을 가이드(예: 마스크 패턴)하는 역할을 수행할 수 있다. 즉, 상기 제 1챔버(112)에서 수행되는 식각 공정에 대하여 단위 식각시간을 실리콘 질화막(SiNx) 및 실리콘 산화막(SiOx)의 인큐베이션 타임 사이의 시간으로 설정하게 되면, 상기 단위 식각시간 동안에는 실리콘 질화막(SiNx)만 반응하여 식각이 진행되고, 실리콘 산화막(SiOx)에 대해서는 실질적인 식각이 진행되지 않게 된다. 따라서, 상기 단위 식각시간에 의할 경우 상기 실리콘 산화막(SiOx)에 대한 실리콘 질화막(SiNx)의 식각 선택비는 상당히 높게 된다. 또한, 상기 단위 식각시간 동안에만 식각이 진행되므로 상기 식각 선택비가 크게 변경되지 않는다. 즉, 본 발명의 실시예에 의할 경우 높은 식각 선택비를 안정적으로 유지하면서 플라즈마 식각 공정을 수행할 수 있다.
다만, 상기 설정된 단위 식각시간, 일 예로 40초의 기간은 원하는 패턴을 형성하기에 충분한 시간이 되지 못할 수 있다. 즉, 상기 단위 공정 시간 동안 한번의 식각 공정만으로는 상기 실리콘 질화막(SiNx)에 대한 패턴 형성이 완료되지 못할 수 있다.
이에 본 발명의 실시예는 상기 제 1챔버(112)에서 수행되는 식각 공정이 수회 반복 수행될 수 있도록 제어한다. 즉, 상기 제어부(30)는 상기 공정조건 설정부(120)에 의해 설정된 공정조건 즉, 제 1챔버(112)에서 연속적인 식각이 수행되는 단위 식각시간에 근거하여 상기 공정 처리부(110)에 포함되는 제 1챔버(112) 및 제 2챔버(114)의 동작을 제어하는 역할을 수행한다.
보다 구체적으로 상기 제어부(130)는, 상기 단위 식각시간에 근거하여 상기 제 1챔버(112)의 식각 공정이 수행되도록 제어하고, 상기 단위 식각시간 이후에는 제 2챔버(114)에 의한 식각 초기화 공정이 수행되도록 제어한다.
일 예로 상기 프로세서(120)를 통해 40초의 단위 식각시간이 설정되면, 제어부(130)는 상기 제 1챔버(112)에서 수행되는 식각 공정이 40초 동안 수행되도록 제어하고, 상기 40초가 경과되면 상기 물질막이 형성된 기판을 제 2챔버(114)로 이송하여 식각 초기화 공정이 수행되도록 제어한다.
이와 같은 식각 공정 및 식각 초기화 공정은 상기 실리콘 질화막에 대한 패턴 형성이 완료될 때까지 총 식각시간 동안 반복 수행될 수 있다.
도 5는 본 발명의 실시예에 의한 플라즈마 식각 방법을 나타내는 순서도이다. 설명의 편의를 위하여, 도 5의 순서도는 도 1a의 플라즈마 식각 장치 및 도 2의 그래프에 연관하여 설명하며, 총 식각시간은 플라즈마 식각 장치(100)에 입력되는 것으로 가정한다.
플라즈마 식각 방법은 크게 공정조건 설정 단계와 공정수행 단계로 구분될 수 있다. 상기 공정조건 설정 단계는 프로세서(120)에 의해 수행되고, 상기 공정수행 단계는 제 1, 2챔버(112, 114)를 포함하는 식각 챔버(110)에 의해 수행되며, 상기 공정수행 단계는 일 예로 제어부(130)에 의해 제어될 수 있다.
즉, 공정조건 설정 단계는 이후 진행될 공정 수행 단계(실질적인 식각 공정) 이전에 각 물질막(예: 제 1, 2 물질막(A, B))의 인큐베이션 타임을 추정하기 위한 예비적인 식각 공정을 말한다.
S100 단계에 의하면, 먼저 제 1물질막(A) 및 제 2물질막(B)이 형성된 기판(115)이 제 1챔버(112)에 인입되고, 식각가스의 유입 및 전력 공급에 의해 플라즈마 식각 공정이 진행되면, 소정 시간이 경과된 이후 식각량 측정기(122)에 의해 상기 제 1물질막(A)과 제 2물질막(B) 각각의 식각량(Etch amount)이 측정된다.
본 발명의 실시예의 경우 동일한 공정 조건에서 같은 시각에 각각 상기 제 1물질막(A) 및 제 2물질막(B)에 대한 식각량을 측정할 수 있다. 식각량 측정기(122)에 의해 일정 시간 간격으로 측정된 각 물질막의 식각량 정보는 인큐베이션 타임 추정기(124)로 전달된다.
인큐베이션 타임 추정기(124)는 S110 단계에서 각 물질막에 대한 인큐베이션 타임 일 예로, 수신된 입력 정보에 근거하여 제 1물질막(A) 및 제 2물질막(B)에 대한 인큐베이션 타임을 추정(또는 결정)할 수 있다. 상기 인큐베이션 타임이란 각 물질막이 식각 가스에 반응하여 소정의 식각 부산물을 생성하기 시점까지의 기간을 말한다. 즉, 상기 식각 가스에 있어서, 각 물질막에 대한 최소 반응시간을 의미한다. 이에 따라 식각 가스와의 반응 이전에는 상기 물질막은 인큐베이션 상태에 있다고 볼 수 있으며, 상기 결정된 인큐베이션 타임은 일 예로 메모리(128)에 저장될 수 있다.
도 2를 참조하면, 상기 인큐베이션 타임 추정기(124)는 상기 식각량 측정기(122)에 의해 측정된 식각량 값을 분석하고, 상기 정보를 외삽하여 상기 식각량 값에 대응되는 리니어 식각 그래프(수직선)을 생성할 수 있다. 따라서, 상기 상기 인큐베이션 타임 추정기(124)는 상기 수직선이 x축과 교차하는 지점을 상기 인큐베이션 타임으로 추정할 수 있다. 상기 인큐베이션 타임 추정기(124)에 의해 추정된 각 물질의 인큐베이션 타임 정보는 식각시간 설정기(126)로 전달된다.
S120 단계에서는, 유저 인터페이스 모듈(127)이 총 식각시간(원하는 패턴을 형성하기 위해 요청되는 식각시간)에 대한 정보를 수신하는 유저 인터페이스를 제공할 수 있다. 상기 유저 인터페이스 모듈(127)은 사용자가 공정 조건을 입력할 수 있는 입력기기(예: 터치스크린, 키보드, 마우스 등) 및 상기 수신된 공정 조건을 디스플레이할 수 있는 스크린을 포함(또는 연계)할 수 있다. 상기 수신된 총 식각시간은 메모리(128)에 전달되어 저장될 수 있다.
상기 각 물질막의 인큐베이션 타임 정보 및 총 식각시간은 식각시간 설정기(126)으로 제공된다. 제 1물질막(A)에 대한 인큐베이션 타임 및 제 2물질막(B)에 대한 인큐베이션 타임에 근거하여, 식각시간 설정기(126)는 S130 단계에서 상기 제 1챔버(112)에서 수행되는 식각 공정(예: 반복적인 식각 공정) 동안의 단위 식각시간을 결정할 수 있다. 따라서, 각 물질막의 인큐베이션 타임이 추정하는 예비적인 식각 공정인 공정 조건 설정 단계를 통해 상기 단위 식각시간이 세팅된다.
또한, 상기 식각시간 설정기(126)은 결정된 단위 식각시간 및 입력된 총 식각시간에 의해 수행되도록 총 식각 반복 횟수를 계산(또는 결정)할 수 있다. 일 예로, 식각시간 설정기(126)는 기 결정된 단위 식각시간에 근거한 총 식각 반복 횟수(T-COUNT)를 결정하기 위해 메모리(128)에 저장된 총 식각시간을 수신하도록 설정될 수 있다. 예를 들면, 만약 식각시간 설정기(126)이 단위 식각시간을 40초로 설정하고, 메모리(128)에 저장된 총 식각시간이 200초라면, 식각시각 설정기(126)는 총 식각 반복 횟수(T-COUNT)를 5회로 결정할 수 있다. 상기 총 식각 반복 횟수(T-COUNT)는 메모리(128)에 저장될 수 있다.
S140 단계에서, 식각시간 설정기(126)는 메모리(128) 및/또는 제어부(130)를 통하여 반복 횟수(COUNT)를 초기화할 수 있다. 일 예로, 식각시각 설정기(126)는 메모리(128)에 저장된 또는 제어부(130)에 세팅된 및/또는 식각 챔버(110)의 구성요소들과 연관되어 설정된 상기 반복 횟수를 1로 초기화할 수 있다
S150단계에서는, 앞서 언급한 바와 같이, 제 1 및 제 2물질막(A, B)을 포함하는 기판(115)이 제 1챔버(112) 내에 위치되면, 제어부(130)는 상기 메모리(128)에 저장된 단위 식각시간에 따라 식각 공정이 수행되도록 식각 챔버(110)의 적어도 하나의 양상(aspects)을 제어한다. 상기 단위 식각시간 동안에는 제 1물질막(A)만 식각되고, 제 2물질막(B)은 식각되지 않는다. 즉, 상기 제 2물질막(B)은 인큐베이션 상태에 있다. 따라서, 상기 단위 식각시간에 의할 경우 상기 제 2물질막(B)에 대한 제 1물질막(A)의 식각 선택비는 상당히 높게 된다. 또한, 상기 단위 식각시간 동안에만 식각이 진행되므로 상기 식각 선택비가 크게 변경되지 않는다. 즉, 본 발명의 실시예에 의할 경우 높은 식각 선택비를 안정적으로 유지하면서 플라즈마 식각 공정을 수행할 수 있다.
그러나, 상기 식각 공정은 단위 식각시간보다 큰 총 식각시간을 가질 수 있다. 이에 따라 제어부(130)는 식각 챔버(110)를 제어하여 제 1챔버(112) 및 제 2챔버(114) 사이에서 연결 채널(113)을 통해 기판(115)이 이동된다. 또한, S160 단계에서, 상기 제어부(130)는 식각 초기화 공정을 수행하도록 제 2챔버(114)를 제어할 수 있다. 식각 초기화 공정은 챔버(110)로부터 식각 부산물을 배출하는 퍼징(purging) 공정을 포함할 수 있다. 앞서 언급한 바와 같이, 식각 챔버(110)는 하나의 챔버만을 포함할 수 있으며, 이 경우 식각 공정 및 식각 초기화 공정은 하나의 챔버 내에서 번갈아 수행될 수 있다.
또한, S170 단계에서는, 상기 제어부(130)는 상기 식각 공정이 반복되어야 하는지를 판단할 수 있다. 즉, 상기 제어부(130)는 상기 반복 횟수(COUNT)가 총 식각 반복 횟수(T-COUNT) 보다 작은지 판단할 수 있다. 만약 반복 횟수(CUNT)가 총 식각 반복 횟수(T-COUNT)보다 작으면, 식각 공정은 반복되고, 상기 제어부(130)는 반복 횟수(COUNT)를 반복하여 증가시킬 수 있다(예: COUNT=COUNT+1) (S180). 이에 의해 생성된 새로운 반복횟수(COUNT)는 메모리(128)에 저장될 수 있다.
본 발명의 실시예에 의하면, 단위 공정 시간에 따른 또 다른 식각 공정을 수행하기 위해 제 2챔버(114)에서 제 1챔버(112)로 상기 연결 채널(113)을 통해 기판을 이송시킬 수 있다. 따라서, S150 단계에서 S180 단계까지 상기 반복횟수(COUNT)가 총 식각 반복 횟수(T-COUNT)가 될 때까지 반복될 수 있다. 일 예로, 앞서 언급한 실시예에 의하면, 상기 S150 단계에서 S180 단계는 상기 반복 횟수(COUNT)가 5회가 될 때까지 반복될 수 있다. 상기 반복 횟수(COUNT)가 총 식각 반복 횟수(T-COUNT)와 동일해지면, 총 식각시간이 확보되므로 이를 통해 상기 식각 공정이 완료되는 것이다.
도 6은 본 발명의 다른 실시예에 의한 플라즈마 식각 방법을 나타내는 순서도이다. 설명의 편의를 위하여, 도 6의 순서도는 도 1 및 도 2에 기재된 동일한 도면부호를 사용하여 설명하며, 제 1물질막(A) 및 제 2물질막(B)을 포함하는 기판(115)은 제 1챔버(112)에 놓이고, 설계 정보(예: 제 1, 2물질막(A, B)의 재질, 총 식각 깊이 등)는 플라즈마 식각 장치(100)에 입력되는 것으로 가정한다.
도 1a 내지 1c 및 도 6을 참조하면, 유저 인터페이스 모듈(127)은 입력을 수신할 수 있는 유저 인터페이스를 제공할 수 있다(S200). 이 때, 상기 입력은 공정 조건 및/또는 다른 디자인 정보 일 예로, 총 식각시간, 단위 식각시간, 달성해야 하는 총 식각 깊이, 식각 대상 물질막, 기판(115) 상의 다른 막들, 식각 대상 물질막에 대한 계측적인(metrological) 식각 정보 등이 될 수 있으며, 이는 사용자, 식각량 측정기(122), 적어도 하나의 데이터베이스(예: 적어도 하나의 제조 데이터베이스) 등으로부터 수신될 수 있다. 앞서 언급한 바와 같이, 유저 인터페이스 모듈(127)은 사용자가 적어도 하나의 파라미터들을 입력하고, 검색(retrieve)하고, 수정(modify) 등을 할 수 있는 입력기기와, 상기 적어도 하나의 파라미터들을 보여주는 스크린을 포함할 수 있다. 메모리(128)는 상기 적어도 하나의 파라미터들을 수신하고 저장할 수 있다. 유저 인터페이스 모듈(127) 및/또는 메모리(128)는 식각시간 설정기(126)에 상기 적어도 하나의 파라미터들을 제공할 수 있다.
S210 단계에서, 유저 인터페이스 모듈(127) 및/또는 프로세서(120)의 적어도 하나의 구성요소들(예: 식각시간 설정기(126))은 수신된 정보들에 근거하여 총 식각 시간을 결정할 수 있다. 일 예로, 상기 정보들은 달성해야 할 총 식각 깊이, 제 1물질막(A) 및 제 2물질막(B)의 재질, 챔버(110)를 통해 제공되는 식각 조건들 등이 될 수 있다. 상기 총 식각 시간은 일 예로, 메모리(128)에 저장되거나 상기 플라즈마 식각장치(100)에 접근할 수 있는 다른 적절한 메모리 소자에 저장될 수 있다. 이에 따라, S220 단계에서는, 적어도 하나의 프로세서(120) 구성요소들(예: 사용자 인터페이스 모듈(127), 인큐베이션 타임 추정기(124))이 상기 수신된 입력 정보에 근거하여 일 예로 제 1물질막에 대한 인큐베이션 타임 및 제 2물질막에 대한 인큐베이션 타임을 결정할 수 있다. 예를 들면, 유저 인터페이스 모듈(127) 및/또는 인큐베이션 타임 추정기(124)는 메모리(128)로부터 수신된 입력 정보들 또는 다른 적절한 저장소(repository)에 저장된 상기 챔버(110)의 식각 공정조건에 의해 제 1, 2물질막(A, B)을 식각할 때 발생되는 누적 정보들(historical information)에 대응한 인큐베이션 타임을 구하도록 구성될 수 있다.
각 물질막에 대한 인큐베이션 타임 정보는 식각시각 설정기(126)으로 제공될 수 있다. 제 1물질막(A)에 대한 인큐베이션 타임 및 제 2물질막(B)에 대한 인큐베이션 타임에 근거하여, 상기 식각시간 설정기(126)는 일 예로 제 1챔버(112)에서 수행되는 반복 식각 공정 동안의 단위 식각시간을 결정할 수 있다. 또한, 식각시간 설정기(126)는 상기 단위 식각시간에 기반하여 원하는 총 식각 깊이를 얻을 수 있는 총 식각 반복 횟수를 계산(또는 결정)할 수 있다. 예를 들면, 식각시간 설정기(126)는 기 결정된 단위 식각시간에 근거한 총 식각 반복 횟수(T-COUNT)를 결정하기 위해 메모리(128)에 저장되거나 유저 인터페이스 모듈(127)에서 출력되는(ported) 총 식각시간을 수신하도록 설정될 수 있다. 일 예로, 만약 식각시간 설정기(126)이 단위 식각시간을 40초로 설정하고, 메모리(128)에 저장된 총 식각시간이 200초라면, 식각시각 설정기(126)는 총 식각 반복 횟수(T-COUNT)를 5회로 결정할 수 있다. 상기 총 식각 반복 횟수(T-COUNT)는 메모리(128) 또는 다른 적절한 저장소(repository)에 저장될 수 있다.
S240 단계에서, 식각시간 설정기(126)는 메모리(128) 및/또는 제어부(130)를 통하여 반복 횟수(COUNT)를 초기화할 수 있다. 일 예로, 식각시각 설정기(126)는 메모리(128)에 저장된 또는 제어부(130)에 세팅된 및/또는 식각 챔버(110)의 구성요소들과 연관되어 설정된 상기 반복 횟수를 1로 초기화할 수 있다
S250단계에서는, 앞서 언급한 바와 같이, 제 1 및 제 2물질막(A, B)을 포함하는 기판(115)이 제 1챔버(112) 내에 위치되면, 제어부(130)는 상기 메모리(128)에 저장된 단위 식각시간에 따라 식각 공정이 수행되도록 식각 챔버(110)의 적어도 하나의 양상(aspects)을 제어한다. 일 예로, 제어부(130)는 플라즈마 식각 공정을 수행하도록 제 1챔버(112)를 제어할 수 있다. 상기 단위 식각시간 동안에는 제 1물질막(A)만 식각되고, 제 2물질막(B)은 식각되지 않는다. 즉, 상기 제 2물질막(B)은 인큐베이션 상태에 있다. 따라서, 상기 단위 식각시간에 의할 경우 상기 제 2물질막(B)에 대한 제 1물질막(A)의 식각 선택비는 상당히 높게 된다. 또한, 상기 단위 식각시간 동안에만 식각이 진행되므로 상기 식각 선택비가 크게 변경되지 않는다. 즉, 본 발명의 실시예에 의할 경우 높은 식각 선택비를 안정적으로 유지하면서 플라즈마 식각 공정을 수행할 수 있다.
그러나, 상기 식각 공정은 단위 식각시간보다 큰 총 식각시간을 가질 수 있다. 즉, 하나의 단위 식각시간에 의한 식각 공정으로는 제 1물질막(A)에 대한 원하는 총 식각 깊이를 구현할 수 없을 수 있다. 이에 따라 제어부(130)는 식각 챔버(110)를 제어하여 제 1챔버(112) 및 제 2챔버(114) 사이에서 연결 채널(113)을 통해 기판(115)이 이동된다. 또한, S260 단계에서, 상기 제어부(130)는 식각 초기화 공정을 수행하도록 제 2챔버(114)를 제어할 수 있다. 식각 초기화 공정은 챔버(110)로부터 식각 부산물을 배출하는 퍼징(purging) 공정을 포함할 수 있다. 앞서 언급한 바와 같이, 식각 챔버(110)는 하나의 챔버만을 포함할 수 있으며, 이 경우 식각 공정 및 식각 초기화 공정은 하나의 챔버 내에서 번갈아 수행될 수 있다.
또한, S270 단계에서는, 상기 제어부(130)는 상기 식각 공정이 반복되어야 하는지를 판단할 수 있다. 즉, 상기 제어부(130)는 상기 반복 횟수(COUNT)가 총 식각 반복 횟수(T-COUNT) 보다 작은지 판단할 수 있다. 만약 반복 횟수(CUNT)가 총 식각 반복 횟수(T-COUNT)보다 작으면, 식각 공정은 반복되고, 상기 제어부(130)는 반복 횟수(COUNT)를 반복하여 증가시킬 수 있다(예: COUNT=COUNT+1) (S280). 이에 의해 생성된 새로운 반복횟수(COUNT)는 메모리(128)와 같은 적절한 저장매체에 저장될 수 있다.
본 발명의 실시예에 의하면, 단위 공정 시간에 따른 또 다른 식각 공정을 수행하기 위해 제 2챔버(114)에서 제 1챔버(112)로 상기 연결 채널(113)을 통해 기판(115)을 이송시킬 수 있다. 따라서, S250 단계에서 S280 단계까지 상기 반복횟수(COUNT)가 총 식각 반복 횟수(T-COUNT)가 될 때까지 반복될 수 있다. 일 예로, 앞서 언급한 실시예에 의하면, 상기 S250 단계에서 S280 단계는 상기 반복 횟수(COUNT)가 5회가 될 때까지 반복될 수 있다. 상기 반복 횟수(COUNT)가 총 식각 반복 횟수(T-COUNT)와 동일해지면, 총 식각시간이 확보되므로 이를 통해 상기 식각 공정이 완료되는 것이다.
본 발명의 실시예에 의하면, 반복적 식각 공정은 도 1b 및 도 1c에 도시된 플라즈마 식각 장치들(1101, 1103)에서 설명된 것과 같은 복수의 서브 챔버들에서 수행될 수 있다. 이에 따라, 플라즈마 식각 장치(1101)에 유입된 기판(115)은 상기 제 1서브 챔버들(1121 내지 112n)과 제 2서브 챔버(114) 간의 이송(alternating)이 발생되는 동안 복수의 서로 다른 제 1서브 챔버들(1121 내지 112n)을 통해 연속적으로 식각되며, 상기 기판(115)에 대한 요구된 총 식각 깊이까지 식각되면 상기 기판은 플라즈마 식각 장치(1101)에서 배출된다. 다른 실시예에 의하면, 플라즈마 식각 장치(1103)에 유입된 기판(115)은 연속적인 식각 및 식각 초기화 공정이 복수의 다른 서브 챔버들(11021 내지 1102n)을 통해 수행되며, 상기 기판(115)에 대한 요구된 총 식각 깊이까지 식각되면 상기 기판은 플라즈마 식각 장치(1103)에서 배출된다. 각각의 연속적인 반복(또는 결정된 횟수 동안의 반복)에 대하여, 상기 기판과 다른 기판(115')은 상기 실시예에 의한 플라즈마 식각 장치(1101 또는 1103)에 유입될 수 있고, 이에 복수의 다른 기판들은 복수의 서로 다른 제 1서브 챔버들(1121 내지 112n) 또는 서브 챔버들(11021 내지 1102n)을 통해 동시에 식각되고 연속적인 반복 공정이 수행될 수 있다.
S270 단계에서는, 상기 제어부(130)가 식각 공정 및 식각 초기화 공정의 수행 횟수에 의거하여 식각 공정을 완료하거나, 제 1물질막(A)에 대한 원하는 패턴의 식각 깊이를 달성할 때까지 식각 공정 및 식각 초기화 공정을 반복할지 여부를 결정할 수 있다.
이상과 같이 본 발명에서는 구체적인 구성 요소 등과 같은 특정 사항들과 한정된 실시예 및 도면에 의해 설명되었으나 이는 본 발명의 보다 전반적인 이해를 돕기 위해서 제공된 것일 뿐, 본 발명은 상기의 실시예에 한정되는 것은 아니며, 본 발명이 속하는 분야에서 통상적인 지식을 가진 자라면 이러한 기재로부터 다양한 수정 및 변형이 가능하다.
따라서, 본 발명의 사상은 설명된 실시예에 국한되어 정해져서는 아니되며, 후술하는 특허청구범위뿐 아니라 이 특허청구범위와 균등하거나 등가적 변형이 있는 모든 것들은 본 발명 사상의 범주에 속한다고 할 것이다.
100: 플라즈마 식각 장치 110: 식각 챔버
112: 제 1챔버 114: 제 2챔버
120: 프로세서
122: 식각량 측정기(Etched Amount Measuring Device)
124: 인큐베이션 타임 추정기(Incubation Time Analyzer)
126: 식각시간 설정기(Etching Time Configurator)
127: 유저 인터페이스 모듈(User Interface Module)
128: 메모리(Memory) 130: 제어부(Controller)

Claims (10)

  1. 물질막이 형성된 기판을 지지하는 식각 챔버;
    상기 기판 상에 형성된 적어도 2개의 물질막의 식각량을 측정하는 계측장비; 및
    플라즈마 식각 공정이 수행되기 전에 상기 물질막의 식각 공정에 대한 공정 조건을 결정하고, 상기 공정 조건에 따라 식각 챔버의 동작을 제어하는 적어도 하나의 프로세서가 포함되며,
    상기 공정 조건은 상기 플라즈마 식각 공정이 연속적으로 수행되는 단위 식각시간을 포함하고,
    상기 적어도 하나의 프로세서는, 상기 계측장비에서 출력된 정보에 대응하여 상기 적어도 2개의 물질막에 대한 각각의 인큐베이션 타임을 추정하고, 상기 적어도 2개의 물질막에 대한 각각의 인큐베이션 타임에 따라 상기 단위 식각시간을 결정하며,
    상기 각각의 인큐베이션 타임은 상기 적어도 2개의 물질막 각각이 식각가스에 반응하는 최소 반응시간인 플라즈마 식각 장치.
  2. 삭제
  3. 제 1항에 있어서,
    상기 적어도 2개의 물질막은, 식각 대상이 되는 제 1물질막과 상기 제 1물질막의 식각 패턴을 가이드하는 제 2물질막을 포함하는 플라즈마 식각 장치.
  4. 제 3항에 있어서,
    상기 각각의 인큐베이션 타임은,
    상기 제 1물질막에 대한 제 1인큐베이션 타임과 제 2물질막에 대한 제 2인큐베이션 타임을 포함하며,
    상기 제 2인큐베이션 타임이 제 1인큐베이션 타임보다 큰 플라즈마 식각 장치.
  5. 제 4항에 있어서,
    상기 단위 식각시간은 상기 제 1인큐베이션 타임과 제 2인큐베이션 타임 사이의 시간으로 설정되는 플라즈마 식각 장치.
  6. 기판 상에 형성된 적어도 2개의 물질막에 대한 식각량을 측정하는 단계;
    상기 식각량을 포함한 계측된 식각 정보를 수신하는 단계;
    상기 측정된 각 물질막의 식각량 값을 통해 각 물질막에 대한 인큐베이션 타임을 결정하는 단계;
    상기 계측된 식각 정보에 근거하여 상기 물질막의 플라즈마 식각을 제어하는 조건을 결정하는 단계;
    상기 조건 결정 이후, 상기 조건에 따라 플라즈마 식각을 수행하는 단계를 포함하며,
    상기 조건은 상기 플라즈마 식각이 연속적으로 수행되는 단위 식각시간을 포함하고,
    상기 단위 식각시간은 상기 적어도 2개의 물질막에 대한 각각의 인큐베이션 타임에 따라 결정되고,
    상기 각각의 인큐베이션 타임은 상기 적어도 2개의 물질막 각각이 식각가스에 반응하는 최소 반응시간인 플라즈마 식각 방법.
  7. 삭제
  8. 제 6항에 있어서,
    상기 적어도 2개의 물질막은, 식각 대상이 되는 제 1물질막과 상기 제 1물질막의 식각 패턴을 가이드하는 제 2물질막을 포함하는 플라즈마 식각 방법.
  9. 제 8항에 있어서,
    상기 각각의 인큐베이션 타임은,
    상기 제 1물질막에 대한 제 1인큐베이션 타임과 제 2물질막에 대한 제 2인큐베이션 타임을 포함하며,
    상기 제 2인큐베이션 타임이 제 1인큐베이션 타임보다 큰 플라즈마 식각 방법.
  10. 제 9항에 있어서,
    상기 단위 식각시간은 상기 제 1인큐베이션 타임과 제 2인큐베이션 타임 사이의 시간으로 설정되는 플라즈마 식각 방법.
KR1020160006955A 2016-01-20 2016-01-20 플라즈마 식각 방법 및 장치 KR102496037B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020160006955A KR102496037B1 (ko) 2016-01-20 2016-01-20 플라즈마 식각 방법 및 장치
US15/133,989 US10096453B2 (en) 2016-01-20 2016-04-20 Method and apparatus for plasma etching
US15/841,230 US10580617B2 (en) 2016-01-20 2017-12-13 Method and apparatus for plasma etching

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160006955A KR102496037B1 (ko) 2016-01-20 2016-01-20 플라즈마 식각 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20170087239A KR20170087239A (ko) 2017-07-28
KR102496037B1 true KR102496037B1 (ko) 2023-02-06

Family

ID=59314035

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160006955A KR102496037B1 (ko) 2016-01-20 2016-01-20 플라즈마 식각 방법 및 장치

Country Status (2)

Country Link
US (2) US10096453B2 (ko)
KR (1) KR102496037B1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
CN110071040B (zh) * 2018-01-22 2024-04-09 东京毅力科创株式会社 蚀刻方法
US11373878B2 (en) * 2020-07-16 2022-06-28 Taiwan Semiconductor Manufacturing Company Ltd. Technique for semiconductor manufacturing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20100047519A1 (en) 2007-01-22 2010-02-25 Chee-Leong Lee Plasma etching of diamond surfaces

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218196B1 (en) 1998-05-06 2001-04-17 Mitsubishi Denki Kabushiki Kaisha Etching apparatus, etching method, manufacturing method of a semiconductor device, and semiconductor device
US7482278B1 (en) 1999-02-11 2009-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Key-hole free process for high aspect ratio gap filling with reentrant spacer
KR100751740B1 (ko) 1999-11-15 2007-08-24 램 리써치 코포레이션 공정 시스템들을 위한 재료들과 기체 화학성분들
US6897119B1 (en) 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6855567B1 (en) * 2000-05-31 2005-02-15 Lam Research Corporation Etch endpoint detection
KR100410992B1 (ko) 2001-01-08 2003-12-18 삼성전자주식회사 플라즈마 식각 설비 및 이를 이용한 플라즈마 식각 방법
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6955177B1 (en) 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
JP3686866B2 (ja) * 2001-12-18 2005-08-24 株式会社日立製作所 半導体製造装置及び製造方法
US7256104B2 (en) * 2003-05-21 2007-08-14 Canon Kabushiki Kaisha Substrate manufacturing method and substrate processing apparatus
KR100725938B1 (ko) * 2005-05-30 2007-06-11 삼성전자주식회사 신뢰성있는 갭 필 공정을 진행할 수 있는 반도체 제조 장치및 이를 이용한 반도체 제조 공정 방법
US7481943B2 (en) 2005-08-08 2009-01-27 Silverbrook Research Pty Ltd Method suitable for etching hydrophillic trenches in a substrate
US20070224827A1 (en) 2006-03-22 2007-09-27 Ying Xiao Methods for etching a bottom anti-reflective coating layer in dual damascene application
US7759249B2 (en) 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US20080142039A1 (en) 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
CA2670809A1 (en) 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
US8362503B2 (en) 2007-03-09 2013-01-29 Cree, Inc. Thick nitride semiconductor structures with interlayer structures
JP5366235B2 (ja) 2008-01-28 2013-12-11 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US7851239B2 (en) 2008-06-05 2010-12-14 Qualcomm Mems Technologies, Inc. Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices
US8263502B2 (en) 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5655296B2 (ja) 2009-12-01 2015-01-21 セントラル硝子株式会社 エッチングガス
JP5466756B2 (ja) 2010-03-04 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
JP5434970B2 (ja) 2010-07-12 2014-03-05 セントラル硝子株式会社 ドライエッチング剤
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
KR101276262B1 (ko) 2011-11-21 2013-06-20 피에스케이 주식회사 반도체 제조 장치 및 반도체 제조 방법
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
TWI625424B (zh) 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US9818657B2 (en) * 2013-06-21 2017-11-14 Renesas Electronics Corporation Dry etching method and method of manufacturing semiconductor device
JP6242095B2 (ja) 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
JP2015070232A (ja) 2013-09-30 2015-04-13 株式会社東芝 半導体装置の製造方法及び半導体製造装置
KR20150061179A (ko) 2013-11-26 2015-06-04 에스케이하이닉스 주식회사 플라즈마 강화 기상 증착
US9893058B2 (en) * 2015-09-17 2018-02-13 Semiconductor Components Industries, Llc Method of manufacturing a semiconductor device having reduced on-state resistance and structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20100047519A1 (en) 2007-01-22 2010-02-25 Chee-Leong Lee Plasma etching of diamond surfaces

Also Published As

Publication number Publication date
KR20170087239A (ko) 2017-07-28
US10096453B2 (en) 2018-10-09
US20180102235A1 (en) 2018-04-12
US20170207066A1 (en) 2017-07-20
US10580617B2 (en) 2020-03-03

Similar Documents

Publication Publication Date Title
KR102422230B1 (ko) 엔드포인트 검출을 위한 에칭 계측 민감도
US7993937B2 (en) DC and RF hybrid processing system
KR101339561B1 (ko) 가스 공급 시스템으로부터 플라즈마 처리 챔버로의 가스플로우 레이트의 확인 방법
JP5065082B2 (ja) 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US11056322B2 (en) Method and apparatus for determining process rate
KR101217898B1 (ko) 플라즈마 처리 장치, 플라즈마 처리 방법, 종점 검출 방법 및 컴퓨터 판독가능한 기억 매체
KR102496037B1 (ko) 플라즈마 식각 방법 및 장치
CN109671624B (zh) 用于确定工艺参数的方法和装置
US20080110569A1 (en) Plasma etching apparatus and plasma etching method
US7723236B2 (en) Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
TW201934957A (zh) 電漿處理方法、及電漿處理裝置
KR101134326B1 (ko) 인 시츄 기판 온도 모니터링을 위한 방법 및 장치
TW202204687A (zh) 電漿處理方法及電漿處理裝置
US20220205105A1 (en) Trim and deposition profile control with multi-zone heated substrate support for multi-patterning processes
US20190019734A1 (en) Optical emission spectroscopic techniques for monitoring etching
JP4410117B2 (ja) ガス設定方法,ガス設定装置,エッチング装置及び基板処理システム
CN115274488B (zh) 碳化硅裸片与碳化硅掩膜层刻蚀深度选择比预测方法
JP5675195B2 (ja) プラズマ処理装置及びプラズマ処理方法
Chen et al. Application of the Taguchi’s design of experiments to optimize a bromine chemistry-based etching recipe for deep silicon trenches
JP2005303088A (ja) プラズマ処理装置及びレジストトリミング方法
KR20230045543A (ko) 기판 처리를 행하는 장치, 가스 샤워 헤드, 및 기판 처리를 행하는 방법
TW202307397A (zh) 用於量測蝕刻參數的多反射量測法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant