KR20150061179A - 플라즈마 강화 기상 증착 - Google Patents

플라즈마 강화 기상 증착 Download PDF

Info

Publication number
KR20150061179A
KR20150061179A KR1020130144926A KR20130144926A KR20150061179A KR 20150061179 A KR20150061179 A KR 20150061179A KR 1020130144926 A KR1020130144926 A KR 1020130144926A KR 20130144926 A KR20130144926 A KR 20130144926A KR 20150061179 A KR20150061179 A KR 20150061179A
Authority
KR
South Korea
Prior art keywords
space
plasma
gas
material film
process gas
Prior art date
Application number
KR1020130144926A
Other languages
English (en)
Inventor
최두진
정진환
안수빈
Original Assignee
에스케이하이닉스 주식회사
연세대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에스케이하이닉스 주식회사, 연세대학교 산학협력단 filed Critical 에스케이하이닉스 주식회사
Priority to KR1020130144926A priority Critical patent/KR20150061179A/ko
Priority to US14/554,964 priority patent/US20150147488A1/en
Publication of KR20150061179A publication Critical patent/KR20150061179A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Abstract

본 발명은 플라즈마 강화 기상 증착에 관한 것이다. 본 발명의 일 실시예에 따르면, 서로 연통된 제 1 공간 및 제 2 공간을 가지는 공정 챔버; 상기 제 1 공간 내에 제공되고 가변 저항 재료막이 형성될 기판을 지지하는 기판 홀더; 상기 제 2 공간 내에 플라즈마를 유도하도록 상기 공정 챔버에 결합되는 플라즈마 발생 장치; 상기 챔버의 상기 제 2 공간으로부터 상기 제 1 공간으로 확산되는 상기 플라즈마의 이온종 필터링을 수행하는 이온종 스크리닝 부재; 상기 제 1 공간으로 상기 가변 저항 재료막의 구성 원소를 포함하는 전구체 가스를 포함하는 제 1 공정 가스를 펄스 공급하는 제 1 가스 공급부; 상기 제 2 공간으로 상기 가변 저항 재료막 또는 상기 전구체 가스의 산화 또는 환원을 위한 반응성 가스를 포함하는 제 2 공정 가스를 공급하는 제 2 가스 공급부; 및 상기 제 2 공간으로부터 상기 제 1 공간으로 기체 흐름을 유도하도록 상기 공정 챔버에 결합되는 배기부를 포함하는 플라즈마 강화 기상 증착 장치가 제공된다.

Description

플라즈마 강화 기상 증착{Deposition using plasma enhanced vapor phase method}
본 발명은 반도체 소자 제조 기술에 관한 것으로서, 더욱 상세하게는, 상변화 재료막과 같은 비휘발성 정보 저장막을 증착하기 위한 플라즈마 강화 기상 증착 장치, 플라즈마 강화 기상 증착 방법, 및 컴퓨터 판독 가능 매체에 관한 것이다.
최근, 스마트폰, 태블릿 PC, 및 디지털 카메라와 같은 휴대용 디지털 응용 기기들의 수요가 증가하면서 비휘발성 메모리 시장은 급속도로 팽창하고 있다. 대표적인 프로그래밍 가능한 비휘발성 메모리 장치가 플래시 메모리이다. 상기 플래시 메모리는 1983년 16 KB 용량의 EEPROM 제품으로 출시된 이후 지속적으로 스케일다운되어 현재 512 GB 의 용량까지 도달하였다. 그러나, 이러한 플래시 메모리도 45 nm 미만으로 스케일다운되면서, 이의 엔듀어런스 특성(플래시 메모리셀이 프로그래밍될 수 있는 회수)의 열화와 100 ms 이상의 낮은 프로그래밍 속도 때문에 그 응용이 한계에 직면하고 있다.
상기 플래시 메모리를 대체할 수 있는 비휘발성 메모리 장치로서 고집적도(저비용) 및 고속의 기록 및 독출 동작이 가능하면서도 랜덤 액세서빌러티(random accessibility)와 높은 엔듀어런스 성능을 기초로, 전통적인 DRAM 및 SRAM이 적용되는 영역까지도 확장 적용될 수 있는 새로운 메모리인 유니버설 메모리(universal memory)에 관한 연구가 활발하다. 이러한 유니버설 메모리로서, 가역적으로 저항값이 변할 수 있는 가변 저항체를 이용한 상변화 메모리(PcRAM), 저항성 메모리(ReRAM) 및 자기 메모리(MRAM)와 같은 소자가 주목을 받고 있다. 이들 메모리 소자들 중 상변화 메모리는 상용화 단계에 가장 근접해 있다.
상기 상변화 메모리는 프로그래밍을 위한 소비 전력(또는 리셋 전류)의 감소와 인접 셀들 사이의 열적 간섭(crosstalk)을 방지하기 위해 층간 절연막에 형성된 비아 홀이나 트렌치에 상변화 재료가 매립되는 제한 구조(confined structure)로 설계되는 추세이다. 상기 제한 구조를 갖는 메모리 셀을 형성하기 위해서는 상기 비아 홀 또는 트렌치의 종횡비에 대응하는 갭필(gap fill) 성능을 확보하여야 한다. 이러한 요구에 따라 화학기상증착, 전기 도금, 용액법 또한 원자층 증착법이 제안되고 있다.
그러나, 이러한 최선단의 증착 방법에 있어서도 두께 조절의 용이성(thickness controllability), 균일도(uniformity), 높은 순응성(conformality)과 저온 증착의 확보는 여전히 요구되고 있다. 또한, 상변화 재료막이 일반적으로 다성분계 금속 합금체이기 때문에, 현 단계에서 가장 유력한 기술인 원자층 증착에서 나타나는 전구체의 선택, 에너지 조건 또는 열역학적 조건에 따라 합성되는 상변화 재료막의 특성이 매우 가변적인 문제점을 개선하고, 갭필(gap fill) 특성의 지속적인 향상과 대량 생산에 적합한 증착 속도를 확보하는 것이 초고집적 비휘발성 메모리 소자를 제조하기 위해 요구되고 있다.
본 발명이 이루고자 하는 기술적 과제는, 비아 홀 또는 트렌치 패턴과 같은 높은 종횡비를 갖는 3차원 형상에 비휘발성 정보 저장막인 상변화 재료막과 같은 가변 저항 재료막을 우수한 단차 피복성을 갖고 고신뢰성과 양산성으로 증착할 수 있는 플라즈마 강화 기상 증착 장치를 제공하는 것이다.
또한, 본 발명이 이루고자 하는 다른 기술적 과제는 전술한 이점을 갖는 플라즈마 강화 기상 증착 방법을 제공하는 것이다.
또한, 본 발명이 이루고자 하는 또 다른 기술적 과제는 전술한 이점을 갖는 플라즈마 강화 기상 증착 방법을 수행하는 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체를 제공하는 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 일 실시예에 따르면, 서로 연통된 제 1 공간 및 제 2 공간을 가지는 공정 챔버, 상기 제 1 공간 내에 제공되고 가변 저항 재료막이 형성될 기판을 지지하는 기판 홀더, 상기 제 2 공간 내에 플라즈마를 유도하도록 상기 공정 챔버에 결합되는 플라즈마 발생 장치, 상기 챔버의 상기 제 2 공간으로부터 상기 제 1 공간으로 확산되는 상기 플라즈마의 이온종 필터링을 수행하는 이온종 스크리닝 부재, 상기 제 1 공간으로 상기 가변 저항 재료막의 구성 원소를 포함하는 전구체 가스를 포함하는 제 1 공정 가스를 펄스 공급하는 제 1 가스 공급부, 상기 제 2 공간으로 상기 상기 가변 저항 재료막 또는 상기 전구체 가스의 산화 또는 환원을 위한 반응성 가스를 포함하는 제 2 공정 가스를 공급하는 제 2 가스 공급부, 및 상기 제 2 공간으로부터 상기 제 1 공간으로 기체 흐름을 유도하도록 상기 공정 챔버에 결합되는 배기부를 포함하는 플라즈마 강화 기상 증착 장치가 제공된다.
일 실시예에서 상기 제 1 공정 가스는 2 이상의 전구체 가스들을 포함하고 상기 2 이상의 전구체 가스들은 교번하여 적어도 1회 이상 반복하여 펄스 공급될 수 있다. 상기 플라즈마 발생 장치는 원격 플라즈마 발생 장치일 수 있다.
상기 원격 플라즈마 발생 장치는 상기 공정 챔버의 상기 제 2 공간부로부터 확장된 확장부에 결합되는 원격 플라즈마 소스를 포함하고, 상기 확장부에 상기 제 2 가스 공급부가 결합되어 상기 제 2 공정 가스가 플라즈마 상태로 상기 제 2 공간으로 공급될 수 있다.
일 실시예에서는, 상기 확장부에 결합되어 상기 플라즈마의 방전 및 유지에 적합한 비활성 가스를 포함하는 제 3 공정 가스를 공급하는 공급하는 제 3 가스 공급부를 더 포함할 수 있고, 상기 원격 플라즈마 발생 장치는 상기 제 2 공간에 노출되고 상기 이온종 스크리닝 부재에 용량 결합되고, 상기 전극과 상기 이온종 스크리닝 부재 사이에 상기 제 2 공정 가스가 공급되어 상기 플라즈마를 유도할 수 있다.
상기 원격 플라즈마 발생 장치에 결합되는 비활성 가스를 공급하는 제 3 가스 공급부를 포함하며, 상기 제 2 공정 가스는 상기 원격 플라즈마 발생 장치의 하류에 공급되어 상기 비휘발성 가스의 플라즈마에 의해 여기될 수 있다.
일 실시예에서는, 상기 이온종 스크리닝 부재는 적어도 하나 이상의 개구 영역을 포함하는 플레이트, 메시, 대전 벽체 및 전자 소스 중 어느 하나 또는 이들의 조합을 포함하고, 상기 이온종 스크리닝 부재는 전기적 도체이며 접지된다.
상기 개구 영역의 최대 폭은 0.5 mm 내지 5 mm 의 범위 내이고, 상기 제 2 공정 가스는 상기 제 1 공정 가스가 펄스 공급되는 동안 연속적으로 공급될 수 있다.
상기 플라즈마 발생 장치는 상기 제 2 공정 가스가 연속적으로 공급되는 플라즈동안 플라즈마를 유지하고, 상기 제 1 공정 가스는 유기금속 화합물(organo-metallics), 금속 할로겐화물(metal halides), 알킬아민화물(alkylamides), 시릴아민화물, 및 알킬시릴화물(alkyl-silyls) 중 어느 하나 또는 이들의 혼합물을 포함할 수 있다.
일 실시예에서 상기 제 2 공정 가스 중 산화성 공정 가스는 산소(O2) 및 오존(O3) 중 적어도 어느 하나를 포함하며, 환원성 공정 가스는 수소(H2), 질소(N2), 암모니아(NH3), 수소 아지화물(Hydrogen azide, HN3), 하이드라진(hydrazine, N2H4), 질소 불화물(NF3), 수분(H2O) 및 알코올 중 적어도 하나를 포함한다. 상기 기판은 비아 홀 또는 트렌치 패턴을 포함하고, 상기 상변화 재료막은 상기 비아 홀 또는 트렌치 패턴 내에 일부 또는 전부가 매립될 수 있다.
상기 가변 저항 재료막은 상변화 재료막일 수 있으며, 상기 상변화 재료막은 게르마늄(Ge), 안티몬(Sb), 테룰륨(Te) 및 셀레늄(Se) 중 적어도 하나를 포함하고, 상기 상변화 재료막을 형성하는 동안 상기 기판의 온도는 상온 내지 350 ℃ 의 범위 내일 수 있다.
상기 기술적 과제를 달성하기 위한 본 발명의 다른 실시예에 따르면, 서로 연통된 제 1 공간 및 제 2 공간을 가지며 상기 제 2 공간으로부터 상기 제 1 공간으로 기체 흐름이 유도되는 공정 챔버 내에서 가변 저항 재료막을 형성하기 위한 플라즈마 강화 기상 증착 방법으로서, 상기 제 1 공간의 기판 홀더 상에 상기 가변 저항 재료막이 형성될 기판을 제공하는 단계; 상기 제 1 공간으로 상기 가변 저항 재료막의 구성 원소를 포함하는 전구체 가스를 포함하는 제 1 공정 가스를 펄스 공급하는 단계; 상기 제 1 공정 가스를 펄스 공급하는 동안, 상기 제 2 공간으로 상기 가변 저항 재료막 또는 상기 전구체 가스의 산화 또는 환원을 위한 반응성 가스를 포함하는 제 2 공정 가스를 연속적으로 공급하는 단계; 상기 제 1 공정 가스 및 상기 제 2 공정 가스가 공급되는 동안 상기 제 2 공간 내에 플라즈마를 유지하고 상기 제 2 공간으로부터 상기 제 1 공간으로 확산되는 상기 플라즈마로부터 이온종을 필터링하는 단계; 및 상기 제 1 공정 가스와 상기 플라즈마로부터 전달된 상기 반응성 가스의 중성 라디컬들을 상기 기판 상으로 유동시켜 상기 기판 상에 가변 저항 재료막을 형성하는 단계를 포함하는 플라즈마 강화 기상 증착 방법이 제공된다.
상기 기술적 과제를 달성하기 위한 본 발명의 다른 실시예에 따르면, 가변 저항 재료막 형성을 위한 시스템 프로세서에서의 실행을 위한 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체로서, 상기 프로세서에 의해 실행되는 경우, 상기 기판 프로세싱 시스템이 상기 플라즈마 강화 기상 증착 방법의 단계들을 수행하게 하는 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체가 제공된다.
본 발명의 실시예들에 따르면, 플라즈마 강화 원자층 증착에서 전구체 가스를 포함하는 제 1 공정 가스를 공정 챔버의 제 1 공간으로 펄스 공급하고 증착될 가변 저항 재료막 및/또는 상기 전구체 가스의 산화 또는 환원을 위한 반응성 가스를 공정 챔버 내의 제 2 공간에 분리 공급하고, 제 2 공간에서 플라즈마를 유도함으로써 제 1 공간과 제 2 공간에서 독립적으로 공정 변수를 제어하고, 그 결과 각 공간에서 일어나는 반응을 최적화할 수 있다. 또한, 독립적으로 공정 변수를 제어하면서도 상기 제 2 공간에서 최적 에너지를 갖고 여기된 중성 반응종만이 상기 제 1 공간으로 전달될 수 있도록 이온종 필터링을 함으로써 이온종에 의한 가변 저항 재료막 증착시의 과증착에 따른 가변 저항 재료막의 오버행을 억제하여 비아 홀 또는 트렌치 패턴 내에 우수한 갭필 특성을 가지면서도 우수한 증착 속도에 의한 양산성을 갖는 가변 저항성 재료막을 형성할 수 있다.
도 1a 및 도 1b는 본 발명의 실시예들에 따른 상변화 메모리 소자의 메모리셀들을 도시하는 사시 단면도이다.
도 2a 및 도 2b는 본 발명의 실시예에 따른 플라즈마 강화 기상 증착 장치들을 도시하며, 도 2c는 비교 실시예로서 다이렉트 플라즈마 강화 화학 기상 증착 장치를 도시한다.
도 3a 내지 도 3c는 본 발명의 다양한 실시예들에 따른 이온종 스크리닝 부재들을 도시하는 사시도이다.
도 4는 본 발명의 일 실시예에 따른 플라즈마 강화 기상 증착 방법의 순서도이며, 도 5는 본 발명의 실시예에 따른 상기 기상 증착 방법의 타이밍 다이어그램(timing diagram)이다.
도 6a 및 도 6b는 본 발명의 실시예에 따라 플라즈마 파워 70 W 및 150 ? 및 200 ℃의 기판 온도에서 증착된 상변화 재료막의 단면을 나타내는 주사 현미경 이미지들이며, 도 6c는 비교 실시예에 따라 증착된 상변화 재료막의 단면을 나타내는 주사 현미경 이미지이다.
도 7a는 본 발명의 실시예에 따라 플라즈마 파워 100 W 및 150 ?의 기판 온도에서 증착된 상변화 재료막의 단면을 나타내는 주사 현미경 이미지이며, 도 7b는 비교 실시예에 따라 증착된 상변화 재료막의 단면을 나타내는 주사 현미경 이미지이다.
도 8은 기판 온도의 변화에 따른 증착된 SbTe 상변화 재료막의 X선 회절 분석 결과를 도시하는 그래프이다.
도 9a는 본 발명의 실시예에 따라 다양한 기판 온도에서 증착된 SbTe 상변화 재료막의 증착 상태의(as-deposited) 면저항의 측정 결과를 도시하는 그래프이며, 도 9b는 Sb와 Te 전구체 가스의 주입 펄스 비의 변화에 따른 SbTe 상변화 재료막이 면저항의 측정 결과를 도시하는 그래프이다.
도 10은 본 발명의 실시예에 따라 증착된 SbTe계 상변화 재료막을 포함하는메모리 셀의 전류-전압(I-V) 특성을 나타내는 그래프이다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다.
본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것이며, 하기 실시예는 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 하기 실시예에 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하고, 당업자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다.
도면에서 동일 부호는 동일한 요소를 지칭한다. 또한, 본 명세서에서 사용된 바와 같이, 용어 "및/또는"은 해당 열거된 항목 중 어느 하나 및 하나 이상의 모든 조합을 포함한다.
본 명세서에서 사용된 용어는 실시예를 설명하기 위하여 사용되며, 본 발명의 범위를 제한하기 위한 것이 아니다. 또한, 본 명세서에서 단수로 기재되어 있다 하더라도, 문맥상 단수를 분명히 지적하는 것이 아니라면, 복수의 형태를 포함할 수 있다. 또한, 본 명세서에서 사용되는 "포함한다(comprise)" 및/또는 "포함하는(comprising)"이란 용어는 언급한 형상들, 숫자, 단계, 동작, 부재, 요소 및/또는 이들 그룹의 존재를 특정하는 것이며, 다른 형상, 숫자, 동작, 부재, 요소 및/또는 그룹들의 존재 또는 부가를 배제하는 것이 아니다.
본 명세서에서 기판 또는 다른 층 "상에(on)" 형성된 층에 대한 언급은 상기 기판 또는 다른 층의 바로 위에 형성된 층을 지칭하거나, 상기 기판 또는 다른 층 상에 형성된 중간 층 또는 중간 층들 상에 형성된 층을 지칭할 수도 있다. 또한, 당해 기술 분야에서 숙련된 자들에게 있어서, 다른 형상에 "인접하여(adjacent)" 배치된 구조 또는 형상은 상기 인접하는 형상에 중첩되거나 하부에 배치되는 부분을 가질 수도 있다.
본 명세서에서, "아래로(below)", "위로(above)", "상부의(upper)", "하부의(lower)", "수평의(horizontal)" 또는 "수직의(vertical)"와 같은 상대적 용어들은, 도면들 상에 도시된 바와 같이, 일 구성 부재, 층 또는 영역들이 다른 구성 부재, 층 또는 영역과 갖는 관계를 기술하기 위하여 사용될 수 있다. 이들 용어들은 도면들에 표시된 방향뿐만 아니라 소자의 다른 방향들도 포괄하는 것임을 이해하여야 한다.
이하에서, 본 발명의 실시예들은 본 발명의 이상적인 실시예들(및 중간 구조들)을 개략적으로 도시하는 단면도들을 참조하여 설명될 것이다. 이들 도면들에 있어서, 예를 들면, 부재들의 크기와 형상은 설명의 편의와 명확성을 위하여 과장될 수 있으며, 실제 구현시, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예는 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 된다. 또한, 도면의 부재들의 참조 부호는 도면 전체에 걸쳐 동일한 부재를 지칭한다.
도 1a 및 도 1b는 본 발명의 실시예들에 따른 상변화 메모리 소자(100A, 100B)의 메모리셀들(MC_1, MC_2)을 도시하는 사시 단면도이다. 이들 도면들은, 셀 어레이 영역(cell array area)의 일부에 대하여만 개시하고 있으며, 셀 어레이 영역에 인접하는 주변 영역(peripheral area)을 구성하는 회로 요소들, 예를 들면, 고전압 트랜지스터 및 저전압 트랜지스터들, 및 이들의 전기적 연결을 위한 배선에 관하여는 공지의 기술이 참작될 수 있다.
도 1a 및 도 1b를 참조하면, 기판(10) 상에 형성되는 메모리 셀들(MC_1, MC_2)은 서로 다른 방향으로 연결되어 교차하는 배선들(11_1, 11_2), 예를 들면 비트 라인과 워드 라인 사이에 제공된다. 메모리 셀들(MC_1, MC_2)은 선택 소자(12)에 전기적으로 연결될 수 있다. 선택 소자(12)는 다이오드 및 트랜지스터와 같은 전류 스티어링 또는 스위칭 소자일 수 있다.
선택 소자(12) 상에는 히터로서 기능하는 전극(13)이 형성될 수 있다. 메모리 셀(MC_1, MC_2)과 인접하는 다른 메모리 셀들(미도시) 사이는 층간 절연막(13)에 의해 전기적 분리가 달성된다. 층간 절연막 내에는, 도 1a 및 도 1b에 도시된 바와 같이, 전극(13)의 적어도 일부 표면을 노출시키는 비아 홀이 형성될 수 있다. 상기 비아 홀 내에 점선으로 지시된 바와 같이 상변화 재료막(15_1, 15_2)이 채워질 수 있다.
메모리 셀(MC_1, MC_2)에서 상변화 재료막(15_1, 15_2)은 비아 홀 또는 트렌치 패턴 내에 매립되어, 하지의 전극(13)과 최소 접촉 면적을 갖고 상변화 메모리 재료의 열을 가두어 소모 전류와 열간섭을 개선시킨 제한 구조를 갖는다. 도 1a는 상변화 메모리 재료막(15)의 일부(점선으로 나타낸 영역)가 매립된 것을 예시하며, 도 1b는 상변화 메모리 재료막(15)의 전부(점선으로 나타낸 영역)가 매립된 것을 예시한다.
상기 상변화 재료막(15_1, 15_2)은 칼코겐화합물을 포함할 수 있다. 예를 들면, 상기 칼코겐화합물은 Ge-Sb-Te의 3 성분계 상평형도에서 GeTe와 Sb2Te3 사이의 준이성분계선(pseudo-binary line) 상에 위치하는 고용체일 수 있다. 상기 상변화 재료는, 예를 들면, Ge2Sb2Te5, In0.5Sb2Te2.9, Sb2Te3, Ge7.5Sb92.5, GeSb2Te3, GeSb2Te4, BiSe, GeTeAs, GeSnTe, SeSnTe, GaSeTe, GeTeSnAu, SeSb2, InSe, GeTe, BiSeSb, PdTeGeSn, InSeTiCo, InSbTe, In3SbTe2, GeTeSb2, GeTe3Sb, GeSbTePd 또는 AgInSbTe 일 수 있다. 그러나, 이들 실시예들은 예시적이며, 상기 상변화 재료막은 게르마늄(Ge), 안티몬(Sb), 테룰륨(Te) 및 셀레늄(Se) 중 적어도 하나를 포함하고, 비정질과 결정질 구조에서 서로 구별되는 저항값을 갖는, 예를 들면, CuSe와 같은 2성분계 또는 3 성분계 이상의 화합물일 수 있다. 또한, 전술한 재료들에, 불순물 원소, 예를 들면, B, C, N, P와 같은 비금속 원소가 더 도핑될 수도 있다.
전술한 실시예들은 비아 홀에 관한 것이지만, 하지의 전극(13)은 트렌치 패턴을 통해 노출될 수도 있으며 상기 트렌치 패턴 내에 상변화 메모리 재료막(15_1, 15_2)의 일부 또는 전부가 매립될 수 있다. 상기 상변화 재료막은 후술하는 플라즈마 강화 기상 증착 공정에 의해 제조되며, 비아 홀 또는 트렌치 패턴 내에 성막이 이루진 후, 주변의 층간 절연막(14)의 상부 표면이 노출될 때까지 화학기계적연마 공정(CMP)을 수행하므로써 포토리소그래피 공정의 임계 치수 미만의 크기를 갖는 초고집적 메모리 셀을 형성할 수 있다. 상기 구조는 상변화 메모리 소자에 관한 것이지만, 크로스 포인트 구조의 저항 메모리 또는 자성 메모리에도 본 발명이 적용될 수 있음을 이해할 수 있을 것이다.
도 2a 및 도 2b는 본 발명의 실시예에 따른 플라즈마 강화 기상 증착 장치들(1000A, 1000B)을 도시하며, 도 2c는 비교 실시예로서 다이렉트 플라즈마 강화 화학 기상 증착 장치(1000R)를 도시한다.
도 2a를 참조하면, 공정 챔버(500)는 서로 기체 흐름이 가능한 제 1 공간(V1) 및 제 2 공간(V2)을 갖는다. 제 1 공간(V1)과 제 2 공간(V2)는 이온종 스크리닝 부재(IS)에 의해 서로 분리될 수 있다. 이온종 스크리닝 부재(IS)에 관하여는 별도로 상세히 후술토록 한다. 제 1 공간(V1)에는 가변 저항 재료막, 예를 들면, 상변화 재료막이 형성될 기판(10)을 지지하는 기판 홀더(510)가 제공된다. 기판 홀더(510)에는 복수의 기판들이 적치될 수도 있다.
제 1 공간(V1) 내로 상기 상변화 재료막의 구성 원소를 포함하는 전구체 가스를 포함하는 제 1 공정 가스(화살표 A로 표현됨)를 공급하기 위한 제 1 가스 공급부(IL1)가 결합된다. 제 1 가스 공급부(IL1)는, 예를 들면, 전구체 가스를 생성하기 위한 소스 재료를 수용하는 캐니스터, 공정 챔버로 전구체 가스를 전달하기 위한 배관 및 전구체 가스의 흐름 제어를 위한 밸브 시스템을 포함할 수 있다. 상기 전구체 가스의 전달을 위해 운반 가스가 사용될 수 있으며, 상기 운반 가스는 상기 캐니스터를 경유하여 전구체 가스와 함께 제 1 공간(V1) 내로 인입될 수 있다.
상기 상변화 재료막이 2 이상의 합금인 경우 전구체 가스는 2 이상의 종류일 수 있으며, 이를 위해 제 1 가스 공급부(IL1)는 이들 전구체 가스들의 흐름을 개별적으로 제어하기 위해 다중화될 수 있다. 예를 들어, 각 전구체 가스마다 캐니스터 또는 버블러, 배관 및 밸브 시스템이 할당되고, 이들 전구체 가스들이 서로 교번하여 펄스 공급될 수 있도록 복수의 가스 공급 라인을 갖는 제 1 가스 공급부(IL1)가 제공될 수 있다.
공정 챔버(500)의 제 2 공간(V2) 내에는 상기 상변화 재료막이 형성되는 동안 상기 상변화 재료막 또는 상기 전구체 가스의 산화 및 환원(redox)을 위한 반응성 가스를 포함하는 제 2 공정 가스(화살표 B로 표현됨)가 제공된다. 상기 제 2 공정 가스를 제공하기 위해 제 2 공간(V2)에 결합되는 제 2 가스 공급부(IL2)가 제공될 수 있다. 제 2 가스 공급부(IL2)는 제 1 가스 공급부(IL1)와 유사하게 적절한 배관 및 밸브 시스템을 포함하며, 기상의 반응성 가스를 사용하는 경우 캐니스터와 같은 부재는 생략될 수 있다. 일 실시예에서, 상기 반응성 가스는 비활성 가스와 함께 제 2 공간(V2) 내로 공급될 수 있다. 상기 비활성 가스는 헬륨, 네온, 아르곤, 제논 및 크립톤 중 어느 하나를 포함할 수 있다.
공정 챔버(500)의 제 2 공간(V1) 내에 플라즈마를 유도하기 위한 플라즈마 발생 장치가 결합된다. 상기 플라즈마 발생 장치는 제 2 공간(V2)을 정의하는 공정 챔버(500)의 내측이나 외측에 제공되며, 기본적으로 제 2 공간(V2) 내에서 플라즈마를 국지적으로 점화 및 유지시키는 것이 바람직하다. 일부 실시예에서, 상기 플라즈마 발생 장치는 공정 챔버(500)의 제 2 공간(V2) 내에 제공되어 직류 또는 교류 전원에 결합되는 전극(미도시)이거나 공정 챔버(500)의 외측에 제공되는 전자기장 유도 코일 또는 마그넷(미도시)을 이용하여 제 2 공간(V2) 내로 플라즈마를 한정할 수 있다. 전술한 장치들은 예시적이며, 플라즈마의 방전과 유지에 적합한 마이크로웨이브 발생 장치와 같은 다른 공지의 플라즈마 소스가 이용될 수도 있다.
일부 실시예에서, 상기 플라즈마 발생 장치는, 도 2a에 도시된 바와 같이, 원격 플라즈마 발생 장치(RP)일 수 있다. 상기 원격 플라즈마 발생 장치는 제 2 공간(V2)으로부터 확장된 확장부(520)에 결합되는 원격 플라즈마 소스를 포함할 수 있다. 확장부(520) 내에서 플라즈마가 국지적으로 고밀도 형성되며, 이 경우 제 2 공간(V2)은 확장부(520) 내에서 발생된 플라즈마의 흐름의 하류에 위치할 수 있다. 상기 원격 플라즈마 소스는, 전자기장 유도 코일, 마그넷 또는 마이크로웨이브 발생 장치일 수 있으며, 도 2a는 전기자기장 유도 코일(530)을 도시한다. 그러나, 이는 예시일 뿐, 본 발명이 이에 제한되는 것은 아니다.
일부 실시예에서는, 전술한 제 2 가스 공급부(IL2)가 확장부(520)에 결합될결합될 수 있다. 이 경우, 상기 제 2 공정 가스는 상기 원격 플라즈마 소스에 의해 확장부(520) 내에서 플라즈마 상태가 되어 제 2 공간(V2)으로 전달될 수 있다. 선택적으로는, 도 2a에 도시된 바와 같이, 제 2 가스 공급부(IL2)는 제 2 공간(V2)에 직접 결합되고, 추가적인 제 3 가스 공급부(IL3)가 확장부(520)에 결합될 수 있다. 제 3 가스 공급부(IL3)를 통하여 플라즈마의 방전 및 유지에 적합한 제 3 공정 가스(화살표 C로 표현됨), 예를 들면, 비활성 가스가 확장부(520) 내로 공급될 수 있다. 상기 비활성 가스의 플라즈마는 하류의 제 2 공간(V2)으로 확산되거나 이동하여, 제 2 공정 가스를 여기할 수 있다.
다른 실시예에서, 제 1 공간(V1), 제 2 공간(V2) 또는 확장부(520)에 퍼지 가스의 공급을 위한 추가적인 가스 공급부가 제공될 수도 있다. 상기 퍼지 가스는 전구체 가스의 펄스들 사이에 제공되어 공정 챔버(500) 내의 잔류 가스 또는 불순물 가스를 제거한다.
공정 챔버(500)의 제 2 공간(V2)로부터 제 1 공간(V1)으로 기체 흐름이 유도될 수 있도록, 플라즈마 강화 반도체 제조 장치(1000A)는 배기부(OL)를 포함할 수 있다. 배기부(OL)는, 도 2a에 도시된 바와 같이, 제 1 공간(V1)에 직접 결합되어 제 2 공간(V2)으로부터 제 1 공간(V1)으로의 가스 흐름을 유도한다. 배기부(OL)의 아웃렛은 챔버의 가장자리에 마련되는 것에 한정되지 않으며, 공정 챔버(500)의 중앙 저부에 마련될 수도 있다.
배기부(OL)는 당해 기술 분야에서 잘 알려진 바와 같이 저압 진공 펌프와 공정 챔버(500)의 압력 제어를 위한 밸브 시스템을 포함할 수 있다. 배기부(OL)를 통하여 상변화 재료막의 기상 증착 공정 동안 발생하는 미반응 물질 또는 반응 부산물들이 퍼징될 수 있다.
제 1 공간(V1)과 제 2 공간(V2)은 이온종 스크리닝 부재(IS)에 의해 분획될 수 있다. 이온종 스크리닝 부재(IS)는 제 2 공간(V2) 내에 형성된 플라즈마의 이온종을 차단하면서 라디컬과 같은 중성종을 제 1 공간(V1)으로 선택적으로 전달하기 위한 것이다. 이를 위해, 이온종 스크리닝 부재(IS)는 제 2 공간(V2)의 플라즈마와 접하면서 시쓰(sheath)를 형성하거나 양이온종을 환원시키기 위한 전자를 제공하여 높은 에너지를 갖는 양이온을 필터링하고 제 1 공간(V1)과 제 2 공간(V2)을 연통시켜 중성종들을 통과시키는 개구 영역를 갖는 구성을 가질 수 있다. 예를 들면, 이온종 스크리닝 부재(IS)는 상기 개구 영역으로서 관통 홀을 포함하는 플레이트, 메시, 대전 벽체 또는 전자 소스일 수도 있으며, 이들의 특징이 조합된 다른 적합한 구성을 가질 수도 있다. 도 2a는 메시 타입의 이온종 스크리닝 부재(IS)를 예시한다.
플라즈마 강화 기상 증착 장치는, 도 2a에 도시된 바와 같이 제 1 공정 가스(A)가 기판(10)의 주면에 대하여 수평 흐름을 갖는 구성을 가질 수 있다. 또한, 이를 위하여 제 1 가스 공급부(IL1)의 인렛과 배기부(OL)의 아웃렛이 기판을 사이에 두고 서로 반대 쪽에 배치될 수 있다. 그러나, 이는 예시적이며, 제 1 공정 가스(A)가 이온종 스크리닝 부재(IS)를 통과하는 중성종과 믹싱되고 기판(10)의 주면에 상기 믹싱 가스가 균일한 수직 흐름으로 공급되도록 제 1 공간(V1)의 내부에 적합한 샤워헤드 또는 믹싱 부재가 제공될 수도 있다. 마찬가지로, 제 2 공정 가스(B)도 수평 흐름을 갖는 구성에 한정되지 않으며, 노즐 또는 믹싱 부재를 이용해 제 2 공간(V2) 내에서 수직 또는 복합화된 흐름을 가진 채로 공급될 수 있다.
도 2b를 참조하면, 또 다른 실시예에 따른 원격 플라즈마 발생 장치(RP)는 용량 결합형 플라즈마 소스일 수 있다. 상기 용량 결합형 플라즈마 소스는 공정 챔버(500) 내에 제공되어 제 2 공간(V2)에 플라즈마를 국지적으로 형성한다. 상기 용량 결합형 플라즈마 소스는 플라즈마의 발생과 에너지를 지속적으로 공급하기 위한 전극(540)과 이온종 스크리닝 부재(IS)를 다른 전극으로 활용하여 제공될 수 있다.
전극(540)은 제 2 공간(V2)에 노출된 표면을 갖고 이온종 스크리닝 부재(IS)에 용량 결합된다. 일 실시예에서, 전극(540)은 교류 전원(RF power, 541)에 연결되고 이온종 스크리닝 부재(IS)는 접지될 수 있다. 도시하지는 않았지만, 전극(540)과 전원(541) 사이에서 임피던스를 매칭하기 매칭 네트워크가 결합될 수 있다.
제 2 가스 공급부(IL2)에 의해 반응성 가스를 포함하는 제 2 공정 가스(B)가 제 2 공간(V2)으로 인입되어 플라즈마 상태가 된다. 이온종 스크리닝 부재(IS)가 관통 홀을 갖는 플레이트형인 경우 이온종 스크리닝 부재(IS)는 제 2 공정 가스의 중성종들을 제 1 공간(V1)으로 균일하게 전달하기 위한 샤워헤드로서 기능할 수 있다. 그러나, 상기 샤워헤드는 이온종 필터링을 위해 차폐 영역과 개구 영역이 적절히 조절되고 접지되는 점에서 통상의 샤워헤드와 다르다. 도 2b에 도시된 다른 구성 요소들인 제 1 가스 공급부(IL1), 배기부(OL)에 관한 설명은 모순되지 않는 한 도 2a에 개시된 사항을 참조할 수 있다.
본 발명의 실시예에 따르면, 이온종 스크리닝 부재(IS)를 경계로 전구체 가스를 포함하는 제 1 공정 가스(A)와 산화 및 환원을 위한 반응성 가스를 포함하는 제 2 공정 가스(B)가 공정 챔버(500)에서 별개의 공간(V1, V2)로 공급되며, 공정 챔버(500) 전체 중에서 제 2 공간(V2) 내에서만 플라즈마가 지배적으로 또는 국지적으로 형성될 수 있다. 그에 따라, 제 1 공간(V1)으로 공급되는 제 1 공정 가스가 직접적으로 방전되거나 제 2 공간(V2)의 플라즈마와 직접적으로 상호 작용을 하지 않는다. 그 결과, 본 발명의 실시예에 따르면, 제 1 공정 가스의 특성과 이의 제어를 위한 공정 변수 제어는 제 1 공간(V1)에서, 반응성 라디컬의 특성과 이의 제어를 위한 공정 변수 제어는 제 2 공간(V2)에서 상호 독립적으로 운영할 수 있는 기상 증착 장치가 제공될 수 있다.
기판 상에 제 2 공간(V2) 내에 제한된 상기 제 2 공정 가스의 플라즈마로부터 반응성 라디컬과 같은 중성종만이 이온종 스크리닝 부재(IS)를 통해 제 1 공간(V1)으로 전달됨으로써 상기 전구체 가스및/또는 기판(10) 상에서 형성되는 상변화 재료막의 표면이 상기 반응성 라디컬과 상호 작용을 하게 된다. 이와 같이 본 발명의 실시예에 따르면, 고에너지의 이온종이 배제된 상기 반응성 라디컬과 전구체 가스 및/또는 상변화 재료막 사이에 설계된 상호 작용에 의해서, 즉, 플라즈마의 이온종에 상관없이 증착이 이루어지고, 반응성 라디컬의 에너지 및 밀도를 이온종에 상관없이 선택적으로 제어할 수 있기 때문에, 상기 반응성 라디컬의 제어에 의해 단차 피복성에 영향을 미치는 전구체 가스의 기판 흡착률(effective sticking coefficient)을 제어하는 것이 가능하다. 또한, 본 발명의 실시예에 따르면, 증착 속도의 향상을 위해 플라즈마의 전력을 증가시키더라도 이온종이 기상 증착에 영향을 미치지 않기 때문에 상변화 재료막의 단차 피복 특성과 증착 속도가 동시에 향상되어 양산성을 가지면서도 고신뢰성의 가변 저항 메모리 소자, 바람직하게는, 상변화 메모리 소자가 제조될 수 있다.
도 2b에 도시된 실시예에서, 제 1 공정 가스(A)는 수평으로 인입되는 것으로 도시되어 있으나 이는 예시적이며, 본 발명이 이에 한정되는 것은 아니다. 이온종 스크리닝 부재를 통과하는 플라즈마 중성종과 제 1 공정 가스(A)가 혼합될 수 있는 적합한 믹싱 부재와 배기부(OL)의 적절한 배치를 통해, 상기 혼합 가스가 제 1 공간(V1) 내에서 기판(10)의 주면에 대해 수직 흐름을 갖도록 공급될 수도 있다.
도 2c에 도시된 비교 실시예에 따른 다이렉트 플라즈마 강화 기상 증착 장치(1000R)는 본 발명의 플라즈마 강화 기상 증착 장치와의 실험 결과를 비교하기 위한 것이다. 다이렉트 플라즈마 강화 기상 증착 장치(1000R)는 샤워헤드(SW)와 기판 홀더 또는 전극(510)을 포함한다. 샤워헤드(SW)와 전극(510)은 서로 용량성 결합되어 반응 공간(V3) 내에 플라즈마를 형성할 수 있다. 샤워헤드(SW)의 상류에는 공정 챔버(500) 내로 인입되는 전구체 가스, 운반 가스 및 반응성 가스들을 제공하기 위한 복수의 가스 공급부들(IL1, IL2, IL3)이 결합될 수 있다. 비교 실시예에 따른 다이렉트 플라즈마 강화 기상증착 장치(1000R)는 단일 영역인 공간(V4) 내에서 전구체 가스(A)와 반응성 가스(B)의 혼합이 이루어지고, 동시에 이 영역에서 플라즈마가 형성되고, 기판(10)에 대한 상변화 재료막의 기상 증착은 다른 영역(V3)에서 수행되는 점에서, 전구체 가스와 반응성 가스의 플라즈마가 공간적으로 구분되어 수행되는 본 발명의 실시예에 따른 플라즈마 강화 기상증착 장치(1000A, 1000B)와 구별된다.
도 3a 내지 도 3c는 본 발명의 다양한 실시예들에 따른 이온종 스크리닝 부재들(IS_1, IS_2, IS_3)을 도시하는 사시도이다.
도 3a 및 도 3b를 참조하면, 이온종 스크리닝 부재들(IS_1, IS_2)은 적어도 하나 이상의 개구 영역(H1, H2)을 포함하는 플레이트 타입일 수 있다. 개구 영역(H1, H2)은 각각 홀 형상 및 슬릿 형상을 가질 수 있다. 원형의 개구 영역(H1)이 예시되어 있지만, 타원 홀이나 사각형 또는 육각형과 같은 다각형 홀일 수도 있으며, 본 발명이 이에 한정되는 것은 아니다. 또한, 슬릿 형상의 개구 영역(h2)도 시쓰 형성에 적합한 다양한 형태로 가공될 수 있다.
개구 영역(H1, H2)이 공정 챔버의 제 2 공간(도 2a의 v2)의 플라즈마와 접하여 플라즈마 시쓰를 형성하여 하전된 종들의 장벽으로 작용할 수 있도록 개구 영역(H1, H2)의 폭(D)이 결정될 수 있다. 예를 들면, 개구 영역(H1, H2)의 폭(D)은 플라즈마 강화 기상 증착 조건에서 개구 영역(H1, H2)의 근방에서 얻어지는 플라즈마 시쓰 크기(W)의 2 배 이하일 수 있다(즉, D ≤ 2W). 플라즈마 시쓰의 크기(W)는 하기의 식 1로 나타내지는 Deby length (λD)의 크기 정도 또는 10 배 이하에 상응하는 것으로 알려져 있다.
[식 1]
Figure pat00001
여기서, ε0 는 진공 상태의 유전율, n0는 플라즈마 밀도(cm-3), e는 전자의 전하량, Te는 전자 온도(eV)를 나타낸다. 상기 식 1으로부터, 플라즈마에 인가되는 전력이 낮아지면, 전자 온도가 높아지고 플라즈마 밀도가 낮아지므로 플라즈마 시쓰의 두께가 두꺼워질 수 있다. 반대로, 플라즈마에 인가되는 전력이 증가되면, 전자 온도가 감소하고 플라즈마 밀도가 높아지므로 플라즈마 시쓰의 두께가 감소될 수 있다. 본 발명의 실시예에 따른 기상 증착 조건에서 상기 플라즈마 시쓰를 고려한 개구 영역(H1, H2)의 최대 폭(D)은 0.5 mm 내지 5 mm 의 범위 내일 수 있다.
상기 폭 D를 너무 작게 설계하면 반응종이 이온종 스크리닝 부재의 표면에 증착되어 오염과 같은 부작용을 초래할 수 있다. 또 다른 접근으로서, 개구 영역(H1, H2)의 폭(D)을 정해 놓고, 이온종의 필터링 효과를 얻을 수 있도록 플라즈마의 전력과 압력을 제어하여 플라즈마 밀도 및 전자 온도를 조절함으로써 역으로 플라즈마 시쓰의 폭을 제어할 수도 있다. 플라즈마 시쓰에 의해 이온종은 이온종 스크리닝 부재의 개구 영역(H1, H2)을 통과하지 못하지만, 플라즈마의 중성종인 라디컬들은 플라즈마 시쓰와 무관하게 개구 영역(H1, H2)을 통해 공정 챔버(500)의 제 1 공간(V1)으로 전달된다.
도 3c를 참조하면, 메시 타입의 이온종 스크리닝 부재(IS_3)가 적용될 수도 있다. 상기 메시는 타공 금속판 또는 교차된 금속 와이어로 형성될 수 있다. 메시 눈의 크기(D)는 전술한 플라즈마 시쓰의 크기(S)의 2 배 이하가 되도록 적절히 선택될 수 있다. 메시 눈의 형태는 삼각형, 사각형 또는 육각형과 같은 임의의 다각형 형상을 가질 수 있으며, 본 발명이 이에 제한되는 것은 아니다.
전술한 이온종 스크리닝 부재들은 세라믹 또는 테프론과 같은 폴리머인 전기적 부도체로 만들어지거나, 스테인레스 스틸 또는 도전성 폴리머와 같은 도전체로 만들어질 수 있다. 도전체로 제조된 이온종 스크리닝 부재는 접지될 수도 있으며, 본 발명이 이에 제한되는 것은 아니다. 또한, 이온종 스크리닝 부재로서 대전 벽체 또는 전자 소스가 적용될 수도 있다. 상기 대전 벽체와 전자 소스는 플라즈마의 이온종을 환원시켜 이온성을 제기하거나 활성화된 중성종을 증가시키는데 기여할 수 있다.
도 4는 본 발명의 일 실시예에 따른 플라즈마 강화 기상 증착 방법의 순서도이며, 도 5는 본 발명의 실시예에 따른 상기 기상 증착 방법의 타이밍 다이어그램(timing diagram)이다. 가변 저항 재료막의 기상 증착을 수행하기 위해 도 2a 및 도 2b를 참조하여 전술한 제 1 공간(V1)과 제 2 공간(V2)을 갖는 플라즈마 강화 기상 증착 장치(1000A, 1000B)가 이용될 수 있다.
도 4를 참조하면, 상기 플라즈마 강화 기상 증착 장치의 제 1 공간의 기판 홀더 상에 가변 저항 재료막, 바람직하게는, 상변화 재료막이 형성될 기판을 제공한다(S10). 제 1 공간 내에 상기 가변 저항 재료막의 구성 원소의 전구체 가스를 포함하는 제 1 공정 가스를 펄스 공급한다(S20). 상기 전구체 가스는 상기 가변 저항 재료막의 구성 원소를 포함하는 알킬 화합물과 같은 유기금속 화합물(organo-metallics), 금속 할로겐화물(metal halides), 금속 아민화물(metal amides), 금속 아미딘화물(metal amidinates), 금속 알킬아민화물(alkylamides), 금속 아세트아미딘화물(metal acetamidinates), 금속 시릴아민화물(metal silyamides), 및 금속 알킬시릴화물(alkyl-silyls) 중 어느 하나 또는 이들의 혼합물일 수 있다. 그러나, 전술한 전구체 화합물은 예시적일 뿐 본 발명이 이에 한정되는 것은 아니다. 예를 들면, 원자층 증착, 분자빔에피택시 또는 화학기상증착에 적용되는 전구체 가스가 사용될 수도 있다.
가변 저항 재료막이 2 개 이상의 구성 원소의 합금인 경우, 상기 전구체 가스는 상기 구성 원소를 각각 포함하는 복수 종류의 전구체 가스들일 수 있다. 예를 들면, 가변 저항 재료막이 SbTe계 합금인 상변화 재료막인 경우, 안티몬(Sb) 및 테룰늄(Te)을 각각 포함하는 2 종의 전구체 가스들이 펄스 공급될 수 있다. 이 경우, 도 5에 도시된 바와 같이, 안티몬(Sb)의 전구체 가스 A1의 펄스와 테룰늄(Te)의 전구체 가스 A2의 펄스는 서로 교번하면서 적어도 1회 이상 반복하여 수행될 수 있다. 이들 펄스 사이에 적합한 퍼지 프로세스가 추가될 수 있다.
각 전구체 가스의 펄스 공급은 기판 상에 해당 전구체의 일부를 원자층 증착법에서 요구하는 자기 제한적 흡착(self-limiting absorption)을 달성하도록 설계될 수 있지만, 라디컬 어시스트 방식으로 기상 증착이 일어나는 본 발명의 실시예는 반드시 이에 한정되지 않는다. 따라서, 비아 홀이나 트렌치의 내벽에 합리적인 속도로 가변 저항 재료막이 증착될 수 있도록 설계되어도 충분하며, 기판의 표면 상에서 해당 전구체 가스의 자기 제한 프로세스를 위해 포화 상태를 반드시 유도할 필요는 없다. 또한, 반드시 자기 제한적 방식에 의존하지 않으므로, 리간드 교환이나 축합과 같은 화학반응이 가능하도록 선택된 전구체 가스의 조합으로 한정될 필요가 없어, 전구체 선택의 폭이 넓어진다. 가변 저항 재료막의 구성 원소간 조성비는 해당 전구체 가스의 펄스의 폭이나 전구체 가스들 사이의 펄스 공급비를 조절하여 제어될 수 있다.
상기 상변화 재료막이 GeSbTe와 같이 3성분계 합금인 경우, 각 구성 원소를 포함하는 3 개의 전구체 가스들이 요구되며, 이들 전구체 가스는 서로 교번하여 적어도 1회 이상 반복하여 펄스 공급된다. 상기 전구체 가스들은 그 자체로 또는 비휘발성 가스인 운반 가스에 실려 공정 챔버의 제 1 공간(V1)으로 전달될 수 있다.
상기 전구체 가스들을 포함하는 상기 제 1 공정 가스가 제 1 공간(V1)으로 전달되는 동안, 증착되는 상변화 재료막 또는 상기 전구체 가스의 산화 또는 환원을 위한 반응성 가스를 포함하는 제 2 공정 가스를 제 2 공간으로 연속적으로 공급된다(S30). 상기 반응성 가스는 상기 전구체가 기판에 흡착되기 용이하도록 증착되는 재료막의 표면에 전구체 가스의 결합이 적합한 기, 예를 들면 수소기를 흡착시킬 수 있다.
상기 산화성 제 2 공정 가스는 산소(O2) 또는 오존(O3)이며, 환원성 제 2 공정 가스는 수소(H2), 질소(N2), 암모니아(NH3), 수소 아지화물(Hydrogen azide, HN3), 하이드라진(hydrazine, N2H4), 또는 질소 불화물(NF3)일 수 있으며, 이들은 예시적일 뿐 본 발명이 이에 제한되는 것은 아니며 수증기(H2O) 또는 메탄올, 에탄올 또는 프로판올과 같은 알코올이 사용될 수도 있다.
예를 들면, SbTe계 합금 증착시, Sb의 전구체 가스(A1)와 Te의 전구체 가스(A2)가 교번하여 펄스 공급되는 동안 H2 또는 NH3와 같은 반응성 가스(B)가 제 2 공간(V2)으로 연속적으로 공급된다. 또한, 상기 반응성 가스(B)와 함께 플라즈마의 방전과 유지에 유익한 헬륨, 네온, 아르곤, 제논 및 크립톤과 같은 비활성 가스가 제 2 공간으로 더 공급될 수도 있다.
제 1 공정 가스(A1, A2) 및 제 2 공정 가스(B)가 공급되는 동안, 상기 제 2 공간 내에 플라즈마를 유지하며, 상기 플라즈마가 유지되는 동안 제 2 공간(V2)으로부터 제 1 공간(V1)으로 확산하는 상기 플라즈마로부터 이온종을 필터링한다(S40). 상기 이온종의 필터링은 전술한 이온종 스크리닝 부재에 의해 달성될 수 있다.
하기의 반응식은 전구체 가스와 환원성 반응성 가스의 일 실시예에 따른 상호 작용을 설명하기 위한 것이다.
[반응식]
제 2 공간(V2): H2 → H* + H* (by plasma)
제 1 공간(V1): MXY + H* → M (on substrate) + XH (gas)
제 2 공간의 환원성 반응성 가스인 수소(H2)는 플라즈마에 의해 이온화 또는 여기되지만, 이온종의 필터링에 의해 제 1 공간으로 전달되는 종은 중성종인 수소 라디컬 H*이다.
상기 플라즈마가 유지되는 동안, 제 1 공간에서는, 플라즈마에 의해 활성화된 중성종인 수소 라디컬 H* 이 기판 또는 재료막의 표면 상으로 유동되어 Redox 반응에 의해 전구체 가스 MXY 를 도와 가변 저항 재료막 M이 우수한 단차 피복성을 가지면서 기판 상에 증착되는 것을 돕는다(S50). 일부 실시예에서는, 전술한 바와 같이 상기 플라즈마가 원격 플라즈마 소스로부터 발생할 수 있으며, 이 경우, 상기 원격 플라즈마 소스, 예를 들면, 도 2a를 참조하여 설명한 확장부(520)에 플라즈마 방전과 펄스 공급되는 제 1 공정 가스의 퍼지를 위해 비활성 가스(C)가 연속적으로 공급될 수 있다.
상기 가변 저항 재료막을 형성하기 위한 전구체 가스는 리간드에 금속을 붙임으로써 소위 킬레이트효과(chelate effect)를 통하여 분자 안정성을 증가시킬 수 있다. 이러한 킬레이트된 리간드들은 수소 또는 암모니아와 같은 환원성의 반응성 가스만으로 제거되기 어렵다. 그러나, 본 발명의 실시예에 따르면, 반응성 가스가 플라즈마에 의해 여기되기 때문에, 킬레이트된 리간드들이 쉽게 제거될 수 있으며, 그에 따라 가변 저항 재료막에 포섭될 수 있는 불순물의 농도를 제거 또는 감소시킬 수 있는 이점이 있다. 이러한 라디컬 어시스티드 증착은 원자층 증착의 전형적인 자기 제한적 방식에 의존하지 않고서도 기상 증착이 가능하기 때문에 전구체 가스의 선택의 폭을 넓힐 수 있다.
상변화 재료막 형성시 높은 운동에너지를 갖는 이온종이 증착 과정에 관여하는 경우, 증착되기도 전에 전구체 가스가 너무 많이 활성화되어 비아 홀 또는 트렌치 패턴의 표면에 과잉 증착되어 상기 비아 홀 또는 트렌치 패턴의 상부 주변에 상변화 재료 물질의 오버행(overhang)이 형성되어 갭필 또는 단차 피복 특성이 열화된다. 그러나, 본 발명의 실시예에 따르면, 상변화 재료막의 형성 동안 이온종의 관여가 배제되고, 고도로 여기된 반응성 기체의 라디컬이 관여함으로서 전구체 가스의 분해가 촉진되고 분해된 전구체 가스가 기판의 표면 상에 고정되는 흡착률이 다소 감소됨으로써 비아 홀 또는 트렌치 패턴 내부로 유입되는 전구체 분자의 개수가 증가되어 오버행과 같은 문제가 사라지면서 갭필 또는 단치 피복 특성이 향상되는 효과를 얻을 수 있다. 이러한 효과는 플라즈마의 전력이 증가되더라도 유효하게 나타나는 것이어서, 본 발명의 실시예에 따르면 빠른 증착과 양호한 박막 품질 및 저온 공정을 동시에 달성할 수 있게 된다.
이하, 실험예를 통하여 본 발명을 더욱 상세히 설명한다. 하기의 실험예는 예시적이며, 본 발명이 이에 한정되는 것은 아니다. 
실험예
도 2a에 도시된 본 발명의 실시예에 따른 플라즈마 강화 기상 증착 장치와 비교 실시예로서 도 2c에 도시된 다이렉트 플라즈마 강화 기상 증착 장치를 사용하여 SbTe계 상변화 재료막이 증착되었다. 증착 두께는 약 50 nm 이다.
기판은 실리콘 기판이고, 하부 전극은 티타늄 질화막이다. 트렌치 패턴은 절연막인 실리콘 질화막에 포토리소그래피 공정을 이용하여 형성되었다. 안티몬의 전구체 가스로서 Tris-dimethylamino antimony (TDMASb) 가 사용되었으며, 테룰늄의 전구체 가스로서 Di-tertiarybutyl telluride (Te(t-Bu)2) 가 사용되었다. 이들 전구체 가스의 운반 가스로서 아르곤이 사용되었으며, 각 전구체 가스의 유량은 50 sccm 이다.
반응성 가스로서 H2 및 NH3 가스가 사용되었으며, 10 sccm의 유량으로 공정 챔버의 제 2 공간으로 공급되었다. 상기 각 전구체 가스의 펄스는 2 초의 지속 시간을 갖도록 공급되었고, 플라즈마 방전을 지속적으로 유지하면서 반응성 가스는 연속적으로 공급하였다. 상기 플라즈마는 13.56 MHz의 여기 주파수를 갖는 RF 플라즈마이고, RF 전력은 70 W 및 100 W이며, 공정 챔버의 압력은 3 Torr이다. 실험된 기판 온도는 100 ℃ 내지 300 ℃이지만, 본 발명이 이에 제한되는 것은 아니며, 기판 온도는 상온 내지 350 ℃의 범위 내일 수 있다.
도 6a 및 도 6b는 본 발명의 실시예에 따라 플라즈마 파워 70 W 및 150 ? 및 200 ℃의 기판 온도에서 증착된 상변화 재료막의 단면을 나타내는 주사 현미경 이미지들이며, 도 6c는 비교 실시예에 따라 증착된 상변화 재료막의 단면을 나타내는 주사 현미경 이미지이다.
도 6a를 참조하면, 150 ℃에서는 트렌치 패턴의 내부 측벽 상에 균일한 두께로 SbTe 재료막이 증착된다. 완전히 트렌치 패턴의 내부가 채워지지 않은 것은 SbTe 재료막의 증착 속도가 낮기 때문으로 보인다. 이때, SbTe 재료막의 두께는 27.17 nm이고, 증착 속도는 0.479 nm/min(각 펄스 시간: 2s, 퍼지 시간: 15초임)이다. 그러나, 상기 SbTe 재료막이 트렌치 패턴의 내부에 초박막의 균일한 두께로 증착되기 때문에, 실제 트렌치 패턴이 초미세화되는 경우 트렌치 패턴 내부를 완전히 채울 수 있는 것으로 예측된다.
도 6b를 참조하면, 200 ℃에서는 보이드도 없이 트렌치 패턴 내부가 SbTe 재료막으로 완전히 채워진다. 트렌치 패턴의 상부 영역 상에 증착된 SbTe 재료막의 균일도도 양호하다. 이러한 표면 모폴로지는 SbTe 재료막이 트렌치 패턴의 내부를 점진적으로 채우면서 증작된 것을 뒷받침한다. 이때, 상기 SbTe 재료막의 두께는 61.13 nm이고, 증착 속도는 1.079 nm/min(각 펄스 시간: 2s, 퍼지 시간: 15초임)이다.
도 6c를 참조하면, 본 발명의 실시예와 대조적으로 다이렉트 플라즈마 강화 기상 증착 장치에서는, SbTe 재료막이 트렌치 패턴을 채우지 못하고, 트렌치 패턴의 개구부 주변에 오버행이 형성되어 트렌치 패턴이 폐색된다. 이 경우, 상기 SbTe 재료막의 두께는 88.3 nm이고, 증착 속도는 1.558 nm/min(각 펄스 시간: 2s, 퍼지 시간: 15초임)이다. 이와 같은 낮은 단차 피복 특성은 플라즈마 공간 내에 전구체 가스와 반응성 가스가 모두 플라즈마화되고 이온종이 기판 상에 형성되는 상변화 재료막의 증착에 관여하면서 단차 피복성이 확보되지 못한 채 상기 SbTe 재료막이 빠른 증착 속도로 형성되었기 때문이다.
도 7a는 본 발명의 실시예에 따라 플라즈마 파워 100 W 및 150 ?의 기판 온도에서 증착된 상변화 재료막의 단면을 나타내는 주사 현미경 이미지이며, 도 7b는 비교 실시예에 따라 증착된 상변화 재료막의 단면을 나타내는 주사 현미경 이미지이다.
도 7a를 참조하면 도 6a 및 도 6b의 실험예와 비교시 플라즈마 파워가 70 W에서 100 W로 증가되었지만 여전히 트렌치 패턴의 내부 측벽 상에 균일한 두께로 SbTe 재료막이 증착된다. 이것은 플라즈마 시쓰에 의한 차단 효과가 플라즈마 파워에 비교적 덜 민감하기 때문에 단차 피복성이 유지되는 것으로 추측된다. 이로부터, 본 발명의 실시예에 따르면 증착 속도 향상을 위해 RF 파워를 넓은 범위에서 변경하더라도 단차 피복성이 우수한 상변화 재료막의 기상 증착이 가능하다.
도 7b를 참조하면, 비교 실시예에서는, 플라즈마 파워가 100 W로 증가됨에 따라 70 W의 경우와 동일하게 SbTe 재료막이 트렌치 패턴을 채우지 못하고, 트렌치 패턴의 개구부 주변에 오버행이 형성되면서 트렌치 패턴이 상변화 재료막으로 완전히 폐색된다. 이러한 단차 피복성의 감소는 플라즈마 파워가 증가됨에 따라 성막 속도와 이온종의 에너지가 더 커지면서 더 가속됨을 알 수 있다.
도 8은 기판 온도의 변화에 따른 증착된 SbTe 상변화 재료막의 X선 회절 분석 결과를 도시하는 그래프이다. 곡선 C1, C2, C3 및 C4는 각각 기판 온도가 180 ℃, 200 ℃, 220 ℃ 및 250 ℃의 경우를 나타낸다. X선 회절 분석은 RIGAKU 사의 Ultima IV를 이용하여 0.5 °에서 글랜싱 모드(glancing mode)로 수행되었다.
도 8을 참조하면, 전체 온도 영역에서 지배적인 XRD 피크는 격자 상수 a 및 c가 각각 4.272 Å 및 17.633 Å인 육방정계(hexagonal) Sb2Te1 상에 의한 것이다. (103) 면과 (110) 면의 주요 피크의 강도는 증착 온도가 감소함에 따라 감소된다. 그러나, 심지어 180 ℃에서 증착된 Sb2Te1 상변화 재료막도 결정성을 가짐을 확인할 수 있다. 이것은 SbTe계 상변화 재료막의 결정화 온도가 150 ℃ 근방으로 매우 낮은 것과 연관된다. 기판 온도가 증가함에 따라 격자 상수 a 가 4.3007 Å 이고 격자 상수 c = 11.222 Å 인 능면정계(rhombohedral) 단결정의 Sb 피크가 관찰된다. 이러한 결과로부터 고온에서의 Sb의 증착은 Te 보다 상대적으로 선호되며, 이것은 SbTe 상변화 재료막 내에 과량의 Sb를 초래하여 Sb의 단결정 상을 형성하게 됨을 알 수 있다. 통상적으로 원자층 증착 또는 화학기상증착을 할 때에 트렌치 패턴의 갭 필을 할 때에 비정질의 형태로 상변화 재료막을 형성하는 것이 유리한 것으로 알려져 있지만, 본 발명의 실시예에 따르면 결정질에서도 우수한 단차 피복성을 기상 증착 공정이 얻어질 수 있다.
도 9a는 본 발명의 실시예에 따라 다양한 기판 온도에서 증착된 SbTe 상변화 재료막의 증착된 상태의(as-deposited) 면저항의 측정 결과를 도시하는 그래프이며, 도 9b는 Sb와 Te 전구체 가스의 주입 펄스 비의 변화에 따른 SbTe 상변화 재료막이 면저항의 측정 결과를 도시하는 그래프이다. 면저항의 측정은 4 포인트 프로브 장치인 모델 CMT-SR 200N에 의해 수행되었다.
도 9a를 참조하면, 기판 온도가 증가할수록 면저항이 감소된다. 160 ℃에서 증착된 상변화 재료막의 면저항은 1199.75 Ω이며, 이는 250 ℃에서 증착된 상변화 재료막의 면저항의 15 배에 해당하는 값이다. 이러한 결과는 도 8을 참조하여 상술한 결정성의 변화와 부합하며, 증착된 SbTe계 상변화 재료막이 실제 소자에 적용가능한 바이너리 저항값을 가질 수 있음을 나타낸다.
도 9b에서, 상변화 재료막은 200 ℃에서 증착되었다. 곡선 L1은 상변화 재료막의 Te의 조성비를 나타내고, 곡선 L2는 상변화 재료막의 Sb의 조성비를 나타내며, 곡선 L3는 증착된 상변화 재료막을 300 ℃에서 20 분간 열처리하여 완전히 결정화된 상태(즉, set 상태)에서 측정된 면저항값을 나타낸다. 상기 상변화 재료막의 조성비는 전구체 가스의 주입 펄스 비율을 조절하여 증착된 상변화 재료막을 JEOL사의 JEM-7001F를 이용하여 FESEM-EDS(field emission scanning electron microscopy-energy dispersive X-ray spectroscopy)을 통하여 측정되었으며, 측정 결과를 그래프의 우측 축 상에 기재하였다. 상기 상변화 재료막의 조성은 Sb 및 Te 의 주입 비율이 1:2, 1:4, 및 1:8일 때 각각 Sb70.52Te29.48, Sb51.62Te49.38, 및 Sb44.12Te55.88 이다.
이들 상변화 재료막의 셋 상태에서의 면저항 값은 Te의 주입 비율이 증가함에 따라, 즉 Te의 조성비가 증가함에 따라 증가한다. PCRAM의 동작 측면에서 셋 상태의 면저항 값이 클수록 주울 히팅 효율이 증가할 수 있기 때문에 Te의 주입 비율을 증가시키는 것이 면저항을 증가시키는 것이어서, Sb의 전구체 가스와 Te의 전체 가스의 주입 비율은 1:1 보다 크고, 바람직하게는 1:2 이상 1:8 이하의 범위 내일 수 있다.
도 10은 본 발명의 실시예에 따라 증착된 SbTe계 상변화 재료막을 포함하는메모리 셀의 전류-전압(I-V) 특성을 나타내는 그래프이다. 상기 상변화 재료막은 Sb와 Te의 주입비가 1:2이고 200 ℃에서 증착되고, 50 nm의 두께를 갖는 결정질 상태의 막이다. 상부 전극과 하부 전극은 TiN 막이다. I-V 특성은 Agilent사의 반도체 파라미터 애널라이저(B1500A)로 측정하였으며, 100 mA의 전류 컴플라이언스 조건에서 0 V에서 5 V까지 전압 스윕을 하여 특정하였다.
도 10에서, 곡선 L1은 1차 스윕 측정 결과이고 곡선 L2는 2 차 스윕 측정 결과를 도시한다. 측정 결과로부터 상변화 재료막은 전기적 스위칭 특성을 갖는 것으로 파악되며, 전기적 스위칭이 되는 문턱 전압은 1.05 V 이다. 도시하지는 않았지만, Sb 전구체 가스의 펄스 주입에 대한 Te 전구체 가스의 펄스 주입의 비율이 1:2, 1:4 및 1:8로 증가함에 따라 문턱 전압은 1.05 V로부터 0.56 V 및 0.33 V 로 각각 감소하였다. 2 차 스윕에 의해 얻어지는 셋 상태의 전기 저항값은 Te 전구체의 펄스 주입의 비율이 증가함에 따라 증가하였다. 전술한 도 9b의 측정 결과를 고려할 때, 문턱 전압의 감소는 Te 리치한 SbTe계 상변화 재료막의 고저항률에 따른 주울 히팅 효율의 상승과 연관되는 것으로 추측된다.
전술한 실시예에 따른 플라즈마 강화 기상 증착 방법은 도 2a 및 도 2b에 도시된 실시예에 따른 기상 증착 장치에 컨트롤러 및 통신 제어 시스템이 결합되어 자동화될 수 있다. 상기 컨트롤러는 마이크로프로세서, 메모리, 및 기상 증착 장치의 가스 공급부 및 플라즈마 발생 장치의 입력들을 전달하고 활성화할 뿐만 아니라 플라즈마 프로세싱 시스템으로부터의 출력들을 모니터링하기에 충분한 제어 전압들을 발생시킬 수 있는 디지털 I/O 포트를 포함한다. 또한, 상기 컨트롤러는 공정 챔버, 기판 홀더의 히팅 부재, 플라즈마 소스의 전원에 결합되어 이들과 정보를 교환할 수도 있다.
상기 메모리에 저장된 프로그램은 저장된 프로세스 레시피에 따라 본 발명의 실시예에 따른 플라즈마 강화 기상 증착 장치 및 방법을 실행하도록 각 구성 부재를 제어하는데 이용된다. 상기 컨틀롤러의 일 실시예는 UNIX 기반 의 워크스테이션일 수 있으며, 다른 예로서 범용 컴퓨터 또는 DSP(digital signal processing) 시스템일 수도 있으며, 본 발명이 이에 제한되는 것은 아니다. 일부 실시예에서, 상기 컨트롤러는 본 발명의 플라즈마 강화 기상 증착 장치에 대하여 국지적으로 배치되거나, 인터넷 또는 인트라넷을 경유하여 상기 장치를 제어하도록 원격지에 배치될 수 있다.
전술한 실시예는 상변화 재료막을 이용한 상변화 메모리 소자에 관한 것이지만, 높은 단차 피복성이 요구되는 2 성분계 또는 3 성분계의 금속 합금체가 적용되는 다른 반도체 소자, 예를 들면, 상변화 재료와 유사하게, 인가되는 전기적 신호에 따라 저저항 상태와 고저항 상태 사이에서 가역적으로 변환되면서 서로 구별되는 저항값을 갖는 재료를 이용한 저항 메모리(ReRAM) 또는 자기 메모리(MRAM)와 같은 다른 비휘발성 저항성 메모리 소자에도 적용될 수 있다. 상기 가변 저항성 재료의 예로는, SrTiO3, SrZrO3, Nb:SrTiO3와 같은 페로브스카이트계 산화물 또는 TiOx, NiO, TaOx, HfOx, AlOx, ZrOx, CuOx, NbOx, TaOx, GaOx, GdOx, MnOx, PrCaMnO, 및 ZnONIOx와 같은 전이 금속 산화물이 있다. 상기 페로브스카이트계 산화물 및 상기 전이 금속 산화물에서 산소 원자는 산화성 반응성 가스를 적용함으로써 가변 저항성 재료 내에 포함될 수 있다.
본 명세서에 첨부된 도면들을 참조하여 개시된 다양한 특징들은 모순되지 않는 한 서로 대체되거나 조합되어 실시될 수 있다. 또한, 이상에서 설명한 본 발명이 전술한 실시예 및 첨부된 도면에 한정되지 않으며, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러가지 치환, 변형 및 변경이 가능하다는 것은, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.

Claims (34)

  1. 서로 연통된 제 1 공간 및 제 2 공간을 가지는 공정 챔버;
    상기 제 1 공간 내에 제공되고 상변화 재료막이 형성될 기판을 지지하는 기판 홀더;
    상기 제 2 공간 내에 플라즈마를 유도하도록 상기 공정 챔버에 결합되는 플라즈마 발생 장치;
    상기 챔버의 상기 제 2 공간으로부터 상기 제 1 공간으로 확산되는 상기 플라즈마의 이온종 필터링을 수행하는 이온종 스크리닝 부재;
    상기 제 1 공간으로 가변 저항 재료막의 구성 원소를 포함하는 전구체 가스를 포함하는 제 1 공정 가스를 펄스 공급하는 제 1 가스 공급부;
    상기 제 2 공간으로 상기 가변 저항 재료막 또는 상기 전구체 가스의 산화 또는 환원을 위한 반응성 가스를 포함하는 제 2 공정 가스를 공급하는 제 2 가스 공급부; 및
    상기 제 2 공간으로부터 상기 제 1 공간으로 기체 흐름을 유도하도록 상기 공정 챔버에 결합되는 배기부를 포함하는 플라즈마 강화 기상 증착 장치.
  2. 제 1 항에 있어서,
    상기 제 1 공정 가스는 2 이상의 전구체 가스들을 포함하고 상기 2 이상의 전구체 가스들은 교번하여 적어도 1회 이상 반복하여 펄스 공급되는 플라즈마 강화 기상 증착 장치.
  3. 제 1 항에 있어서,
    상기 플라즈마 발생 장치는 원격 플라즈마 발생 장치인 플라즈마 강화 기상 증착 장치.
  4. 제 3 항에 있어서,
    상기 원격 플라즈마 발생 장치는 상기 공정 챔버의 상기 제 2 공간부로부터 확장된 확장부에 결합되는 원격 플라즈마 소스를 포함하는 플라즈마 강화 기상 증착 장치.
  5. 제 4 항에 있어서,
    상기 확장부에 상기 제 2 가스 공급부가 결합되어 상기 제 2 공정 가스가 플라즈마 상태로 상기 제 2 공간으로 공급되는 플라즈마 강화 기상 증착 장치.
  6. 제 4 항에 있어서,
    상기 확장부에 결합되어 상기 플라즈마의 방전 및 유지에 적합한 비활성 가스를 포함하는 제 3 공정 가스를 공급하는 공급하는 제 3 가스 공급부를 더 포함하는 플라즈마 강화 기상 증착 장치.
  7. 제 3 항에 있어서,
    상기 원격 플라즈마 발생 장치는 상기 제 2 공간에 노출되고 상기 이온종 스크리닝 부재에 용량 결합되고, 상기 전극과 상기 이온종 스크리닝 부재 사이에 상기 제 2 공정 가스가 공급되어 상기 플라즈마를 유도하는 플라즈마 강화 기상 증착 장치.
  8. 제 3 항에 있어서,
    상기 원격 플라즈마 발생 장치에 결합되는 비활성 가스를 공급하는 제 3 가스 공급부를 포함하며,
    상기 제 2 공정 가스는 상기 원격 플라즈마 발생 장치의 하류에 공급되어 상기 비휘발성 가스의 플라즈마에 의해 여기되는 플라즈마 강화 기상 증착 장치.
  9. 제 1 항에 있어서,
    상기 이온종 스크리닝 부재는 적어도 하나 이상의 개구 영역을 포함하는 플레이트, 메시, 대전 벽체 및 전자 소스 중 어느 하나 또는 이들의 조합을 포함하는 플라즈마 강화 기상 증착 장치.
  10. 제 1 항에 있어서,
    상기 이온종 스크리닝 부재는 전기적 도체이며 접지된 플라즈마 강화 기상 증착 장치.
  11. 제 1 항에 있어서,
    상기 개구 영역의 최대 폭은 0.5 mm 내지 5 mm 의 범위 내인 플라즈마 강화 기상 증착 장치.
  12. 제 1 항에 있어서,
    상기 제 2 공정 가스는 상기 제 1 공정 가스가 펄스 공급되는 동안 연속적으로 공급되는 플라즈마 강화 기상 증착 장치.
  13. 제 12 항에 있어서,
    상기 플라즈마 발생 장치는 상기 제 2 공정 가스가 연속적으로 공급되는 동안 상기 플라즈마를 유지하는 플라즈마 강화 기상 증착 장치.
  14. 제 1 항에 있어서,
    상기 제 1 공정 가스는 유기금속 화합물(organo-metallics), 금속 할로겐화물(metal halides), 알킬아민화물(alkylamides), 시릴아민화물, 및 알킬시릴화물(alkyl-silyls) 중 어느 하나 또는 이들의 혼합물을 포함하는 플라즈마 강화 기상 증착 장치.
  15. 제 1 항에 있어서,
    상기 제 2 공정 가스 중 산화성 공정 가스는 산소(O2) 및 오존(O3) 중 적어도 어느 하나를 포함하며, 환원성 공정 가스는 수소(H2), 질소(N2), 암모니아(NH3), 수소 아지화물(Hydrogen azide, HN3), 하이드라진(hydrazine, N2H4), 질소 불화물(NF3), 수분(H2O) 및 알코올 중 적어도 하나를 포함하는 플라즈마 강화 기상 증착 장치.
  16. 제 1 항에 있어서,
    상기 가변 저항 재료막은 상변화 재료막이며,
    상기 기판은 비아 홀 또는 트렌치 패턴을 포함하고, 상기 상변화 재료막은 상기 비아 홀 또는 트렌치 패턴 내에 일부 또는 전부가 매립되는 플라즈마 강화 기상 증착 장치.
  17. 제 16 항에 있어서,
    상기 상변화 재료막은 게르마늄(Ge), 안티몬(Sb), 테룰륨(Te) 및 셀레늄(Se) 중 적어도 하나를 포함하는 플라즈마 강화 기상 증착 장치.
  18. 제 16 항에 있어서,
    상기 상변화 재료막을 형성하는 동안 상기 기판의 온도는 상온 내지 350 ℃ 의 범위 내인 플라즈마 강화 기상 증착 장치.
  19. 서로 연통된 제 1 공간 및 제 2 공간을 가지며 상기 제 2 공간으로부터 상기 제 1 공간으로 기체 흐름이 유도되는 공정 챔버 내에서 가변 저항 재료막을 형성하기 위한 플라즈마 강화 기상 증착 방법으로서,
    상기 제 1 공간의 기판 홀더 상에 상기 가변 저항 재료막이 형성될 기판을 제공하는 단계;
    상기 제 1 공간으로 상기 가변 저항 재료막의 구성 원소를 포함하는 전구체 가스를 포함하는 제 1 공정 가스를 펄스 공급하는 단계;
    상기 제 1 공정 가스를 펄스 공급하는 동안, 상기 제 2 공간으로 상기 가변저항 재료막 또는 상기 전구체 가스의 산화 또는 환원을 위한 반응성 가스를 포함하는 제 2 공정 가스를 연속적으로 공급하는 단계;
    상기 제 1 공정 가스 및 상기 제 2 공정 가스가 공급되는 동안 상기 제 2 공간 내에 플라즈마를 유지하고 상기 제 2 공간으로부터 상기 제 1 공간으로 확산되는 상기 플라즈마로부터 이온종을 필터링하는 단계; 및
    상기 제 1 공정 가스와 상기 플라즈마로부터 전달된 상기 반응성 가스의 중성 라디컬들을 상기 기판 상으로 유동시켜 상기 기판 상에 상기 가변 저항 재료막을 형성하는 단계를 포함하는 플라즈마 강화 기상 증착 방법.
  20. 제 19 항에 있어서,
    상기 이온종을 필터링하는 단계는 상기 제 1 공간과 제 2 공간 사이에 배치되고, 적어도 하나 이상의 개구 영역을 포함하는 플레이트, 메시, 대전 벽체 및 전자 소스 중 어느 하나 또는 이들의 조합을 이용하여 수행되는 플라즈마 강화 기상 증착 방법.
  21. 제 19 항에 있어서,
    상기 기판은 상온 내지 350 ℃ 로 가열되는 플라즈마 강화 기상 증착 방법.
  22. 제 19 항에 있어서,
    상기 가변 저항 재료막은 상변화 재료막이며,
    상기 기판은 전극; 및 상기 전극 상에 형성되고 상기 전극의 적어도 일부 표면을 노출시키는 비아 홀 또는 트렌치 패턴을 포함하고, 상기 상변화 재료막은 상기 비아 홀 또는 트렌치 패턴 내에 일부 또는 전부가 매립되는 플라즈마 강화 기상 증착 방법.
  23. 제 19 항에 있어서,
    상기 플라즈마는 상기 제 2 공간 내에 결합된 원격 플라즈마 발생 장치에 의해 유지되는 플라즈마 강화 기상 증착 방법.
  24. 제 23 항에 있어서,
    상기 원격 플라즈마 발생 장치는 상기 공정 챔버의 상기 제 2 공간으로부터 확장된 확장부에 결합되는 원격 플라즈마 소스를 포함하고,
    상기 확장부를 통하여 상기 제 2 공정 가스가 플라즈마 상태로 상기 제 2 공간으로 공급되는 플라즈마 강화 기상 증착 방법.
  25. 제 24 항에 있어서,
    상기 확장부에 상기 상기 제 1 공정 가스와 함께 상기 플라즈마의 발생 및 유지를 위한 비활성 가스가 공급되는 플라즈마 강화 기상 증착 방법.
  26. 제 23 항에 있어서,
    상기 원격 플라즈마 발생 장치는 상기 공정 챔버의 상기 제 2 공간으로부터 확장된 확장부에 결합되는 원격 플라즈마 소스를 포함하고,
    상기 제 2 공정 가스는 상기 원격 플라즈마 소스의 하류로 공급되는 플라즈마 강화 기상 증착 방법.
  27. 제 23 항에 있어서,
    상기 원격 플라즈마 발생 장치는 전원에 연결된 전극 및 상기 전극에 대향하고 전기적으로 접지된 샤워 헤드를 포함하며,
    상기 전극과 상기 샤워 헤드 사이에 상기 제 2 공정 가스가 공급되고 상기 전극과 상기 샤워 헤드가 용량 결합되어 상기 플라즈마를 유도하는 플라즈마 강화 기상 증착 방법.
  28. 제 27 항에 있어서,
    상기 제 2 공정 가스와 함께 상기 플라즈마의 발생 및 유지를 위한 비활성 가스가 공급되는 플라즈마 강화 기상 증착 방법.
  29. 제 19 항에 있어서,
    상기 제 1 공정 가스는 유기금속 화합물(organo-metallics), 금속 할로겐화물(metal halides), 금속 알킬아민화물(alkylamides), 금속 아마이드, 금속 시릴아민화물, 및 금속 알킬시릴화물(alkyl-silyls) 중 어느 하나 또는 이들의 혼합물을 포함하는 플라즈마 강화 기상 증착 방법.
  30. 제 19 항에 있어서, 상기 제 1 공정 가스를 펄스 공급하는 단계에서,
    상기 제 1 공정 가스는 2 이상의 전구체 가스들을 포함하고 상기 2 이상의 전구체 가스들은 교번하여 적어도 1회 이상 반복하여 펄스 공급되는 플라즈마 강화 기상 증착 방법.
  31. 제 19 항에 있어서,
    상기 제 2 공정 가스 중 산화성 공정 가스는 산소(O2) 및 오존(O3) 중 적어도 어느 하나를 포함하며, 환원성 공정 가스는 수소(H2), 질소(N2), 암모니아(NH3), 수소 아지화물(Hydrogen azide, HN3), 하이드라진(hydrazine, N2H4), 질소 불화물(NF3), 수분(H2O) 및 알코올 중 적어도 하나를 포함하는 플라즈마 강화 기상 증착 방법.
  32. 제 19 항에 있어서,
    상기 가변 저항 재료막을 형성하는 단계는 자기 제한적 공정(self-limited process)을 통해 수행되는 플라즈마 강화 기상 증착 방법.
  33. 가변 저항 재료막 형성을 위한 시스템 프로세서에서의 실행을 위한 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체로서,
    상기 프로세서에 의해 실행되는 경우, 상기 기판 프로세싱 시스템이 제 19 항에 따른 플라즈마 강화 기상 증착 방법의 단계들을 수행하도록 하는 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체.
  34. 제 33 항에 있어서,
    상기 가변 저항 재료막은 상변화 재료막인 컴퓨터 판독 가능 매체.
KR1020130144926A 2013-11-26 2013-11-26 플라즈마 강화 기상 증착 KR20150061179A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020130144926A KR20150061179A (ko) 2013-11-26 2013-11-26 플라즈마 강화 기상 증착
US14/554,964 US20150147488A1 (en) 2013-11-26 2014-11-26 Plasma enhanced vapor phase deposition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130144926A KR20150061179A (ko) 2013-11-26 2013-11-26 플라즈마 강화 기상 증착

Publications (1)

Publication Number Publication Date
KR20150061179A true KR20150061179A (ko) 2015-06-04

Family

ID=53182886

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130144926A KR20150061179A (ko) 2013-11-26 2013-11-26 플라즈마 강화 기상 증착

Country Status (2)

Country Link
US (1) US20150147488A1 (ko)
KR (1) KR20150061179A (ko)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) * 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
FI127769B (en) * 2016-03-11 2019-02-15 Beneq Oy Apparatus and method
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
FR3056992B1 (fr) * 2016-10-04 2022-03-11 Unity Semiconductor Procede d'injection d'especes chimiques en phase gazeuse sous forme pulsee avec plasma
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) * 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI790028B (zh) * 2021-12-09 2023-01-11 財團法人工業技術研究院 沉積設備及沉積方法
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US9111728B2 (en) * 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing

Also Published As

Publication number Publication date
US20150147488A1 (en) 2015-05-28

Similar Documents

Publication Publication Date Title
KR20150061179A (ko) 플라즈마 강화 기상 증착
KR101622327B1 (ko) 상변화 메모리 소자들에서 전극들의 기상 제조 방법들
US8980679B2 (en) Apparatus and methods for forming phase change layer and method of manufacturing phase change memory device
US7803654B2 (en) Variable resistance non-volatile memory cells and methods of fabricating same
JP2011519485A (ja) 相変化メモリデバイスに電極を形成する方法
Yoo et al. Chemical interactions in the atomic layer deposition of Ge–Sb–Se–Te films and their ovonic threshold switching behavior
US20120315745A1 (en) Crystalline silicon film forming method and plasma cvd apparatus
WO2011119175A1 (en) Germanium antimony telluride materials and devices incorporating same
KR101124504B1 (ko) ALD 공정에 의한 비정질 NiO 박막의 제조방법 및상기 비정질 NiO 박막을 이용한 비휘발성 메모리 소자
US8455296B2 (en) Semiconductor processing
US20200381623A1 (en) Methods of forming silicon nitride encapsulation layers
KR101169395B1 (ko) 상변화층의 표면처리공정을 포함하는 상변화 메모리 소자의제조방법
CN110872698A (zh) 具有隔热板的半导体制造装置
US20170247794A1 (en) Single chamber multi-partition deposition tool and method of operating same
US7803656B2 (en) Method of depositing chalcogenide film for phase-change memory
KR20220039629A (ko) 게르마늄 칼코지나이드를 포함한 층을 증착하기 위한 시스템, 소자, 및 방법
KR20220079632A (ko) 티타늄 실리콘 나이트라이드 장벽 층
US10930848B2 (en) Variable resistance memory device and method of manufacturing the same
US8409961B2 (en) Alteration method and alteration apparatus for titanium nitride
Fujisaki et al. Amorphous thin GeSbTe phase-change films prepared by radical-assisted metal-organic chemical vapor deposition
US20240057347A1 (en) Metal chalcogenide film, memory element including same, and method for manufacturing phase-change heterolayer
KR100755805B1 (ko) 상변화 메모리용 칼코제나이드막 증착 방법
US9373788B2 (en) Method for fabricating semiconductor device
KR20090111742A (ko) 칼코게나이드 박막 형성방법 및 이를 이용한 메모리 소자의제조방법
CN116801641A (zh) 半导体结构及其形成方法和三维存储结构

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid