KR102422230B1 - 엔드포인트 검출을 위한 에칭 계측 민감도 - Google Patents

엔드포인트 검출을 위한 에칭 계측 민감도 Download PDF

Info

Publication number
KR102422230B1
KR102422230B1 KR1020170026906A KR20170026906A KR102422230B1 KR 102422230 B1 KR102422230 B1 KR 102422230B1 KR 1020170026906 A KR1020170026906 A KR 1020170026906A KR 20170026906 A KR20170026906 A KR 20170026906A KR 102422230 B1 KR102422230 B1 KR 102422230B1
Authority
KR
South Korea
Prior art keywords
geometric parameter
optical signals
target geometric
features
etched
Prior art date
Application number
KR1020170026906A
Other languages
English (en)
Other versions
KR20170102819A (ko
Inventor
3세 엔드류 디. 베일리
메흐멧 데르야 테티케르
던칸 더블유. 밀스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170102819A publication Critical patent/KR20170102819A/ko
Priority to KR1020220086361A priority Critical patent/KR102577904B1/ko
Application granted granted Critical
Publication of KR102422230B1 publication Critical patent/KR102422230B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/18Complex mathematical operations for evaluating statistical data, e.g. average values, frequency distributions, probability functions, regression analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Computational Mathematics (AREA)
  • Software Systems (AREA)
  • Mathematical Optimization (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Algebra (AREA)
  • Databases & Information Systems (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Operations Research (AREA)
  • Biophysics (AREA)
  • Health & Medical Sciences (AREA)
  • Computational Linguistics (AREA)
  • Computing Systems (AREA)
  • General Health & Medical Sciences (AREA)
  • Geometry (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Molecular Biology (AREA)
  • Probability & Statistics with Applications (AREA)
  • Biomedical Technology (AREA)
  • Artificial Intelligence (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

에칭 프로세스 동안 기판 상에 생성된 하나 이상의 피처들에 대한 기하학적 파라미터 값을 모니터링하는 방법은, (a) 기판 상에서 에칭될 피처들과 상호 작용하는 광 에너지에 의해 생성된 광 신호들을 측정하는 단계; (b) 측정된 광 신호들의 서브세트를 제공하는 단계로서, 서브세트는 광 신호들이 피처들에 대한 타깃 기하학적 파라미터 값들과 상관되도록 결정되는 범위에 의해 규정되는, 측정된 광 신호들의 서브세트를 제공하는 단계; (c) 측정된 광 신호들로부터 타깃 기하학적 파라미터 값들을 예측하도록 구성된 모델에 광 신호들의 서브세트를 적용하는 단계; (d) 모델로부터, 에칭될 피처들의 타깃 기하학적 파라미터의 현재 값을 결정하는 단계; (e) 에칭될 피처들의 타깃 기하학적 파라미터의 현재 값을 타깃 기하학적 파라미터에 대한 에칭 프로세스 엔드포인트 값과 비교하는 단계; 및 (f) 단계 (e) 의 비교하는 단계가 에칭될 피처들의 타깃 기하학적 파라미터의 현재 값이 엔드포인트 값에 도달하였다는 것을 나타낼 때까지 단계 (a) 내지 단계 (e) 를 반복하는 단계를 수반할 수도 있다.

Description

엔드포인트 검출을 위한 에칭 계측 민감도{ETCH METRIC SENSITIVITY FOR ENDPOINT DETECTION}
고성능 플라즈마-보조 에칭 프로세스들 (high performance plasma-assisted etch processes) 은 많은 반도체 프로세싱 워크플로우들의 성공에 중요하다. 그러나, 에칭 프로세스들을 모니터링, 제어, 및/또는 최적화하는 것은 어렵고 시간소모적이고, 종종 프로세스 엔지니어가 타겟 에칭 프로파일을 생성하는 설정들을 경험적으로 결정하도록 에칭 프로세스 파라미터들을 힘들게 테스트하는 것을 포함한다. 더욱이, 에칭 프로세스들의 인시츄 (in situ) 모니터링은 어렵고 신뢰할 수 없을 수 있고; 에칭 엔드포인트 검출 (detection) 은 과제로 남는다.
연산 모델들은 에칭 프로세스들을 설계하는 그리고 모니터링하는 것을 용이하게 하는데 유용할 수도 있다. 일부 모델들은 에칭 프로세스들 동안 반도체 기판 표면들 상에 발생하는 물리-화학적 프로세스들을 시뮬레이팅하려고 (simulate) 시도한다. 예들은 쿠퍼버그 (Cooperberg) 와 동료들의 에칭 프로파일 모델들뿐만 아니라 엠. 쿠스너 (M. Kushner) 와 동료들의 에칭 프로파일 모델들도 포함한다. 전자는 Y. Zhang, "Low Temperature Plasma Etching Control through Ion Energy Angular Distribution and 3-Dimensional Profile Simulation," Chapter 3, dissertation, University of Michigan (2015) 에, 후자는 Cooperberg, Vahedi, and Gottscho, "Semiempirical profile simulation of aluminum etching in a Cl2/BCl3 plasma," J. Vac. Sci. Technol. A 20(5), 1536 (2002) 에 기술되어 있고, 그 각각은 모든 목적들을 위해 본 명세서에서 그 전체가 참조로서 인용된다. 엠. 쿠스너 (M. Kushner) 와 동료들의 에칭 프로파일 모델들의 추가적인 기술은 J. Vac. Sci. Technol. A 15(4), 1913 (1997), J. Vac. Sci. Technol. B 16(4), 2102 (1998), J. Vac. Sci. Technol. A 16(6), 3274 (1998), J. Vac. Sci. Technol. A 19(2), 524 (2001), J. Vac. Sci. Technol. A 22(4), 1242 (2004), J. Appl. Phys. 97, 023307 (2005) 에서 발견될 수도 있고, 그 각각은 또한 모든 목적들을 위해 본 명세서에서 그 전체가 참조로서 인용된다. 이러한 모델들을 발전시키도록 이루어진 광범위한 작업에도 불구하고, 그들은 반도체 프로세싱 산업 내의 실질적인 용도를 발견할 목표된 정도의 정확성 및 신뢰성을 아직 가지지 못한다.
이 개시의 일 양태는 에칭 프로세스 동안 기판 상의 생성된 하나 이상의 피처들에 대한 기하학적 파라미터 값을 모니터링 또는 결정하는 방법들과 관계가 있다. 이러한 방법들은 다음 동작들에 의해 특징지어질 수도 있다: (a) 기판 상의 에칭될 피처들과 상호 작용하는 광 에너지에 의해 생성된 광 신호들을 측정하는 단계; (b) 측정된 광 신호들의 서브세트를 제공하는 단계로서, 서브세트는 광 신호들이 피처들에 대한 타겟 기하학적 파라미터 값들과 상관되도록 결정되는 범위에 의해 규정되는, 측정된 광 신호들의 서브세트를 제공하는 단계; (c) 측정된 광 신호들로부터 타겟 기하학적 파라미터의 값을 예측하도록 구성된 모델에 광 신호들의 서브세트를 적용하는 단계; (d) 모델로부터, 에칭될 피처들의 타겟 기하학적 파라미터의 현재 값을 결정하는 단계; (e) 에칭될 피처들의 타겟 기하학적 파라미터의 현재 값과 타겟 기하학적 파라미터에 대한 에칭 프로세스 엔드포인트 값을 비교하는 단계; 및 (f) (e) 의 비교하는 단계가 에칭될 피처들의 타겟 기하학적 파라미터의 현재 값이 엔드포인트 값에 도달하였다는 것을 나타낼 때까지 단계 (a) 내지 단계 (b) 를 반복하는 단계. 특정한 실시예들에서, 모델은 광 신호들이 피처들에 대한 타겟 기하학적 파라미터와 상관되도록 결정되는 범위를 결정함으로써 생성된다. 특정한 실시예들에서, 에칭될 피처들의 타겟 기하학적 파라미터는 에칭 깊이, 피치, 또는 에칭 임계 치수 (etch critical dimension) 이다.
일부 구현예들에서, 방법은 단계 (e) 의 비교하는 단계가 에칭될 피처들의 타겟 기하학적 파라미터의 현재 값이 엔드포인트 값에 도달하였다는 것이 나타낼 때까지 때 에칭 프로세스를 종료하는 추가적인 동작을 포함한다. 특정한 실시예들에서, 단계 (a) 에서 생성된 광 신호들을 측정하는 동작은 기판 상의 에칭될 피처들로부터 생성된 반사율 (reflectance) 을 측정하는 단계를 포함한다.
특정한 실시예들에서, 단계 (b) 에서 측정된 광 신호들의 서브세트를 규정하는 범위는 광 신호들이 피처들에 대한 타겟 기하학적 파라미터 값에 상관되도록 결정되는 파장들의 범위이다. 특정한 실시예들에서, 단계 (b) 에서 측정된 광 신호들의 서브세트를 규정하는 범위는 단계 (a) 내지 단계 (e) 의 두 번의 반복들 사이에서 가변한다. 일부 경우에서, 단계 (b) 에서 측정된 광 신호들의 서브세트를 규정하는 범위는 타겟 기하학적 파라미터의 상이한 값들에 대한 타겟 기하학적 파라미터와 광 신호들의 상관의 변화들에 따라 가변하도록 결정된다. 일부 구현예들에서, 단계 (b) 에서 측정된 광 신호들의 서브세트를 규정하는 범위는 광 신호들이 타겟 기하학적 파라미터보다 비-타겟 기하학적 파라미터와 덜 강하게 상관되도록 결정되는 범위이다.
본 개시의 특정한 양태들은 에칭 프로세스 동안 기판 상의 하나 이상의 피처들을 에칭하기 위한 시스템과 관계가 있다. 이러한 시스템들은 다음 특징들에 의해 특징지어질 수도 있다: 반도체 기판들을 에칭하기 위한 에칭 장치; 및 에칭 장치의 동작을 제어하기 위한 제어기. 제어기는 (a) 기판 상의 에칭될 피처들과 상호 작용하는 광 에너지에 의해 생성된 광 신호들을 측정하는 단계; (b) 측정된 광 신호들의 서브세트를 제공하는 단계로서, 서브세트는 광 신호들이 피처들에 대한 타겟 기하학적 파라미터 값들과 상관되도록 결정되는 범위에 의해 규정되는, 측정된 광 신호들의 서브세트를 제공하는 단계; (c) 측정된 광 신호들로부터 타겟 기하학적 파라미터의 값을 예측하도록 구성된 모델에 광 신호들의 서브세트를 적용하는 단계; (d) 모델로부터, 에칭될 피처들의 타겟 기하학적 파라미터의 현재 값을 결정하는 단계; (e) 에칭될 피처들의 타겟 기하학적 파라미터의 현재 값과 타겟 기하학적 파라미터에 대한 에칭 프로세스 엔드포인트 값을 비교하는 단계; 및 (f) (e) 의 비교하는 단계가 에칭될 피처들의 타겟 기하학적 파라미터의 현재 값이 엔드포인트 값에 도달하였다는 것을 나타낼 때까지 단계 (a) 내지 단계 (b) 를 반복하는 단계에 대한 실행가능한 인스트럭션들을 저장하는 비-일시적 메모리를 포함한다. 특정한 실시예들에서, 모델은 광 신호들이 피처들에 대한 타겟 기하학적 파라미터와 상관되도록 결정되는 범위를 결정함으로써 생성된다. 특정한 실시예들에서, 에칭될 피처들의 타겟 기하학적 파라미터는 에칭 깊이, 피치, 또는 에칭 임계 치수이다.
일부 구현예들에서, 에칭 장치는 (i) 프로세싱 챔버; (ii) 프로세싱 챔버 내에서 기판을 홀딩하기 위한 기판 홀더; (iii) 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 플라즈마 생성기로서, RF 전력 공급부를 포함하는 플라즈마 생성기; (iv) 프로세싱 챔버 내로 하나 이상의 프로세스 가스들을 흘리기 (flowing) 위한 하나 이상의 벨브로 제어된 프로세스 가스 유입부들; 및 (v) 프로세싱 챔버로부터 가스들의 배기 (evacuating) 를 위한 하나 이상의 진공 펌프들에 유체적으로 연결된 하나 이상의 가스 유출부들.
특정한 실시예들에서, 제어기는 단계 (e) 의 비교하는 단계가 에칭될 피처들의 타겟 기하학적 파라미터의 현재 값이 엔드포인트 값에 도달하였다는 것을 나타낼 때 에칭 프로세스를 종료하는 단계에 대한 인스트럭션들을 포함한다. 일부 실시예들에서, 단계 (a) 에서 생성된 광 신호들을 측정하기 위한 제어기의 인스트럭션들은 기판 상에 에칭될 피처들로부터 생성된 반사율을 측정하는 단계에 대한 인스트럭션들을 포함한다.
일부 구현예들에서, 제어기는 단계 (a) 내지 단계 (e) 에 대한 인스트럭션들을 실행하는 두 번의 반복들 사이의 단계 (b) 의 측정된 광 신호들의 서브세트를 규정하는 범위를 가변하기 위한 인스트럭션들을 포함한다. 이러한 구현예들에서, 단계 (b) 의 측정된 광 신호들의 서브세트를 규정하는 범위는 타겟 기하학적 파라미터의 상이한 값들에 대한 타겟 기하학적 파라미터와 광 신호들의 상관의 변화들에 따라 가변하도록 결정되었을 수도 있다.
특정한 실시예들에서, 단계 (b) 의 측정된 광 신호들의 서브세트를 규정하는 범위는 광 신호들이 타겟 기하학적 파라미터보다 비-타겟 기하학적 파라미터와 덜 강하게 상관되도록 결정되는 범위이다. 일부 구현예들에서, 단계 (b) 의 측정된 광 신호들의 서브세트를 규정하는 범위는, 광 신호들이 피처들에 대한 타겟 기하학적 파라미터 값에 상관되도록, 회귀 기법을 사용하여, 결정되는 파장들의 범위이다. 개시의 또 다른 양태는 기판 상에 에칭된 피처들과 상호 작용하는 광 에너지에 의해 생성된 측정된 광 신호들을 기판 상에 에칭된 피처들의 타겟 기하학적 파라미터 값들과 관련시키는 연산 모델 (computational model) 을 생성하는 방법에 관계한다. 이러한 방법들은 다음 특징들에 의해 특징지어질 수도 있다 : (a) 측정된 광 신호들이 타겟 기하학적 파라미터보다 비-타겟 기하학적 파라미터와 덜 강하게 상관되는 범위를 결정하는 단계; (b) 범위에서 광 신호들의 값들을 가진 멤버들 (members) 을 가지는 트레이닝 세트를 제공하는 단계로서, 트레이닝 세트의 멤버 각각은 (i) 기판 상에 에칭된 피처들의 타겟 기하학적 파라미터의 값, 및 (ii) 기판 상에 에칭된 피처들의 타겟 기하학적 파라미터의 값을 가지는 에칭된 피처들로부터 생성된 연관된 광 신호를 포함하는, 트레이닝 세트를 제공하는 단계; 및 (c) 트레이닝 세트로부터 연산 모델을 생성하는 단계.
일부 실시예들에서, 기판 상에 에칭된 피처들의 타겟 기하학적 파라미터는 에칭 깊이, 피치, 또는 에칭 임계 치수이다. 일부 실시예들에서, 광 신호들은 기판 상에 에칭된 피처들로부터 생성된 반사율을 포함한다. 일부 구현예들에서, 측정된 광 신호들이 타겟 기하학적 파라미터보다 비-타겟 기하학적 파라미터와 덜 강하게 상관되는 범위는 파장들 (wavelengths) 의 범위이다. 특정한 실시예들에서, 범위를 결정하는 것은 타겟 기하학적 파라미터의 상이한 값들에 대한 타겟 기하학적 파라미터와 광 신호들의 상관의 변화들에 따른 범위 내의 변화들을 결정하는 것을 포함한다. 특정한 실시예들에서, 트레이닝 세트로부터 연산 모델을 생성하는 것은 신경망 또는 회귀 기법을 사용하는 것을 포함한다.
일부 예들에서, 트레이닝 세트는 적어도 약 50 개의 멤버들을 포함한다. 특정한 실시예들에서, 트레이닝 세트의 멤버들은 기판에서 에칭된 피처들의 비-타겟 기하학적 파라미터의 값을 추가적으로 포함한다. 일부 구현예들에서, 트레이닝 세트의 멤버들은 실험적으로 얻어진다. 일부 구현예들에서, 트레이닝 세트의 멤버들은 연산적으로 (computationally) 생성된다. 이러한 경우들에서, 트레이닝 세트의 멤버들은 표면 키네틱 모델 (surface kinetic model) 및 광 모델링 루틴 (optical modelling routine) 으로부터 생성될 수도 있다.
본 개시의 또 다른 양태는 기판 상에 에칭된 피처들과 상호 작용하는 광 에너지에 의해 생성된 측정된 광 신호들로부터 기판 상에 에칭된 피처들에 대한 타겟 기하학적 파라미터의 값들을 계산하도록 구성된 연산 모델들에 관계된다. 이러한 모델들은 상기 제시된 바와 같은 방법에 의해 생성될 수도 있다.
예를 들어, 연산 모델을 생성하는데 사용되는 트레이닝 세트의 멤버들은 기판에서 에칭된 피처들의 비-타겟 기하학적 파라미터의 값들을 포함할 수도 있다. 나아가, 연산 모델을 생성하는데 사용되는 트레이닝 세트의 멤버들은 표면 키네틱 모델 및 광 모델링 루틴으로부터와 같이 실험적으로 또는 연산적으로 얻어질 수도 있다. 일부 구현예들에서, 트레이닝 세트는 적어도 약 50 개의 멤버들을 포함한다. 나아가, 연산 모델은 신경망 또는 회귀 기법을 사용하여 트레이닝 세트로부터 생성될 수도 있다.
일부 구현예들에서, 연산 모델은, 예를 들어, 에칭 깊이, 피치, 또는 에칭 임계 치수일 수도 있는, 기판 상에 에칭된 피처들의 타겟 기하학적 파라미터를 예측한다. 일부 모델들에서, 광 신호들은 기판 상에 에칭된 피처들로부터 생성된 반사율 값들을 포함한다.
특정한 실시예들에서, 연산 모델을 생성하는 때, 측정된 광 신호들이 타겟 기하학적 파라미터보다 비-타겟 기하학적 파라미터와 덜 강하게 상관되는 범위는 파장들의 범위이다. 특정한 실시예들에서, 연산 모델을 생성하는 때, 범위를 결정하는 것은 타겟 기하학적 파라미터의 상이한 값들에 대한 타겟 기하학적 파라미터와 광 신호들의 상관의 변화들에 따른 범위 내의 변화들을 결정하는 것을 포함한다.
개시된 실시예들의 이러한 그리고 다른 특징들은, 첨부된 도면들을 참조하여, 이하에서 보다 자세히 제시될 것이다.
도 1은 에칭 프로세스 동안 피처의 진전 (evolution) 을 예시한다.
도 2는 어떻게 광 파라미터 (예를 들어, 특정한 방향에서 반사된 신호 세기) 가 관심 있는 특징 (이 경우 에칭 깊이) 에 의해 가변할 수 있는지 그리고 그 결과 에칭 시간에 의해 가변할 수 있는지의 예를 도시한다.
도 3은 에칭 프로세스를 모니터링하기 위한 그리고 필요하다면 조정하기 위한 프로세스를 도시한다.
도 4는 특정한 실시예들에 따른 모델들을 생성하기 위한 방법의 흐름도를 도시한다.
도 5a 내지 도 5c는 조정가능한 갭 용량성으로 결합된 한정된 RF 플라즈마 반응기 (adjustable gap capacitively coupled confined RF plasma reactor) 의 실시예를 예시한다.
도 6은 본 명세서에서 특정한 실시예들을 구현하기 적절한 유도성으로 결합된 플라즈마 에칭 장치의 단면도를 예시하고, 그 예는 캘리포니아 프레몬트의 램 리서치 코포레이션 (Lam Research Corp.) 에 의해 생산된 Kiyo™ 반응기이다.
도입 및 배경
본 출원에서, "반도체 웨이퍼," "웨이퍼," "기판," "웨이퍼 기판," 및 "부분적으로 제조된 집적 회로 (partially fabricated integrated circuit)" 라는 용어들은 교환가능하게 사용된다. 당업자는 "부분적으로 제조된 집적 회로" 라는 용어가 집적 회로 제조의 많은 단계 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있음을 이해할 것이다. 반도체 디바이스 산업에서 사용되는 웨이퍼는 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 가진다. 다음의 상세한 설명에서 본 발명은 웨이퍼 상에서 구현된다고 가정한다. 그러나 본 발명은 그렇게 한정되지 않는다. 워크피스는 다양한 모양들, 크기들, 및 재료들로 될 수 있다. 반도체 웨이퍼에 더하여, 이 발명의 이점을 가질 수도 있는 다른 워크피스들은 인쇄 회로 기판들 (printed circuit boards), 자기 기록 매체 (magnetic recording media), 자기 기록 센서들 (magnetic recording sensors), 거울들 (mirrors), 픽셀화된 디스플레이들을 포함하는 광 엘리먼트들 (optical elements including pixelated displays), 마이크로-기계 디바이스들 (micro-mechanical devices) 및 유사한 것과 같은 다양한 물품들을 포함한다.
특정한 반도체 디바이스들의 제조는 재료 또는 재료들 내로 피처들을 에칭하는 것을 포함한다. 재료는 재료의 단일 층 또는 재료들의 스택 (stack) 일 수도 있다. 일부 경우들에서 스택은 재료의 교번하는 층들 (예를 들어, 실리콘 나이트라이드와 실리콘 옥사이드) 을 포함한다. 일 예시적인 에칭된 피처는 실린더 (cylinder) 이다.
본 명세서의 다양한 실시예들에서, 피처들은 유전체, 반도체, 및/또는 표면 상의 도체 재료를 가지는 기판 (통상적으로 반도체 웨이퍼) 내에 에칭된다. 에칭 프로세스들은 일반적으로 플라즈마-기반 에칭 프로세스들이다. 피처는 기판의 표면 내의 리세스 (recess) 이다. 피처들은, 이로 제한되는 것은 아니지만, 실린더들, 직사각형들, 정사각형들, 다른 다각형의 리세스들, 트렌치들 등을 포함하는 많은 상이한 형상들을 가질 수 있다. 에칭된 피처들의 예들은 다양한 갭들, 홀들 또는 비아들, 트렌치들, 및 유사한 것을 포함한다.
다음의 개시는 (1) 에칭 깊이 또는 제한된 범위의 파장들 또는 인시츄 광 계측 기기에 의해 생성된 다른 시간-종속적 광 신호로부터 에칭 프로세스 내에서 생성된 피처들을 특징으로 하는 다른 기하학적 파라미터를 결정하기 위해 연산적으로 효율적인 모델들을 생성하기 위한 방법들 또는 장치, 및 (2) 인시츄 계측에 검출된 선택된 범위의 시간-의존적 광 신호들을 수신하고 에칭 받고 있는 기판 내의 피처들의 깊이 및/또는 다른 기하학적 파라미터를 계산하도록 그러한 선택된 신호들을 사용하는 모델들을 포함한다. 특정한 실시예들에서, 피처들은 보통 메모리에 대해 생산되는 것들과 같이 주기적이거나 반복되는 구조들이다. (1) 의 방법들 또는 장치가 (2) 의 모델들을 생성하는데 사용될 수도 있으나, 모델들은 그러한 방법들 또는 장치에 의해 생성된 것들에 제한되지 않는다. 특정한 실시예들에서, (2) 의 모델들은 (1) 의 프로세스들을 사용하여 생성된다. 특정한 실시예들에서, 모델은 모델이 실행될 때 모델이 에칭 장치 내의 에칭 프로세스의 실시간 모니터링을 제공하도록 코딩되거나 그렇지 않으면 장치 내에서 구현된다. 일부 구현예들에서, 모델은 에칭 프로세스의 엔드포인트를 결정하거나 이를 결정하는 것을 돕는다.
모델들은 경험적으로 및/또는 연산적으로 생성된 데이터로부터 준비될 수도 있다. 일부 실시예들에서, 데이터는 (1) 반응기 에칭 (물리적 및/또는 화학적) 조건들로부터 에칭 피처 기하학적 구조 (etch feature geometry) 파라미터들 (예를 들어, 에칭 프로파일들) 을 예측하는 표면 키네틱 모델 또는 유사한 모델, 및 (2) 반복하는 피처 기하학적 구조들로부터 광 신호들 (예를 들어, 파장-의존적 반사율) 을 예측하는 광 모델링 루틴으로부터 연산적으로 생성된다. 이러한 실시예들에서, 선택된 에칭 조건들은 제 1 모델에 입력되고, 그 모델은 예측된 피처 기하학적 구조들을 생성하고, 그 피처 기하학적 구조들은 차례로 광 모델링 루틴에 제공되고, 그 광 모델링 루틴은 피처 기하학적 구조에 및 따라서 입력된 에칭 조건들에 의해 생성될 광 신호들을 예측한다. 이 방식으로, 광 신호 값들을 피처 형상들에 링크시키는 데이터가 생성된다. 본 명세서에서 기술된 바와 같이 모델링된 그리고/또는 모니터링된 에칭 프로세스는 다양한 피처들에 의해 특징지어질 수도 있다. 예를 들어, 프로세스는 에칭될 기판 또는 재료의 유형에 의해 특징지어질 수도 있다. 에칭된 재료는 도체, 유전체, 반도체, 또는 이들의 임의의 조합일 수도 있다. 나아가 에칭된 재료는 모놀리식 (monolithic) 또는 층식 (layered) 일 수도 있다. 그것은 메모리 및/또는 로직 디바이스들을 형성하는데 사용될 수도 있다. 에칭을 위한 유전체 재료들의 예들은 실리콘 옥사이드들 (silicon oxides), 실리콘 나이트라이드들 (silicon nitrides), 실리콘 카바이드들 (silicon carbides), 옥시나이트라이드들 (oxynitrides), 옥시카바이드들 (oxycarbides), 카보-나이트라이드들 (carbo-nitrides), 이러한 재료들의 도핑된 (예를 들어, 붕소, 인 등으로 도핑된) 형태, 및 이러한 재료들의 임의의 조합들로부터의 라미네이트들 (laminates) 을 포함한다. 구체적인 예시적 재료들은 SiO2, SiN, SiON, SiOC, SiCN 등의 화학양론적 및 비-화학양론적 제제들 (stoichiometric and non-stoichiometric formulations) 을 포함한다. 도체 재료들의 예들은, 이로 제한되는 것은 아니지만, 티타늄 나이트라이드 (titanium nitride) 및 탄탈룸 나이트라이드 (tantalum nitride) 와 같은 나이트라이드들 (nitrides) 그리고 코발트 (cobalt), 알루미늄 (aluminum), 루테늄 (ruthenium), 하프늄 (hafnium), 티타늄 (titanium), 텅스텐 (tungsten), 백금 (platinum), 이리듐 (iridium), 팔라듐 (palladium), 망간 (manganese), 니켈 (nickel), 철 (iron), 은 (silver), 구리 (copper), 몰리브덴 (molybdenum), 주석 (tin), 및 이러한 금속들의 합금들을 포함하는 다양한 합금들과 같은 금속들을 포함한다. 반도체 재료들의 예들은, 이로 제한되는 것은 아니지만, 도핑된 및 도핑되지 않은 실리콘, 게르마늄, 게르마늄, 갈륨 비소 (gallium arsenide) 등을 포함한다. 상기 도체들, 반도체들, 및 유전체들 모두는 다결정 (polycrystalline), 비정질 (amorphous), 단일 결정 (single crystal) 및/또는 미정질 (microcrystalline) 과 같은 뚜렷한 모폴로지 (morphology) 를 가질 수도 있다. 에칭될 수도 있는 다른 재료들은, 이로 제한되는 것은 아니지만, CoFeB, Ge2Sb2Te2, InSbTe 화합물들, Ag--Ge-S 화합물들, 및 Cu--Te-S 화합물들을 포함할 수도 있다. 이 개념은 NiOx, SrTiOx, 페로브스카이트 (CaTiO3), PrCAMnO3, PZT (PbZr1-xTixO3), (SrBiTa)O3, 및 유사한 것과 같은 재료들로 확장될 수 있다.
본 명세서에서 개시된 장치 및 플라즈마 조건들은 디바이스들 내의 에칭 피처들 또는 임의의 기술 노드 (technology node) 에서 다른 구조들에 채용될 수도 있다. 일부 실시예들에서, 에칭은 20 ㎚ 내지 10 ㎚를 능가하는 노드들의 제조 동안에 사용된다. 에칭은 라인 제조 절차들 (line fabrication procedures) 의 프론트 엔드 및/또는 라인 제조 절차들의 백 엔드에서 사용될 수 있다.
에칭 프로세스는 주로 물리적 (예를 들어, 비-반응성 이온 충격 (non-reactive ion bombardment)), 주로 화학적 (예를 들어, 작은 방향성 충격만 (only small directional bombardment) 을 가지는 화학적 라디칼), 또는 임의의 그 조합일 수도 있다. 화학적 에칭이 포함될 때, 화학적 반응물은 임의의 하나 이상의 다양한 에천트들 (etchants), 예를 들어 플루오르화 탄소 (fluorocarbons), 불소 (fluorine), 산소 (oxygen), 염소 (chlorine) 등을 함유하는 반응물들, 일 수도 있다. 예시적인 에천트들은 염소 (Cl2), 삼염화붕소 (BCl3), 육플루오르화황 (SF6), 삼플루오르화질소 (NF3), 디클로로디플루오로메탄 (CCl2F2), 삼플루오르화인 (PF3), 트리플루오로메탄 (CHF3), 플루오르화카르보닐 (COF2), 산소 (O2), 사염화탄소 (CCl4), 사염화실리콘 (SiCl4), 일산화탄소 (CO), 산화 질소 (NO), 메탄올 (CH3OH), 에탄올 (C2H5OH), 아세틸아세톤 (C5H8O2), 헥사 플루오로 아세틸 아세톤 (C5H2F6O2), 염화티오닐 (SOCl2), 플루오르화티오닐 (SOF2), 아세트산 (CH3COOH), 피리딘 (C5H5N), 포름산 (HCOOH), 및 그 조합들을 포함한다. 다양한 실시예들에서, 이러한 에칭 반응물들의 조합이 사용된다.
많은 유형들의 장치가 본 명세서에서 기술된 하나 이상의 방법들 및/또는 장치에 따라 모델링되는 그리고/또는 제어되는 에칭 프로세스들을 수행하기 위해 적합하다. 이러한 장치의 예들은 이하에 기술되는 바와 같이 유도적으로 결합된 (inductively coupled) 플라즈마 반응기들 및 용량적으로 결합된 (capacitively coupled) 플라즈마 반응기들을 포함한다. 일부 실시예들에서, 에칭 프로세스는 (종종 단일 반응기 내에서) 증착 프로세스와 결합된다. 이러한 결합된 증착 및 에칭 프로세스들은 고 종횡비 피처들을 생성하도록 측벽 보호 층을 채용하는 프로세스들을 포함한다 (예를 들어, 2014년 12월 4일에 출원된 미국 특허 출원 제 14/560,414 호, 2015년 5월 28일에 출원된 미국 특허 출원 제 14/724,574 호, 및 2015년 4월 27일에 출원된 미국 특허 출원 제 14/697,521 호 (그 각각은 그 전체가 참조로서 본 명세서에서 인용된다) 를 참조하라). 원자층 에칭 프로세스들의 예들은 미국 특허 제 8,883,028 호 및 제 8,808,561 호, 그리고 2015년 4월 24일에 출원된 미국 특허 출원 제 14/696,254 호에 기술되고, 그 각각은 그 전체가 참조로서 본 명세서에서 인용된다.
본 명세서에서 개시된 바와 같이 모델링된 그리고/또는 모니터링된 프로세스를 사용하여 에칭될 피처들은 임의의 다양한 기하학적 파라미터들에 의해 특징지어질 수도 있다.
에칭 깊이 - 이는 에칭된 피처의 하단과 필드 영역과 같은 기판 상단 표면 평면 사이의 거리를 나타낸다. 도 1에서 도시된 파라미터 "h" 는 기판 (105) 상의 층 (103) 의 에칭된 피처 (101) 의 에칭 깊이를 나타낸다. 깊이를 가지는 에칭된 피처들의 예들은 실린더들 및 트렌치들과 같은 홀들을 포함한다. 일부 구현예들에서, 에칭 깊이는 모니터링될 에칭 프로세스에 대한 엔드포인트 깊이와 실시간으로 비교된다. 예들로써, 에칭될 피처들은, 에칭 프로세스의 마지막에 있어서, 약 10 ㎚ 와 1 ㎛ 사이의 깊이를 가진다.
임계 치수 - 이는 인접하여 에칭된 피처들의 측벽들 사이의 에칭되지 않은 부분의 폭을 나타낸다. 도 1에 도시된 파라미터 "CD" 는 라인 (107) 의 임계 치수의 예들을 나타낸다. 통상적으로, 임계 치수는 기판 상단 표면 평면 아래의 깊이에 대한 함수이다. 예들로서, 에칭될 피처들은, 에칭 프로세스의 마지막에 있어서, 약 10 ㎚ 와 100 ㎛ 사이의 임계 치수를 가진다.
라인 폭 - 이는 둘 이상의 에칭 영역들 사이에 상승된 피처의 폭을 나타낸다. 통상적으로, 라인 폭는 대응하는 마스크 피처 폭에 의해 정의되고, 임계 치수와 달리 깊이에 따라 가변하지 않는다. 도 1에 도시된 파라미터 "w" 는 라인 (107) 의 라인 폭를 나타낸다.
피치 - 이는 인접한 평행 라인들의 중심 점들 사이의 거리를 나타낸다. 도 1에서, 파라미터 "피치" 는 에칭 프로파일의 피치를 나타낸다.
공간 임계 치수 - 이는 피치와 라인 폭 사이의 차를 나타낸다. 이는 에칭 개구의 폭으로 보여질 수 있다.
종횡비 - 이는 공간 임계 치수에 대한 에칭 깊이의 비를 나타낸다. 이는 에칭된 피처의 두께의 측정치로 보여질 수도 있다. 예로서, 2 ㎛의 깊이와 50 ㎚의 공간 임계 치수를 가지는 실린더는 40:1의 종횡비를 가지고, 종종 40으로 보다 간단하게 나타낸다. 얕은 피처들은 상대적으로 작은 종횡비들을 가지고, 깊은 피처들은 상대적으로 큰 종횡비들을 가진다. 개시된 실시예들에 관련된 에칭 프로세스들을 통해 형성된 피처들은 고 종횡비 피처들일 수도 있다. 일부 애플리케이션들에서, 고 종횡비 피처는 적어도 약 5, 적어도 약 10, 적어도 약 20, 적어도 약 30, 적어도 약 40, 적어도 약 50, 적어도 약 60, 적어도 약 80, 또는 적어도 약 100의 종횡비를 가지는 피처이다. 개시된 방법들을 통해 형성된 피처들의 공간 임계 치수는 약 200 ㎚ 이하, 예를 들어 약 100 ㎚ 이하, 약 50 ㎚ 이하, 또는 약 20 ㎚ 이하일 수도 있다.
도 1은 에칭 프로세스 동안 피처의 진전을 예시한다. 상단 패널에서, 에칭 프로세스는 막 시작되었고, 층 (103) 안으로 에칭 깊이 "h" 는 작다. 라인 폭 "w" 는 리소그래피 마스크에 의해 규정되고, 이상적으로 에칭 프로세스 동안 변하지 않는다. 상단으로부터 두번째 패널에서, 에칭 프로세스는 층 (103) 내에 보다 두드러진 (more pronounced) 피처 (101) 를 규정하도록 더 진행된다. 하부 패널에서, 에칭 프로세스는 완료되고, 피처 (101) 는 아래에 있는 기판 (105) 의 상단에 도달한다. 물론, 완료된 에칭이 아래에 있는 기판에 도달할 필요가 없고, 그러한 기판에서 멈출 필요도 없다.
다양한 유형의 광 신호들이 에칭된 피처들에 대한 정보를 얻도록 측정될 수도 있다. 그러한 신호들은 에칭 프로세스 전, 중, 그리고/또는 후에 측정될 수도 있다.
특정한 실시예들에서, 반사율이 측정된다. 반사율은 기판으로부터 반사된 방사선의 세기의 측정치이다. 반사된 신호는 입사각 (the angle of incidence) 과 상관없이, 기판 표면에 대해 수직에서 스치는 것까지, 임의의 각도로 캡처될 수도 있다. 반사된 신호는 파장들의 범위에 걸쳐 또는 개개의 (discrete) 파장들로 측정될 수도 있다. 반사된 신호를 측정하는데 사용되는 툴에 따라, 가능한 스펙트럼 범위는 원자외선 (deep ultraviolet) 과 원적외선 (far infrared) 사이일 수도 있다. 예로써, 가능한 스펙트럼 범위는 약 100 ㎚에서 약 10,000 ㎚ 사이일 수도 있다. 반사된 신호는 에칭 프로세스 동안 다양한 시간들에서 얻어질 수도 있다. 예들로서, 반사된 신호는 약 0.01 초와 약 10 초 사이의 지속기간의 시간 단계들에서 얻어질 수도 있고, 에칭 프로세스 내의 그러한 시간 단계들의 수는 약 2 개와 약 1000 개 사이일 수도 있다. 다시 말해서, 일부 예들에서, 약 2 개에서 약 1000 개의 측정들이 에칭 프로세스 동안 수행된다.
일반적으로, 광 신호들은 기판 표면으로부터 산란된 (scattered) 모든 방사선으로부터 얻어질 수도 있다. 산란된 방사선은 일반적으로 물리적인 물체에 충돌하고 그 후 일정 방향으로 전파를 계속하는 광자들 또는 광선들을 나타낸다. 산란된 방사선은 반사될 수도 있고 그리고/또는 굴절될 수도 있다. 때때로, 입사 방사선은 회절되고 (diffracted), 회절은 기판 표면 상에 방사선 입사가 복수의 각도들에서 산란할 때 발생한다. 예들은 거친 표면 산란을 포함하고, 거친 표면 산란에서 산란된 방사선은 확산하고 (복수의 방향들로 나가는 - 즉, 입사 광선에 대하여 퍼지는 (spread out)) 주기적인 표면들로부터 산란하고, 그 경우 산란된 방사선은 개개의 산란된 차수들로 분리되고, 그 각각은 구별된 방향으로 나아간다. 일부 애플리케이션에서, 방사선은 반사율이 엔드포인트를 결정하도록 측정될 수도 있는 회절된 차수들로 산란된다. 물론, 개시된 방법들 및 장치는 또한 주기적이지 않은 고립된 구조들로부터의 산란에 적용된다.
본 발명과 함께 사용된 광 신호들을 측정하는데 사용될 수도 있는 계측 툴들의 예들은 스펙트럼 반사계들 (spectral reflectometers), 엘립소미터들 (ellipsometers), 및 스케터로미터들 (scatterometers) 을 포함한다. 이러한 툴들의 판매업체는 캘리포니아 산 호세 소재의 KLA-Tencor, 캘리포니아 밀피타스 소재의 Nanometrics를 포함한다. 스케터로메트리 (scatterometry) 는 종종 주기적이고 개개의 회절된 차수들로 반사되는 구조들의 특성들을 측정하도록 의도된 반사계들 및 엘립소미터들과 같은 툴들을 지칭한다.
에칭 기하학적 구조 진행을 모니터링하도록 사용되는 모델의 특징들
독립 변수들은 모델에 대한 입력들이다. 그들 일부 또는 전부는 에칭받고 있는 또는 에칭된 기판과 상호 작용하는 빛으로부터 측정된 광 신호이다. 상호 작용하는 빛은 반사될 수도 있고, 굴절될 수도 있고, 넓게 확산될 수도 있고, 회절될 수도 있는 등하고, 인시츄 계측 툴과 같은 계측 툴에 의해 얻어질 수도 있다. 독립 변수(들)는 하나 이상의 각도들 등으로 반사된 빛의 세기와 같은 상호작용하는 빛의 특성일 수도 있다. 측정된 광 신호(들)는 시간, 파장 (주파수), 편광 (polarization), 또는 이들의 임의의 조합의 함수로서 측정될 수도 있다. 측정된 광 신호(들)는 미가공 형태 (raw form) 로 사용될 수도 있고 또는 모델에 제공되기에 앞서 변경될 (예를 들어, 필터링되거나, 정규화되거나, 벡터화되는 등) 수도 있다. 독립 변수는 입력 및/또는 원인을 나타낼 수도 있고, 그리고/또는 원인인지 아닌지 알기 위해 테스트된다. 독립 변수는 또한 "예측 변수 (predictor variable)," "회귀자 (regressor)," "제어 변수 (controlled variable)," "조작 변수 (manipulated variable)," "설명 변수 (explanatory variable)," 또는 "입력 변수 (input variable)" 로 알려질 수도 있다.
종속 변수들은 모델에 의한 출력이다. 이는 에칭 깊이, 피치, 및 임계 치수와 같은 하나 이상의 에칭 기하학적 구조 파라미터들의 계산된 값들일 수도 있다. 이러한 기하학적 구조 파라미터들은 에칭 프로세스 동안 진행되는 시간의 함수로서 제공될 수도 있다. 일부 경우들에서, 에칭 깊이와 같은 진전되는 (evolving) 기하학적 파라미터는 광 신호들 (독립 변수들) 을 사용하여 반복적으로 계산되고 엔드포인트 값과 비교되고, 기하학적 파라미터의 값이 엔드포인트 값과 매칭되는 때 에칭 프로세스는 자동적으로 변하고 (예를 들어, 종결되고) 그리고/또는 알림 (notification) 이 생성된다. 모델에 의한 종속 변수 출력의 값, 및 특히 프로세스 제어 변화를 일으키는 프로세스 엔드포인트 알고리즘에 적용되는, 또는 프로세스 제어 변화를 일으키는 프로세스 엔드포인트 알고리즘에서 사용되는 값은 엔드포인트 또는 종속 변수에 기초한 다른 프로세스 상태의 "호출 (call)"로 지칭될 수도 있다. 종속 변수들은 때때로 응답 변수들로 지칭된다.
모델은 종속 변수(들)를 독립 변수(들)에 관계시킨다. 그것은 임의의 하나 또는 많은 상이한 형태들을 사용하여 그렇게 한다. 예들은 선형 조합들 (예를 들어, 독립 변수들의 가중된 기여들의 합계), 비선형 표현들 (예를 들어, 독립 변수들을 포함하는 2 차 이상의 다항식들), 룩업 테이블들 (look up tables), 분류 트리들 (classification trees), 동적 시간 워핑 (dynamic time warping), 유사성 메트릭 구동 알고리즘들 (similarity metric driven algorithms), 패턴 매칭 및 분류 (pattern matching and classification), 다변량 통계의 변형들 (variations of multivariate statistics) (PCA, PLS), 그리고 폴트 검출 (fault detection) 및 분류 체계들 (classification schemes) 에 사용되는 신규성 탐지 알고리즘의 호스트들을 포함한다. 일부 예들에서, 모델은 신경망이다.
모델은 다음에서 기술되는 하나 이상의 피처들을 가질 수도 있다.
일부 구현예들에서, 모델은 그것이 인시츄 광 정보 (예를 들어, 실시간 엔드포인트 모니터링) 로부터 기하학적 에칭 파라미터를 생성하도록 실시간으로 인시츄 광 신호들을 프로세싱할 수 있게 연산적으로 효율적이다. 특정한 실시예들에서, 피처 특징화 (characterization) 알고리즘 (예를 들어, 엔드포인트 평가) 은 (그것이 광 측정치들과 같은 입력 변수 값들을 수신한 시간으로부터) 약 100 ㎳ 이하에서 프로세싱을 완료한다. 특정한 실시예들에서, 피처 특성화 알고리즘은 20 ㎳ 이하에서 프로세싱을 완료한다. 이러한 급속 프로세싱은, 예를 들어, 임계 단계 변화 요구들을 가지는 애플리케이션들에서 또는 높은 에칭 속도 (etch rate) 프로세스들 (예를 들어, 약 1 분보다 작은 시간 내에 완료하는 에칭 프로세스들) 에서 채용될 수도 있다. 프로세싱 체제 (RF 펄싱 (pulsing) 또는 가스 펄싱에서와 같은) 에 의해 유도된 많은 변화들을 가지는 프로세스들에서 또는 웨이퍼 구조 그 자체가 (교번하는 재료들의 스택들에서와 같은) 복잡한 구조일 때, 데이터 어레이들 (arrays) (예를 들어, 수 천개의 데이터 어레이들) 은 때때로 복수의 시간 샘플들 (예를 들어, 백 개 이상, 또는 천 개 이상) 각각에 대해 요구될 수도 있다. 모델의 실행 시간은 또한 사용되는 알고리즘의 유형에 의존한다. 일부 구현예들에서 모델은 에칭 프로세스 시작부터 현재 시간까지 스펙트럼의 정보의 시간 진전의 전부 또는 많은 부분을 프로세스한다. 이는 예컨대 멀티웨이 (multiway) PCA (principal component analysis) 및 멀티웨이 PLS (partial least squares) 를 사용하여 만들어질 많은 수의 모델들을 요구할 수도 있고, 모델 각각은 에칭의 시작부터 대응하는 시간 간격들의 이력적인 궤적들에 대한 현재 시간 단계까지 광 측정치 궤적들을 비교한다. 이러한 모델들은 에칭 시간이 길어짐에 따라 모델 캘리브레이션 (calibration) 동안 및 실시간 프로세스 모니터링 동안 모두 증가된 연산 요구사항들을 가진다. 이러한 경우들에서, 시스템은 많은 양의 버퍼 공간, 멀티스레딩 (multithreading), 및/또는 복수의 코어들을 가지는 프로세서들과 같은 추가적인 프로세싱 능력들로 구성된다.
일부 구현예들에서, 모델 호출 (에칭 엔드포인트에 대응하는 에칭 깊이와 같은 기하학적 파라미터의 출력) 은 "신뢰도 (confidence)" 와 함께 제공된다. 모델이 모델을 생성하거나 입증하는데 사용되는 기하학적 구조의 범위 밖으로 기하학적 구조를 예측한다면 호출에 낮은 신뢰도가 주어질 수도 있다. 예를 들어, 모델이 에칭될 피처가 모델을 생성하는데 사용된 임의의 기하학적 구조들의 임계 치수보다 좁은 임계 치수를 가진다고 결정하면, 호출된 에칭 깊이 엔드 포인트에 낮은 신뢰도가 주어질 수도 있다. 추가적으로, 호출은 입력들로 사용된 광 신호들이 예상된 범위 밖이라면 낮은 신뢰도가 주어질 수도 있다. 특정한 유형들의 에칭 프로세스에서, 비-모델링된 요소들로부터 신호 변화들은 모델의 조화 (fit) 에 영향을 미치고 신뢰도를 감소시킬 수 있다. 이러한 신호 변화들의 예들은 조명 (illumination) 변화들로부터의 "노이즈 (noise)" (램프 노이즈 또는 레이저 노이즈), 모델에서 추정된 것들에 대한 하드웨어 셋업 내의 변화들 등을 포함한다. 확률적인 모델들에서, 호출의 신뢰도는 이러한 모델들을 발전시키는데 사용되는 데이터로부터의 기여도 (contribution) (예를 들어, 그러한 데이터의 양 및 그 안의 변화들) 를 포함할 수도 있다.
특정한 실시예들에서, 모델은 제한된 범위의 파장들 (또는 광 신호의 다른 양태) 만에 걸친 광 출력 신호들을 사용하고, 이는 관심 있는 기하학적 파라미터를 결정하기 위해 선택될 수도 있다. 이 범위의 신호는 모델에 대해 독립 변수 (또는 독립 변수들의 집단) 로 사용된다. 일부 이러한 구현예들에서, 많은 이용할 수 있는 광 신호는 입력으로 사용되지 않는다. 선택된 범위는 계측 툴에 의해 측정될 수 있는 값들의 전체 범위의 작은 부분 (예를 들어, 약 10 %보다 작은 또는 심지어 이산적 값) 을 나타낼 수도 있다. 모델의 입력으로 선택된 범위를 사용하는 것은, 에칭 피처의 기하학적 구조를 결정하도록, 보다 적은 연산, 및 그것에 의한 보다 빠른 연산을 요구할 수 있다. 그것은 또한 선택된 종속 변수들로 하여금 상관된 기하학적 파라미터들로부터 간섭 없이 계산되도록 할 수 있다; 예를 들어, 에칭 깊이는 임계 치수와 강하게 상관된 입력 신호로부터 중대한 간섭 없이 계산될 수 있다. 예를 들어, 다른 파장 범위는 임계 치수와 강하게 상관되나 에칭 깊이와는 약하게만 상관될 수도 있는 반면, 제 1 파장 범위는 에칭 깊이와 강하게 상관될 수도 있다. 에칭 깊이에 중점을 둔 프로세스는, 모호한 (obscuring) 신호를 피하도록, 제 1 파장 범위 내의 광 신호들만 사용한다.
사용되는 광학 툴에 의존하여, 사용가능한 출력 신호는 파장 이외의 좁은 범위의 특성으로 제약될 수도 있다. 예를 들어, 사용된 출력 신호는 특정한 편광 상태, 또는 기판 및/또는 입사광에 대한 특정한 방향으로 제한될 수도 있다. 이 방향은 때때로 (그것이 입사된 것과 같은 각도로 표면에 반사되는, 때때로 0-차수 반사라고 불려지는) 정반사 (specular) 방향이나, 회절하는 주기적 표면의 경우 방향은 정반사 방향 이외의 방향에서 반사된 개개의 차수의 방향이 될 수도 있다; 이는 때때로 보다 높은 회절된 차수들로 지칭된다. 0-차수 반사된 방사선을 포함하는, 회절 차수들과 연관된 임의의 하나 이상의 방향들이 사용될 수도 있다.
일부 예들에서, 선택된 파장 범위 또는 다른 선택된 광 파라미터 범위는 에칭 프로세스 동안 시간의 함수로서 가변한다. 다시 말하면, 선택된 범위 또는 광 파라미터들의 범위들은 일 시간 증분에서 또 다른 시간 증분까지 가변한다. 이는 관심 있는 광 신호의 스펙트럼 구조가 하나의 시간 단계에서 다음 시간 단계로 가변하는 때 문제 해결에 착수하기 위한 적절한 방식을 제공할 수도 있다. 예를 들어, 에칭 깊이와 연관된 반사된 세기 정점의 중심은 에칭 프로세스의 기간에 걸쳐 파장에 있어 변할 수도 있다.
도 2는 어떻게 광 파라미터 (예를 들어, 특정한 방향에서 반사된 신호 세기) 가 관심 있는 특성 (이 경우 에칭 깊이) 에 의하여 가변할 수 있는지 및 그 결과 에칭 시간에 의하여 가변할 수 있는지의 예를 나타낸다. 도 2의 패널들은 각각이 상이한 에칭 깊이와 연관되고 그리하여 상이한 시간과 연관된 세 개의 반사 세기 대 파장 스펙트럼들을 도시한다. 도 2의 맨 위 패널은 에칭 프로세스 시작의, 예를 들어 패터닝된 마스크가 존재하지만 에칭이 발생하지 않았을 때의, 스펙트럼을 도시한다. 스테이지에서 반사된 세기는 λ1에서 최대치를 가진다. 중간 그리고 아래의 패널들은 어떻게 스펙트럼이 에칭 프로세스 동안 진전되는지를 도시한다. 현저하게 세기 정점은 보다 긴 파장들로, 이 예시에서 λ2 및 λ3로 이동한다.
특정한 실시예들에서, 선택된 파장 범위 또는 광 신호의 다른 선택된 특징은 관심 있는 기하학적 파라미터 (예를 들어, 에칭 깊이) 의 변화들이 측정된 광 파라미터들 (예를 들어, 반사율 세기) 내의 중대한 변화들을 야기하나, 하나 이상의 다른 기하학적 파라미터들 (예를 들어, 임계 치수) 내의 변화들은 측정된 광 파라미터 내의 중대한 변화를 야기하지 않는 "타겟팅된 민감도 (targeted sensitivity)" 를 증가시키도록 (예를 들어, 최대화시키도록) 선택된다. 이는 두 개 이상의 상관된 기하학적 파라미터들의 함수인 시변 (time varying) 측정된 광 신호의 예로서 이해될 수도 있다. 이 상황을 나타내는 상이한 방정식은, 각각이 기하학적 파라미터들 중 하나에 대한 광 신호의 도함수를 포함하는 항들의 합의 함수로서, 시간에 대한 광 신호의 도함수를 제시할 수도 있다.
dR/dt = (dR/dDepth)(dDepth/dt) + (dR/dCD)(dCD/dt)
일부 구현예들에서, 선택된 파장들 또는 다른 광 파라미터들은 큰 값의 dR/dDepth 및 작은 값의 dR/dCD를 가지도록 선택된다. 이는 모델로 하여금 임계 치수 내의 변화들에 의하여 변하는 신호들에 의한 중대한 기여도 (및 그 신호들로부터의 간섭) 없이 에칭 깊이를 계산하게 한다. 물론, 선택된 광 파라미터 범위는 임의의 선택된 피처 파라미터 (예를 들어, 피치, 에칭 각도, 임계 치수 등) 를 강조하도록 선택될 수 있다. 나아가, (관심 있는 피처 내의 변동들을 잘 나타내는) 파장들의 선택된 범위는 시간에 따라 변할 수도 있다.
일부 구현예들에서, 복수의 광 특성들은 동시에 측정되고, 그렇게 함으로써 동시에 복수의 기하학적 에칭 파라미터들의 결정 (resolution) 을 허용한다. 예를 들어, 반사된 신호의 세기 및 편광 (s- 편광 컴포넌트들 및 p- 편광 컴포넌트들) 모두는 측정될 수도 있고 그것들을 별개의 독립적인 변수들로 채용하고 시변 에칭 깊이 및 임계 치수 모두를 계산하는 모델에 제공될 수도 있다. 측정될 수 있는 다른 광 특성들은 본 명세서의 다른 곳에서 확인되었다. 일 예는 반사된 방사선의 방향이다.
본 명세서에서 제시된 대부분의 예들이 에칭 깊이를 관심 있는 기하학적 파라미터로 그리고 임계 치수를 잠재적으로 모호한 기하학적 파라미터로 고려하나, 일부 애플리케이션들은 기하학적 파라미터들을 다르게 사용할 수도 있다. 예를 들어, 임계 치수, 피치, 측벽 각도 등은 관심 있는 기하학적 파라미터가 될 수도 있다. 본 개시는 이러한 이해를 가지고 읽혀져야 한다.
도 3은 에칭 프로세스를 모니터링하기 위한 그리고 필요하다면 조정들을 하기 위한 프로세스를 제시한다. 도시된 프로세스는 네 개의 페이즈들 (phases) 을 가진다: 그리고 블록들 (301 및 303) 에 예시된 초기 셋업 (initial set up) 페이즈, 블록 (305) 에 예시된 에칭 프로세스 개시 (initiation) 페이즈, 블록들 (307, 309, 311, 313, 및 317) 에 나타난 루프에 의해 예시된 에칭 모니터링 및 조정 페이즈, 및 마지막으로 블록 (315) 에 의해 예시된 에칭 종결 페이즈.
초기에, 셋업 페이즈 동안, 계측 데이터에 영향을 주는 프로세서들 및/또는 계측 툴은 에칭 프로세스를 모니터링하도록 모니터링 모델에 대해 적절한 계측 데이터를 제공할 예정이다. 그리하여, 예시된 예에서, 프로세스 동작 (301) 은 모니터링을 위해 적절한 범위 내로 파장들만을 또는 다른 광 파라미터들을 캡처 또는 프로세싱하도록 계측 툴 및/또는 프로세서들을 설정한다. 상기 설명된 바와 같이, 에칭 모니터링 알고리즘은 측정 및 프로세싱을 위해, 모든 가능한 광 파라미터들의 서브세트인, 특정한 파장들 또는 다른 광 파라미터들에 의존할 수도 있다. 예를 들어, 스펙트럼의 가시 또는 자외선 부분들 내의 좁은 범위의 파장들은 기판의 깊이, 임계 치수, 또는 다른 기하학적 파라미터를 실시간 모니터링하기 위해 적합할 수도 있다.
캡처를 위한 파장들 또는 다른 광 파라미터들의 초기 세트에 더하여, 모니터링 알고리즘은 에칭 프로세스 동안 그러한 광 파라미터들 내의 변동들을 채용할 필요가 있을 수도 있다. 이 목적을 위하여, 예시된 프로세스는 에칭 프로세스가 진전됨에 따라 캡처된 그리고/또는 프로세싱된 파장들 또는 다른 광 파라미터들을 가변하도록 계측 툴 및/또는 프로세서들을 설정하는 동작 (303) 을 포함한다. 예로써, 동작 (301) 에서 설정된 것처럼 파장들의 초기 범위는 전자기 스펙트럼의 가시 및 자외선 부분에 걸쳐 있을 수도 있으나, 에칭이 진행함에 따른 시간에 걸쳐, 파장들의 범위는 전체적으로 가시 범위 내로 이동한다. 이러한 이동은 동작 (303) 에서 미리 정해질 수도 있다.
셋업 동작들 (301 및 303) 의 둘 중 하나 또는 모두는 선택가능하고, 일부 에칭 모니터링 프로세스들은 그것들을 요구하지 않는다는 것이 이해되어야 한다. 예로서, 이러한 프로세스들은, 전체 에칭 프로세스에 적절한, 파장들의 좁은 대역 (band) 만을 캡처할 수도 있다. 다른 예들에서, 모니터링 모델은 실시간으로 넓은 범위의 파장들 (또는 다른 광 파라미터) 에 대해 그리고 관심 있는 기하학적 파라미터를 위해 높은 정확성을 가지고 동작하도록 설계되거나 구성될 수도 있다.
동작들 (301 및 303) 의 셋업 동작들과 같은 셋업 동작들은 다양한 방식들로 구현될 수도 있다. 예를 들어, 프로세싱 시스템들 내의 데이터 수집 (collection) 설정들 또는 계측 툴에 대한 광 설정들은 셋업 및/또는 제어 동작들의 목적으로 조정되거나 바운딩된다.
초기 셋업 페이즈가 완료된 후, 프로세스는 프로세스 동작 (305) 에 의해 지시된 것처럼 에칭 챔버 내의 에칭 프로세스를 개시한다. 기술분야에서 기술을 가진 자들에 의해 이해되는 바와 같이, 이는 에칭 챔버에 기판을 위치시키는 것, 에칭 챔버를 배기하는 것, 에칭 챔버 내로 프로세스 가스를 흐르게 하는 것, 플라즈마를 스트라이킹하는 것, 및 유사한 것을 포함한다. 처음에, 에칭 프로세스에서, 기판은 에칭 패턴을 규정하기 위해 마스크만을 또는 다른 구조체를 포함할 수도 있다. 에칭될 아래의 재료는 에칭 프로세스가 동작 (305) 에서 개시되기 전에는 어떠한 실질적인 방식으로도 에칭되지 않는다.
에칭 프로세스가 펼쳐짐에 따라, 하나 이상의 계측 툴들에 의해 수집된 기판으로부터의 광 신호들을 사용하여 실시간으로 모니터링되고 동작들 (301 및 303) 에서 찾을 세팅들에 따라 프로세싱된다. 기판으로부터 실시간 광 신호의 계속되는 측정을 나타내는 프로세스 블록 (307) 을 참고하라. 에칭 프로세스를 모니터링하는 동안, 에칭/계측 시스템은, 현재 시간 단계에 적절한, 광 신호 (현재 범위에서 파장들이나 다른 광 파라미터의 세트) 의 부분을 제공하고, 그리고 모델은 관심 있는 에칭 기하학적 구조 파라미터를 예측하기 위해 이러한 신호들을 사용한다. 프로세스 블록 (309) 을 참고하라. 설명된 바와 같이, 모델은 에칭 프로세스 동안 임의의 주어진 시간 단계에서 광 파라미터들 (독립 변수들) 의 특정한 범위만을 프로세싱하도록 최적화될 수도 있다. 동작 (309) 은 모델이 현재 시간 단계에 대해 적절하게 수집된 파라미터들을 수신하는 것을 보장한다.
다음으로, 현재 시간 단계에 대해, 모델은 현재 입력된 광 파라미터들을 사용하여 실행하고 예측된 에칭 기하학적 구조 파라미터를 제공한다. 이는 블록 (311) 에 예시된다. 모델이 실시간으로 기하학적 파라미터들을 계산하고 있는 동안, 모니터링 알고리즘은 그것들이 (현재 시간 단계에 대해) 예상된 범위 내인지 또는 그것들이 에칭 프로세스의 엔드포인트를 시그널링하는지를 결정하도록 이러한 파라미터들을 확인한다. 이러한 확인은 결정 블록 (313) 에 예시된다. 모델에 의해 예측된 에칭 기하학적 구조 파라미터(들)가 예상된 범위 내로 계속 떨어지는 것을 가정하면서, 모니터링 프로세스는 현재 시간 증분이 기존의 셋팅들 (예를 들어, 블록들 (301 및/또는 303) 에서 규정된 세팅들) 에 따라 캡처를 위해 광 파라미터들을 조정하는 것이 요구되는지를 계속해서 결정한다. 프로세스 블록 (317) 을 참고하라. 캡처 및 프로세싱을 위해 현재 광 파라미터들이 조정되었는지에 관계 없이, 프로세스 제어 루프들은 계측 시스템이 실시간 광 신호들을 계속해서 수집하는 블록 (307) 로 돌아간다. 상기 기술한 바와 같이, 이것이 발생하는 동안, 프로세서 및 연관 알고리즘은 계속해서 (i) 모델에 현재 시간 단계에 대한 적절한 광 신호들을 제공하고 (프로세스 블록 (309)) 그리고 (ii) 현재 시간 단계에 대한 예측된 기하학적 구조 에칭 파라미터를 제공하도록 모델을 실행한다 (프로세스 블록 (311)). 추가적으로, 프로세서들 및 알고리즘은 프로세스 블록 (313) 에서 예측된 에칭 기하학적 구조 파라미터가 예상된 범위 내인지를 계속해서 결정한다.
일부 지점에서, 결정 동작 (313) 에서 수행된 평가는 예를 들어, 에칭 파라미터가 현재 시간에 대해 예상된 범위 밖이거나 에칭 파라미터가 엔드포인트에 도달했다는 부정적인 결과를 발생시킨다. 그 때에는, 프로세스 플로우는, 현재 에칭 프로세스를 변경하거나 끝내는, 또는 에칭 프로세스 내의 자동 또는 수동 개입을 가져올 수 있는 에칭 시스템에 대한 알림을 보내는, 프로세스 동작 (315) 으로 지향된다. 이러한 개입은 과정 조정이 요구되는지 그리고/또는 프로세스가 종료되어야 하는지를 결정하는 평가를 더 포함한다.
측정된 광 파라미터들로부터 시간-종속적 에칭 기하학적 구조를 계산하는 모델을 생성
모델은, 각각이 (i) 하나 이상의 에칭 기하학적 구조 값들, 및 (ii) 에칭 기하학적 구조들을 가지는 기판을 조사하는 계측 툴로부터 생성되도록 예측된 (또는 생성된) 하나 이상의 연관된 신호 값들을 가지는, 많은 데이터 지점들을 포함하는 트레이닝 세트를 사용하여 생성될 수도 있다. 하나 이상의 에칭 기하학적 구조 피처 값들은 계측 툴로부터의 광 판독들 (optical readings) 에 영향을 줄 수 있다. 예들은 에칭 깊이, 임계 치수, 및 상기 논의된 다른 특징들을 포함한다. 광 판독들의 예들은 시간의 함수로서 반사율 스펙트럼들을 포함한다.
트레이닝 세트 데이터 지점들 (기하학적 에칭 파라미터들 및 연관된 광 신호 값들) 은 실험적으로 또는 연산적으로 생성될 수도 있다. 일부 실시예들에서, 에칭 파라미터들은 표면 키네틱 모델 (SKM) 과 같은 에칭 프로파일 모델을 사용하여 연산적으로 생성될 수도 있다. 이러한 모델들은 이하에 그리고 그 전체가 참조로서 본 명세서에서 인용된 2015년 12월 17일에 출원된 미국 특허 출원 제 14/972,969 호 (대리인 관리번호 LAMRP216) 에 기술된다. 에칭 기하학적 구조 파라미터 값들을 생성하도록 SKM 또는 다른 에칭 프로파일 모델을 사용하는 때, 기하학적 구조로부터 생성된 광 파라미터들은 RCWA (Rigorous Coupled Wave Analysis) 방법 또는 유사한 기법과 같은 광 모델링 루틴을 사용하여 모델링되거나 예측될 수도 있다.
RCWA는 격자 (grating) 와 같은 주기적인 구조로부터 반사된 (회절된, 산란된) 방사선, 또는 이러한 격자를 통해서 전송된 방사선의 특징들을 기술하는데 사용될 수 있는 일 방법이다. RCWA는 Moharam와 Gaylord에 의해 크게 발전했고 과학적인 문헌에 기술되었다. 예를 들어, M. G. Moharam and T. K. Gaylord "Rigorous coupled-wave analysis of planar-grating diffraction" J. Opt Soc of America, Vol. 71, Issue 7, pp. 811-818 (1981) 를 참고하라. RCWA는 다양한 회절된 차수들 (0 차수 및 보다 높은 차수들) 의 세기 및 편광 특징들을 계산한다. 결과들을 제공할 수 있는 다른 광 모델링 방법들은, 이로 제한되는 것은 아니지만, C 방법 (C method), 모달 방법 (Modal method), 레일리 근사 (Rayleigh approximation), EFIE (e-field integration equation), 및 Cf-FFT (conjugate gradient - fast fourier transform) 을 포함한다.
RCWA는 주기적인 유전체 구조들로부터 산란을 해결하도록 종종 채용되는 연산 전자기학의 반-분석적 (semi-analytical) 방법이다. 이는 퓨리에-공간 방법으로, 디바이스들 및 필드들이 공간 고조파들 (spatial harmonics) 의 합으로 나타난다. 이 방법은 주기적인 미분 방정식의 해들이 플로케 함수들 (또는, 때때로, 특히 고체-상태 물리학에서는, 블록 파 (Block wave) 로 지칭) 로 확장될 수 있는 플로케의 정리 (Floquet's theorem) 에 기초한다. 디바이스는 z 방향으로 각각 균일한 층들로 나누어진다. 계단 근사 (staircase approximation) 는 z-방향을 따라 등급이 나눠진 (graded) 유전체 유전율 (dielectric permittivity) 과 같은 특성들을 가지는 곡면 (curved) 디바이스들에 필요하다. 층 각각의 전자기 모드들 (modes) 은 계산되고 층들을 통해 분석적으로 전파된다. 전체 문제는 산란 메트릭스 (scattering matrices) 와 같은 기법을 사용하여 층들 사이의 인터페이스들 각각에서 경계 조건을 매칭함으로써 해결된다. 주기적인 유전체 매체에서, 입사 평면파 (plane wave) 의 파동 벡터 (wave vector) 에 의해 결정된, 전자기 모드들에 대해 풀기 위해, 경계 조건들뿐만 아니라 맥스웰 방정식들 (Maxwell's equations) 은 플로케 함수들로 확장되고 무한히 큰 대수 방정식들로 바뀌게 된다. 보다 높은 차수의 플로케 함수들을 제거하면, 필요한 수렴 (convergence) 속도 및 정확도에 따라, 무한히 큰 대수 방정식들은 유한해지고 따라서 컴퓨터들로 풀 수 있게 된다.
트레이닝 세트로부터, 광 신호를 에칭 기하학적 구조로 관계시키기 위한 회귀 모델, 신경망, 또는 다른 적절한 모델이 생성될 수 있다. 일 예로, 부분 최소 자승 (partial least squares) 이 트레이닝 세트 데이터로부터 회귀 모델을 생성하는데 사용될 수도 있다. 결과적인 모델은 관심 있는 에칭 기하학적 구조 특징들을 계산하도록 시간에 따른 복수의 파장 궤적들의 선형 조합을 제공한다. 예로서, 모델의 형태는 다음과 같이 나타내어질 수도 있다:
Figure 112017041982882-pat00001
lamda i 은 선택된 파장에서 반사율 또는 다른 광 파라미터이고, b t a i 은 각각 시간과 파장에 의해 변하는 계수들이다.
다양한 실시예들에서, 모델은, 관심 있는 기하학적 파라미터의 강한 함수이고 하나 이상의 다른, 잠재적으로 모호한, 기하학적 파라미터들의 약한 함수인 데이터를 식별하도록 파장의 제한된 범위들 또는 광 신호들의 다른 특징들을 식별하기 위해 선택 프로세스를 사용하여 생성된다. 예를 들어, 프로세스는 에칭 깊이의 변화들에는 민감하나 임계 치수의 변화들에는 상대적으로 민감하지 않은 반사율 데이터의 파장들을 식별할 것이다.
광 신호 값들의 범위들을 선택하는 것은 PCA (principal component analysis) 또는 PLS (partial least squares) 와 같은 다양한 기법들에 의해 성취될 수도 있다. PCA는 웨이퍼들의 세트로부터 또는 SKM 모델 시뮬레이션들의 세트로부터 수집된 데이터 세트 내의 중대한 변동을 포함하지 않는 파장들을 제외하는데 사용될 수도 있는 데이터 압축 방법으로 사용될 수도 있다. PLS는 PCA와 조합하여 사용될 수 있고, 여기에서 PCA 모델로부터 얻어진 주요한 컴포넌트들은 PLS 모델에 대한 X-블록 데이터로 사용될 수 있고 X-블록 데이터와 Y-블록 데이터 (기하학적 변수들) 의 상관관계는 파장들의 적절한 세트를 선택하도록 연구될 수 있다. 그렇지 않으면, PLS는 X-블록으로서 미가공 반사율 데이터와 Y-블록 내의 기하학적 변수들을 상관시키도록 그것만으로 사용될 수 있다.
대안적인 접근에서, 광 파라미터들의 넓은 범위에 걸쳐 표면 키네틱 모델 또는 다른 정확한 에칭 프로파일 모델의 결과들을 조사하는 것은 광 파라미터 범위(들)의 선택을 좁히는데 사용된다. 예를 들어, 표면 키네틱 모델과 같은 에칭 프로파일 모델은 주어진 에칭 프로세스에 대한 예상된 에칭 기하학적 구조 값들을 식별하는데 사용되고, 이러한 에칭 기하학적 구조들은 수동적으로 그리고/또는 연산적으로 가변하도록 시작 지점들로 사용되고, 그 변화로부터 관심 있는 타겟 기하학적 파라미터 내의 변화들에 기인한 광 신호 내의 상대적으로 큰 변화들 및/또는 하나 이상의 비-타겟 기하학적 파라미터들 내의 변화들에 기인한 광 신호 내의 상대적으로 작은 변화들을 생성하는 광 파라미터 범위들을 식별하도록 사용된다. 따라서 누군가는 상이한 기하학적 파라미터들을 가변할 수 있고 파장들 또는 일 기하학적 파라미터에서는 변화들의 함수로 강하게 가변하지만 다른 것들에서는 그러지 않는 다른 광 파라미터들의 범위 또는 범위들을 식별할 수 있다. 이는 파장들의 큰 범위에 걸쳐 모든 파라미터들에 대해 dR/dGeometricParameter의 민감도 메트릭스들을 계산함으로써 수행될 수 있고, 목표된 타겟팅된 민감도 (예를 들어, 깊이에는 민감하나 CD 변화들에는 민감하지 않은 파장들) 에 기초하여 서브세트의 선택을 좁힐 수 있다.
도 4는 특정한 실시예들과 부합하는 모델들을 생성하는 방법의 흐름도를 제시한다. 도시된 흐름에서 도시된 것처럼, 프로세스는 모델 생성 시스템이 하나 이상의 광 계측 툴들을 사용하여 생성된 광 신호들의 함수로 모델링될 타겟 기하학적 파라미터를 수신하는 블록 (403) 에서 시작한다. 기하학적 파라미터는 상기 식별된 것들 중 임의의 것, 예를 들어, 기판 내의 에칭된 피처의 깊이일 수도 있다. 이러한 파라미터의 선택은, 물론, 에칭 프로세스 및 연관된 반도체 디바이스 제조 프로세스를 제어하는 집단의 필요들에 의해 좌우된다.
모델은 타겟 기하학적 파라미터 값의 조합 (예를 들어, 에칭 깊이) 및 기하학적 파라미터 값에 응답하여 생성된 하나 이상의 광 신호 값들을 각각 제공하는 데이터 지점들의 트레이닝 세트로부터 생성된다. 다시 말해서, 트레이닝 세트의 멤버 각각은 피처와 연관된 그리고 특정한 피처로부터 생성된 광 신호들과 연관된 기하학적 구조 값을 포함한다. 일부 구현예들에서, 트레이닝 세트 멤버는 주어진 피처에 대한 복수의 파라미터 값들 (예를 들어, 에칭 깊이 및 임계 치수) 을 포함할 수도 있다.
모델을 생성하도록, 트레이닝 세트는 프로세스 블록 (405) 에서 지시된 것처럼 실험적으로 또는 연산적으로 준비되어야 한다. 특정한 실시예들에서, 프로세스는 적어도 약 50 개의 멤버들, 또는 적어도 약 100 개의 멤버들, 또는 적어도 약 200 개의 멤버들, 또는 적어도 약 500 개의 멤버들의 트레이닝 세트를 쓴다. 트레이닝 세트 멤버들은 타겟 기하학적 파라미터와 그러한 기하학적 파라미터로부터 생성된 광 신호들 사이의 관계를 발전시키도록 총괄하여 (collectively) 사용된다.
그렇지 않으면 복수의 시간 단계들에 걸쳐 프로파일들의 세트를 생성하는 (실험적 또는 연산적) 단일 실행 (run) 은 모델을 생성하도록 시뮬레이팅된 DOE (design of experiments) 의 중심-지점으로 사용될 수 있다. 이 접근에서, 일련의 변경들은 개별적인 기하학적 변수들의 영향들 및 광 반사율 상의 그것들의 교차 항목들 (cross terms) 을 캡처하도록 노력하는 DOE 방식에서 기하학적 변수들을 변화시킴으로써 프로파일들에 적용된다. 변경된 프로파일 각각은 대응하는 광 반사율을 얻도록 광 모델 (예를 들어, RCWA) 계산을 거친다. 광 반사율들 및 기하학적 변수들의 결과적인 세트는 목표된 기하학적 변수와 가장 상관되는 파장들의 범위의 선택을 좁히도록 PCA 및/또는 PLS에서 사용될 수 있다.
특정한 실시예들에서, 모델을 생성하도록 트레이닝 세트를 사용하기 전에, 방법은 타겟 기하학적 파라미터와 강하게 상관되고 비-타겟 기하학적 파라미터들과 약하게 상관된 광 신호 값들의 서브세트를 식별한다. 프로세스 흐름에 도시된 선택가능한 단계 (407) 를 참조하라. 상기 설명된 바와 같이, 고려할 광 신호들의 범위를 좁히는 것은 타겟 기하학적 파라미터의 보다 신뢰할 수 있는 결정 및/또는, 예를 들어, 상대적으로 적은 연산 리소스를 소비함으로써 그렇게 보다 빨리 하는 것과 같은 다양한 이점들을 가질 수도 있다.
광 신호 값들의 범위가 블록 (407) 에 예시된 것처럼 좁혀지는 것을 가정하면, 프로세스는 그 후 광 신호 값들의 식별된 범위 밖의 데이터를 제거하도록 트레이닝 세트를 선택가능하게 필터링한다. 블록 (409) 을 참조하라. 또 다른 접근에서, 모델 생성 프로세스는 트레이닝 세트에 대한 추가적인 데이터 지점들을 단순히 생하고, 이러한 추가적인 데이터 지점들이 동작 (407) 에서 식별된 범위 내의 광 신호 값들을 갖는다.
선택가능한 단계들 (407 및 409) 이 수행되는지에 관계없이, 프로세스는 블록 (411) 에 지시된 것처럼 타겟 기하학적 파라미터 값들을 광 신호 값들에 관계시키는 모델을 생성하도록 트레이닝 세트를 결국 사용한다. 신경망들 및 부분 최소 자승을 포함하는 회귀 기법들을 포함하는, 상기 기술된 것들과 같은, 모델을 생성하기 위한 다양한 기법들이 채용될 수도 있다.
표면 키네틱 모델들을 포함하는 에칭 프로파일 모델
상기 언급된 바와 같이, 에칭 기하학적 구조 값들을 물리적 및/또는 화학적 에칭 조건들에 관련시키는, 에칭 프로파일 모델은 에칭기에 대한 인시츄 계측 시스템에서 사용된 모델을 생성하도록 데이터를 생성하는 것을 포함하는 다양한 목적들을 위해 사용될 수도 있다. 에칭 프로파일 모델의 맥락에서, 에칭 프로파일은 반도체 기판 상의 에칭된 피처의 모양을 특징화하는데 사용될 수도 있는 하나 이상의 기하학적 좌표들의 세트에 대한 값들의 임의의 세트를 지칭한다. 단순한 경우에서, 에칭 프로파일은 피처를 통해 2차원 수직 단면 슬라이스 (slice) 통해 보여진 피처의 베이스의 중간 (피처의 베이스 (또는 하단) 와 기판의 표면 상의 피처의 상단 개구 사이의 중간지점) 까지 결정된 피처의 폭으로 근사화될 수 있다. 보다 복잡한 예에서, 에칭 프로파일은 같은 2차원 수직 단면 슬라이스를 통해 보여진 피처의 베이스 위의 다양한 상승부들 (elevations) 에서 결정된 일련의 피처 폭들일 수도 있다.
상기 언급한 바와 같이, 이러한 폭은 "임계 치수" 로 지칭될 수도 있고 피처의 베이스로부터 상승부는 소위 임계치수의 높이 또는 z-좌표로 지칭될 수도 있다. 에칭 프로파일은, 보우, 직선 또는 테이퍼된 측벽, 라운드된 하단, 패싯들 등과 같은 통상적인 에칭 프로파일을 규정하는 특징 형상 파라미터들의 그룹 또는 사다리꼴들 또는 삼각형들과 같은 형상들의 스택 또는 공통 원점 (common origin) 으로부터 벡터들의 그룹에 의해서와 같이 다른 기하학적 참조들로 나타내어 질 수도 있다.
이 방식에서, 일련의 기하학적 좌표들 (예를 들어, 상이한 상승부들에서 피처 폭들) 피처의 프로파일의 불연속적 묘사 (discretized portrayal) 를 보여준다 (maps out). 상이한 상승부들에서 피처 너비를 나타내는 일련의 좌표들을 표현하는 많은 방법들이 있다는 것에 주의하라. 예를 들어, 좌표 각각은 (평균 피처 폭, 또는 수직으로 평균된 피처 폭과 같은) 일부 베이스라인 피처 폭으로부터 부분 편차 (fractional deviation) 를 나타내는 값을 가질 수도 있고, 또는 좌표 각각은 수직으로 인접한 좌표 등으로부터 변화를 나타낼 수도 있다. 아무튼, "폭" 또는 "임계 치수" 로 지칭될 것 및, 일반적으로 에칭 프로파일을 나타내는데 사용된 프로파일 좌표들의 세트에 사용될 스킴 (scheme) 는 맥락 및 사용법으로부터 분명해질 것이다. 그 발상은 좌표들의 세트가 피처의 에칭된 프로파일의 형상을 나타내는데 사용된다는 것이다. 일련의 기하학적 좌표들은 또한, 기판 표면 상의 트렌치 또는 에칭된 실린더의 형상과 같은, 에칭된 프로파일 또는 다른 기하학적 특징의 완전한 3차원 형상을 기술하는데 사용될 수 있다는 점에 또한 주의해야 한다. 그리하여, 일부 실시예들에서, 에칭 프로파일 모델은 모델링될 피처의 완전한 3-D 에칭 형상을 제공할 수도 있다.
에칭 프로파일 모델들은 아래의 물리적 및 화학적 에칭 프로세스들 및 반응 메카니즘들을 특징지어주는 입력 에칭 반응 파라미터들 (독립 변수들) 의 세트로부터 에칭 프로파일을 계산한다. 이러한 프로세스들은 에칭될 피처들 및 그것들의 주변들 (surroundings) 을 나타내는 격자에서 시간 및 위치의 함수로 모델링된다. 입력 파라미터들의 예들은 이온 플럭스 (ion flux) 및 특정한 화학 반응이 일어날 확률과 같은 화학 반응 파라미터들과 같은 플라즈마 파라미터들을 포함한다. 이러한 파라미터들 (및 구체적으로는, 일부 실시예들에서, 플라즈마 파라미터들) 은 압력, 기판 온도, 플라즈마 소스 파라미터들 (예를 들어, 전력, 주파수들, 플라즈마 소스에 제공되는 듀티 사이클들 (duty cycles)), 반응물들, 및 반응물들의 플로우 레이트들과 같은 프로세스 조건들 및 일반적인 반응기 구성들 (configurations) 로부터 파라미터들을 계산하는 다른 모델들을 포함하는, 다양한 소스들에서 얻을 수도 있다. 일부 실시예들에서, 그러한 모델은 에칭 프로파일 모델의 일부분이다.
설명된 바와 같이, 에칭 프로파일 모델들은 반응 파라미터들을 독립 변수들로 취하고 에칭 프로파일들을 응답 변수들로 생성한다. 다시 말해서, 독립 변수들의 세트는 모델에 대한 입력들로 사용된 물리적/화학적 프로세스 파라미터들이고, 응답 변수들은 그 모델에 의해 계산된 에칭 프로파일 피처들이다. 에칭 프로파일 모델들은 반응 파라미터들과 에칭 프로파일 사이의 하나 이상의 관계들을 채용한다. 그 관계는, 예를 들어, (반응 파라미터들 및/또는 다른 모델 파라미터들의 선형 함수들, 2 차 이상의 다항 함수들 등에 더하여) 에칭 프로파일들에 관계된 응답 변수들을 생성하도록 규정된 방식들 내의 독립 변수들에 적용되는 계수들, 가중치들 (weightings) 및/또는 다른 모델 파라미터들을 포함할 수도 있다. 이러한 가중치들, 계수들 등은 상기 기술된 하나 이상의 반응 파라미터들을 나타낼 수도 있다.
일부 에칭 프로파일 모델들은 기본 반응 기계적 파라미터들 (fundamental reaction mechanistic parameters) 로 특징지어질 수도 있고 근본적인 화학 및 물리학에 기본으로 보여질 수도 있는 독립 변수들을 채용하고 그 결과 실험적인 프로세스 엔지니어는 일반적으로 이러한 양들을 제어할 수 없다. 에칭 프로파일 모델에서, 이러한 변수들은 격자 위치 각각에서 그리고 규정된 시간 단계들에 의해 나누어진 복수의 시간들에서 적용된다. 일부 구현예들에서, 격자 해상도는 약 수 옹스트롬과 약 수 마이크로미터 사이에서 가변할 수도 있다. 일부 구현예들에서, 시간 단계들은 약 10-15 초와 약 10-10 초 사이에서 가변할 수도 있다. 특정한 실시예들에서, 모델은 두 가지 유형의 기계적인 독립 변수들을 쓴다 : (1) 로컬 (local) 플라즈마 파라미터들 및 (2) 로컬 화학적 반응 파라미터들. 이러한 파라미터들은 그것들이, 일부 경우들에서 격자의 해상도까지, 위치의 함수로 가변시킬 수도 있다는 의미에서, "로컬" 이다. 플라즈마 파라미터들의 예들은 플럭스들과 같은 로컬 플라즈마 특성들, 이온들, 라디칼들, 광자들 (photons), 전자들, 여기된 종들 (excited species), 증착기 종들 (depositor species) 과 같은 입자들의 에너지들 및 그것들의 에너지와 각도 분포들 (angular distributions) 등을 포함한다. 화학적 및 물리-화학적 (physico-chemical) 반응 파라미터들의 예들은 레이트 상수들 (예를 들어, 특정한 화학 반응이 특정한 시간에 일어날 확률들), 부착 (sticking) 계수들, 에칭에 대한 에너지 문턱 (threshold), 기준 (reference) 에너지, 스퍼터 수율들 (sputter yields) 을 정의하기 위한 에너지 지수 (exponent), 각도 수율 (angular yield) 함수들 및 그 파라미터들 등을 포함한다. 나아가, 파라미터화된 화학 반응들은 반응물들이 에칭될 재료와 에천트를 포함하는 반응들을 포함한다. 화학 반응 파라미터들은 기판을 직접 에칭하는 반응들에 더하여 다양한 유효ㅇ의 반응들을 포함할 수도 있다는 것이 이해되어야 한다. 이러한 반응들의 예들은 기생 반응들 (parasitic reactions), 증착 반응들, 부산물 (by-products) 의 반응들 등을 포함하는 부반응들 (side reactions) 을 포함한다. 이러한 것들 모두는 전체 에칭 레이트에 영향을 미칠 수도 있다. 모델이 상기-언급된 플라즈마 및 화학 반응 입력 파라미터들에 더하여 다른 입력 파라미터들을 요구할 수도 있다는 것이 또한 이해되어야 한다. 이러한 다른 파라미터들의 예들은 반응 부위들 (sites) 에서의 온도, 부분 압력 또는 반응물들 등을 포함한다. 일부 경우들에서, 이러한 및/또는 다른 비-기계적인 파라미터들은 기계적인 파라미터들 일부를 출력하는 모듈 내의 입력일 수도 있다.
일부 실시예들에서, 독립 변수들에 대한 값들은 문헌과 같은 다양한 소스들, 다른 연산 모듈들 또는 모델들에 의한 계산들 등을 통해 얻어진다. 일부 실시예들에서, 독립 변수들-플라즈마 파라미터들과 같은-은, 플라즈마 파라미터들의 경우에 대해, 에칭 챔버 플라즈마 모델로부터와 같은 모델을 사용함으로써 결정될 수도 있다. 이러한 모델들은 프로세스 엔지니어가 (예를 들어, 손잡이를 돌림으로써) 제어하는 다양한 프로세스 파라미터들-예를 들어 압력, 플로우 레이트, 플라즈마 전력, 웨이퍼 온도, ICP 코일 전류들, 바이어스 전압/전력, 펄싱 주파수, 펄스 듀티 사이클 (pulse duty cycle), 및 유사한 것-로부터 적용가능한 입력 에칭 프로파일 모델 파라미터들을 계산할 수도 있다.
에칭 프로파일 모델을 실행할 때, 일부 독립 변수들은 실험들을 수행하는데 사용되는 알려지거나 예상된 파라미터 값들로 설정될 수도 있다. 예를 들어, 플라즈마 파라미터들은 모델링된 도메인 내의 위치들에서 알려지거나 예상된 값들로 고정될 수도 있다. 다른 독립 변수들은 튜닝되는 (tuned) 파라미터들이다. 예를 들어, 화학 반응 파라미터들은 튜닝될 수도 있다. 그리하여, 주어진 측정된 실험적인 에칭 프로파일에 대응하는 일련의 실행들에서, 모델 파라미터들은 모델을 가장 최적화하도록 이러한 파라미터들의 값들을 선택하는 방법을 설명하기 위해 가변된다. 다른 실시예들에서, 플라즈마 및 화학 반응 파라미터들은 미리 알려진다.
에칭 프로파일 모델들은 임의의 많은 상이한 형태들을 가질 수도 있다. 결국, 그것들은 독립 변수들과 종속 (또는 응답) 변수들 사이의 관계를 제공한다. 그 관계는 선형적 또는 비선형적일 수도 있다. 일반적으로, 에칭 프로파일 모델은 기술분야에서 셀-기반 몬테 카를로 표면 반응 모델 (cell-based Monte Carlo surface reaction model) 로 지칭되는 것이다. 이러한 모델들은, 다양한 형태로, 반도체 웨이퍼 제조의 맥락에서 시간에 걸친 웨이퍼 피처의 토포그래픽 진전 (topographical evolution) 을 시뮬레이팅하도록 동작한다. 모델은 플라즈마 모델 또는 웨이퍼 상의 임의의 방사상 위치들에 대한 실험적인 진단들에 의해 생성된 각도 분포들 및 에너지를 가지고 의사-입자들 (pseudo-particles) 을 내보낸다 (launch). 의사-입자들은 표면에 대한 이온들 및 라디칼들의 플럭스들을 나타내도록 통계적으로 가중된다 (weighted). 모델들은 프로파일 진전을 예측하도록 표면 상에 에칭, 스퍼터링, 혼합, 및 증착을 발생시키는 다양한 표면 반응 메카니즘들을 처리한다 (address). 몬테 카를로 적분 동안, 다양한 이온 및 중성 의사-입자들의 궤적들은 이들이 연산 영역 (domain) 을 떠나거나 반응할 때까지 웨이퍼 피처 내에서 추적된다. 에칭 프로파일 모델은 다양한 재료 상에 에칭, 스트리핑 (stripping), 원자층 에칭 (atomic layer etching), 이온화된 금속 물리 기상 증착 (ionized metal physical vapor deposition), 및 플라즈마 강화된 화학 기상 증착 (plasma enhanced chemical vapor deposition) 의 특징들을 예측할 수도 있다. 일부 실시예들에서, 에칭 프로파일 모델은 2차원 또는 3차원 직선의 메쉬 (rectilinear mesh), 웨이퍼 피처의 치수들 (dimensions) 을 적절히 처리/모델링하기 충분히 좋은 해상도를 가지는 메쉬를 활용한다 (하지만, 원칙적으로, (2차원이든 3차원이든) 메쉬는 비-직선형 좌표도 활용할 수 있다). 메쉬는 2차원 또는 3차원에서 격자-지점들의 어레이로 보여질 수도 있다. 메쉬는 또한 격자-지점 각각과 연관된 (격자-지점 각각의 중심에서), 3D의 체적 (volume) 또는 2D의 로컬 면적을 나타내는 셀들의 어레이로 보여질 수도 있다. 메쉬 내의 셀 각각은 상이한 고체 재료 또는 재료들의 혼합물을 나타낼 수도 있다. 2D 또는 3D 메쉬가 모델링에 대한 기초로 선택되는지는 모델링될 웨이퍼 피처의 클래스/유형에 의존할 수도 있다. 예를 들어, 2D 메쉬는 긴 트렌치 피처 (예를 들어, 폴리실리콘 기판) 를 모델링하도록 사용될 수도 있고, 트렌치의 단부들 (ends) 의 기하학적 구조가 그 단부들로부터 떨어진 트렌치의 길이의 대부분 아래에서 일어나는 반응 프로세스들에는 너무 관련이 없다는 가정 (즉, 이 단면 2D 모델의 목적들을 위해, 트렌치는 무한하다고 가정되고, 다시 그것의 단부들로부터 떨어진 트렌치 피처에 대한 합리적인 가정) 하에, 트렌치의 단면 모양을 기술한다. 반면에, (피처의 x, y 수평적 치수들이 서로 동등하기 때문에) 3D 메쉬를 사용하여 원형의 비아 피처 (circular via feature) (TSV (through-silicon via)) 를 모델링하는 것이 적절할 수도 있다.
메쉬 간격 (spacing) 은 나노미터 미만부터 (예를 들어, 1 옹스트롬부터) 수 마이크로미터 (예를 들어, 10 마이크로미터) 범위일 수도 있다. 일반적으로, 메쉬 셀 각각은 재료 아이덴티티, 예를 들어, 프로파일 진전 중에 변화할 수도 있는 포토레지스트, 폴리실리콘, (예를 들어, 피처에 의해 점유되지 않는 공간적인 영역에서) 플라즈마에 할당되어 있다. 고체 상 (solid phase) 종들은 연산 셀의 아이덴티티에 의해 나타내어지고; 가스 상 (gas phase) 종들은 연산 의사-입자들에 의해 나타내어진다. 이 방식으로, 메쉬는 웨이퍼 피처의 기하학적구조/토폴로지 (topology) 가 반응 에칭 프로세스 내에서 시간에 걸쳐 진전함에 따른 주변 가스 분위기 (예를 들어, 플라즈마) 및 웨이퍼 피처의 (예를 들어, 연산 목적들에 대한) 상세한 설명을 합리적으로 제공한다.
이전 절에 제시된 에칭 프로파일 모델들을 트레이닝하고 최적화하기 위해, 다양한 실험들은 에칭 프로세스 파라미터들의 다양한 세트들에 의해 명시된 것처럼 다양한 프로세스 조건들 하에 수행되는 실제 에칭 프로세스들로부터 발생하는 실제 에칭 프로파일들을-실험이 허용하는 한 정확하게-결정하기 위해 수행될 수도 있다. 그리하여, 예를 들어, 일 실험은 에칭 프로세스 파라미터들-에천트 플로우 레이트, 플라즈마 전력, 온도, 압력 등과 같은-의 세트에 대한 값들의 제 1 세트를 명시하고, 그에 따라 에칭 챔버 장치를 셋업하고, 에천트를 챔버 내로 흘리고, 플라즈마를 스트라이킹 하는 등 그리고 제 1 에칭 프로파일을 생성하도록 제 1 반도체 기판의 에칭을 계속한다. 일 실험은 그 후 에칭 프로세스 파라미터들의 같은 세트에 대한 값들의 제 2 세트를 명시하고, 제 2 에칭 프로파일을 생성하도록 제 2 기판을 에칭하고, 등등 한다.
프로세스 파라미터들의 다양한 조합들은 에칭 프로파일 모델을 훈련하도록 적절하게 넓거나 집중된 프로세스 공간을 제시하는데 사용될 수도 있다. 동일한 조합들의 프로세스 파라미터들은 나중에 실험적인 결과들에 비교될 수 있는 에칭 프로파일 출력들 (응답 변수들) 을 제공하도록 에칭 프로파일 모델에 대한 기계적인 파라미터들과 같은 (독립) 입력 파라미터들을 계산하는데 그 후 사용된다. 실험은 비용이 많이 들고 시간소모적일 수 있기 때문에, 기법들은 에칭 프로파일 모델을 최적화하기 위한 강력한 (robust) 트레이닝 세트를 제공하도록 수행되는데 필요한 실험들의 수를 줄이는 방식으로 실험들을 설계하는데 쓰일 수 있다. DOE (design of experiments) 와 같은 기법들은 이러한 목적을 위해 쓰일 수도 있다. 일반적으로, 이러한 기법들은 다양한 실험들에서 사용될 프로세스 파라미터들의 세트를 결정한다. 그것들은 프로세스 파라미터들, 랜덤화 (randomization), 및 유사한 것 사이의 통계적인 상호 작용들을 고려함으로써 프로세스 파라미터들의 조합들을 선택한다. 예로서, DOE는 완결되어진 프로세스의 중심 지점들 근처의 파라미터들의 제한된 범위를 포함하는 (covering) 적은 수의 실험들을 식별할 수도 있다.
통상적으로, 연구원은 모델 최적화 프로세스 초기의 모든 실험들을 수행할 것이고 수렴 때까지 최적화 루틴 반복들 내의 이러한 실험들만을 사용할 것이다. 그렇지 않으면, 실험 디자이너는 최적화의 초기 반복들에 대한 일부 실험들 및 나중에 최적화가 진행됨에 따라 추가적인 실험들을 수행할 수도 있다. 최적화 프로세스는 실험 디자이너에게 평가될 특정한 파라미터들을 알릴 수도 있고 따라서 나중의 반복들을 위해 실행될 특정한 실험들을 알릴 수도 있다.
하나 이상의 인시츄 또는 오프라인 계측 툴들이 이러한 실험적인 에칭 프로세스 동작들로부터 발생하는 실험적인 에칭 프로파일들을 측정하는데 사용될 수도 있다. 측정치들은 에칭 프로세스들의 끝에서, 에칭 프로세스들 동안, 또는 에칭 프로세스들 동안 하나 이상의 시간들에서 만들어질 수도 있다. 측정치들이 에칭 프로세스의 끝에서 만들어지는 때, 측정 방법론은 해를 끼칠 수도 있고, 에칭 프로세스 동안 간격을 두고 만들어지는 때, 측정 방법론은 일반적으로 해를 끼치지 않을 것이다 (그래서 에칭을 방해하지 않는다). 적절한 계측 기법들의 예들은, 이로 제한되는 것은 아니지만, LSR, OCD, 및 단면 SEM을 포함한다. 계측 툴이, (실험이 기본적으로 피처의 에칭 프로파일을 이미징하는) SEM의 경우와 같이, 직접적으로 피처의 프로파일을 측정할 수도 있고, 또는 (일부 후반-프로세싱이 실제 측정된 데이터로부터 피처의 에칭 프로파일을 제거하도록 (back-out) 이루어진) OCD의 경우와 같이, 간접적으로 피처의 에칭 프로파일을 결정할 수도 있다.
아무튼, 계측 절차들 및 에칭 실험들의 결과는, 각각이 상기 기술된 바와 같이 피처의 프로파일의 형상을 나타내는 격자 값들의 세트 또는 일련의 좌표들에 대한 일련의 값들을 일반적으로 포함하는, 측정된 에칭 프로파일들의 세트이다. 에칭 프로파일들은 그 후 이하에 기술된 바와 같이 연산된 에칭 프로파일 모델들을 트레이닝하고, 최적화하고, 그리고 개선하도록 입력으로 사용될 수도 있다.
연산된 에칭 프로파일 모델들의 애플리케이션들
특정한 실시예들에서, 에칭 프로파일 모델은 에칭기 장치와 또는 하나 이상의 에칭기 장치들을 배치하는 반도체 제조 설비의 기반시설 내로 통합될 수도 있다. 에칭 프로파일 모델은 목표된 에칭 프로파일을 제공하도록 또는 에칭 프로파일 상의 프로세스 파라미터들의 변화의 영향을 이해하도록 프로세스 파라미터들에 적절한 조정들을 결정하는데 사용될 수도 있다. 따라서, 예를 들어, 제조 설비 내에서 반도체 기판들을 프로세싱하기 위한 시스템은 동작이 에칭 프로파일 모델을 구현하는 제어기에 의해 제어된 독립 입력 파라미터들의 세트에 의해 조정되는 반도체 기판들을 에칭하기 위한 에칭기 장치를 포함할 수도 있다. 이하에 기술된 바와 같이, 에칭기 장치의 동작을 제어하기 위한 적합한 제어기는 전형적으로 프로세서 및 메모리를 포함하고, 메모리는 에칭 프로파일 모델을 저장하고, 프로세서는 입력 프로세스 파라미터들의 세트의 값들의 미리결정된 세트에 대한 에칭된 피처 프로파일들을 연산하도록 저장된 에칭 프로파일을 사용한다. 프로파일의 연산 후에, 제어기는 (연산된 프로파일의 형상에 응답하여) 독립 입력 파라미터들의 세트의 하나 이상의 값들을 가변함으로써 에칭기 장치의 동작을 조정할 수도 있다.
일반적으로, 개시된 에칭 프로파일 모델들과 함께 사용될 수도 있는 에칭기 장치는 반도체 기판들의 표면으로부터 재료를 제거함으로써 반도체 기판들을 에칭하기에 적합한 임의의 종류의 반도체 프로세싱 장치일 수도 있다. 일부 실시예들에서, 에칭기 장치는 ICP (inductively-coupled plasma) 반응기를 구성할 수도 있고; 일부 실시예들에서, 그것은 CCP (capacitively-coupled plasma) 반응기를 구성할 수도 있다. 따라서, 이러한 개시된 에칭 프로파일 모델들과 함께 사용을 위한 에칭기 장치는 프로세싱 챔버, 프로세싱 챔버 내의 기판을 홀딩하기 위한 기판 홀더, 및 프로세싱 챔버 내에 플라즈마를 생성하기 위한 플라즈마 생성기를 가질 수도 있다. 장치는 프로세싱 챔버로 하나 이상의 프로세스 가스들을 흘리기 위한 하나 이상의 벨브로 제어된 프로세스 가스 유입부들, 프로세싱 챔버로부터 가스들을 배기하기 위한 하나 이상의 진공 펌프들과 유체적으로 (fluidically) 연결된 하나 이상의 가스 유출부들 등을 더 포함할 수도 있다. (에칭 반응기들, 또는 플라즈마 에칭 반응기들 등을 또한 지칭하는) 에칭기 장치들에 관한 더 세부적인 사항들은 이하에 제공된다.
에칭 동작들 내의 사용을 위한 CCP (Capacitively Coupled Plasma) 반응기들
CCP (Capacitively coupled plasma) 반응기들은, 각각이 모든 목적들을 위해 그 전체가 참조로서 본 명세서에서 인용된, "ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON-CONTACT PARTICLE SEAL" 이란 명칭으로 미국 특허 출원 제 12/367,754 호로 2009년 2월 9일에 출원된 미국 특허 제 8,552,334 호에서, 그리고 "ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS" 이란 명칭으로 2014년 11월 12일에 출원된 미국 특허 출원 제 14/539,121 호에서 기술된다. 특정한 실시예들에서, 용량적으로 결합된 반응기는 엔드 포인트 검출 또는 다른 제어 또는 모니터링 동작을 위해 에칭 기하학적 모델을 사용하여 기판 에칭을 실행한다.
도 5a 내지 도 5c는 조정가능한 갭 용량성으로 결합된 한정된 RF 플라즈마 반응기 (adjustable gap capacitively coupled confined RF plasma reactor) (500)의 실시예를 예시한다. 도시된 바와 같이, 진공 프로세싱 챔버 (502) 는 하부 전극 (506) 을 하우징하는 내부 공간을 둘러싸는 챔버 하우징 (504) 을 포함한다. 챔버 (502) 의 상부 영역에서, 상부 전극 (508) 은 하부 전극 (506) 으로부터 떨어져 수직으로 이격되어 있다. (플라즈마 생성을 위해 사용되도록 구성된) 상부 및 하부 전극들 (508, 506) 의 평면의 표면들은 실질적으로 평행하고 전극들 사이의 수직 방향에 직각이다. 특정한 실시예들에서, 상부 및 하부 전극들 (508, 506) 은 원형이고 수직축에 대하여 등축 (coaxial) 이다. 상부 전극 (508) 의 하부 표면은 하부 전극 (506) 의 상부 표면과 마주본다 (faces). 이격되어 떨어져 마주보는 전극 표면들은 그 사이에 조정가능한 갭 (510) 을 규정한다. 플라즈마 생성 동안, 하부 전극 (506) 은 RF 전력 공급부 (매치) (520) 에 의해 RF 전력을 공급받는다. RF 전력은 RF 공급 도관 (522), RF 스트랩 (524) 및 RF 전력 부재 (526) 를 통해 하부 전극 (506) 에 공급된다. 접지 차폐부 (grounding shield) (536) 는 하부 전극 (506) 에 보다 균일한 RF 장 (field) 을 제공하도록 RF 전력 부재 (526) 를 둘러쌀 수도 있다. (모든 목적들을 위해 그 전체가 참조로서 본 명세서에서 인용된) 미국 특허 공보 제 2008/0171444 호에서 기술된 바와 같이, 웨이퍼는 프로세싱을 위해 웨이퍼 포트 (582) 를 통해 삽입되고 하부 전극 (506) 상의 갭 (510) 내에 지지되고, 프로세스 가스가 갭 (510) 에 공급되고 RF 전력에 의해 플라즈마 상태로 여기된다. 상부 전극 (508) 은 전력공급되거나 접지될 수 있다.
도 5a 내지 도 5c에서 도시된 실시예에서, 하부 전극 (506) 은 하부 전극 지지 판 (516) 상에 지지된다. 하부 전극 (506) 과 하부 전극 지지 판 (516) 사이에 개재된 (interposed) 절연체 링 (insulator ring) (514) 은 지지 판 (516) 으로부터 하부 전극 (506) 을 절연한다. RF 바이어스 하우징 (530) 은 RF 바이어스 하우징 보울 (RF bias housing bowl) (532) 상의 하부 전극 (506) 을 지지한다. 보울 (532) 은 RF 바이어스 하우징 (530) 의 암 (arm) (534) 에 의해 도관 지지 판 (538) 에 챔버 벽 판 (518) 내의 개구 (opening) 를 통해 연결된다. 바람직한 실시예에서, RF 바이어스 하우징 보울 (532) 및 RF 바이어스 하우징 암 (534) 은 하나의 컴포넌트로 일체로 형성되나, 암 (534) 및 보울 (532) 은 또한 함께 볼트로 죄어진 (bolted) 또는 결합된 두 개의 별개의 컴포넌트들일 수 있다.
RF 바이어스 하우징 암 (534) 은, 가스 냉각수, 액체 냉각수, RF 에너지, 리프트 핀 (lift pin) 제어, 하부 전극 (506) 의 뒤쪽 상의 공간에서 진공 챔버 (502) 외부로부터 진공 챔버 (502) 내로 신호들을 액추에이팅 및 전기적인 모니터링 하기 위한 케이블들과 같은, RF 전력 및 설비들을 통과하기 위한 하나 이상의 빈 통로들을 포함한다. RF 공급 도관 (522) 은 RF 바이어스 하우징 암 (534) 으로부터 절연되고, RF 바이어스 하우징 암 (534) 은 RF 전력 공급부 (520) 로의 RF 전력에 대한 반환 경로를 제공한다. 설비 도관 (540) 은 설비 컴포넌트들에 대한 통로를 제공한다. 설비 컴포넌트들의 세부 사항들은 미국 특허 제 5,948,704 호 및 미국 특허 공보 제 2008/0171444 호 (양자는 모든 목적들을 위해 그 전체가 참조로서 본 명세서에서 인용된다) 에서 기술되고 기술의 간단함을 위해 본 명세서에는 보여지지 않는다. 갭 (510) 은 한정 링 어셈블리 (confinement ring assembly) (미도시) 에 의해 바람직하게는 둘러 싸여 있고, 그 세부사항들은 (모든 목적들을 위해 그 전체가 참조로서 본 명세서에서 인용된) 미국 특허 공보 제 2007/0284045 호에서 찾을 수 있다.
도관 지지 판 (538) 은 액추에이션 메카니즘 (542) 에 부착된다. 액추에이션 메카니즘의 세부사항들은 (모든 목적들을 위해 그 전체가 참조로서 본 명세서에서 인용된) 미국 특허 공보 제 2008/0171444 호에 기술된다. 서보 기계 (servo mechanical) 모터, 스테퍼 (stepper) 모터 또는 유사한 것과 같은 액추에이션 메카니즘 (542) 은, 예를 들어, 볼 스크류와 같은 스크류 기어 (546) 와 볼 스크류를 회전시키기 위한 모터에 의해, 수직 선형 베어링 (544) 에 부착된다. 갭 (510) 의 크기를 조정하기 위한 동작 동안, 액추에이션 메카니즘 (542) 은 수직 선형 베어링 (544) 을 따라 이동한다 (travels). 도 5a는 액추에이션 메카니즘 (542) 이 작은 갭 (510a) 을 발생시키는 수직 선형 베어링 (544) 의 높은 위치에 있을 때의 배열을 예시한다. 도 5b는 액추에이션 메카니즘 (542) 이 수직 선형 베어링 (544) 의 중간-위치에 있을 때의 배열을 예시한다. 도시된 바와 같이, 하부 전극 (506), RF 바이어스 하우징 (530), 도관 지지 판 (538), RF 전력 공급부 (520) 모두는 챔버 하우징 (504) 및 상부 전극 (508) 에 대하여 낮게 이동되어, 중간 크기 갭 (510b) 을 발생시킨다.
도 5c는 액추에이션 메카니즘 (542) 이 선형 베어링 상에 낮은 위치에 있을 때의 큰 갭 (501c) 을 예시한다. 바람직하게는, 상부 및 하부 전극들 (508, 506) 은 갭 조정 동안 등축으로 남고 갭을 가로질러 상부 및 하부 전극들의 마주보는 표면들은 평행하게 남는다.
이 실시예는 멀티-단계 에칭 프로세스들 동안 CCP 챔버 (502) 내의 상부 및 하부 전극들 (508, 506) 사이의 갭 (510) 으로 하여금, 예를 들어, 300 ㎜ 웨이퍼와 같은 큰 직경 기판 또는 편평한 패널 디스플레이들을 가로질러 균일한 에칭을 유지하기 위해, 조정되도록 한다. 특히, 이 실시예는 하부 및 상부 전극들 (506, 508) 사이의 조정가능한 갭을 제공하는데 필요한 선형 운동을 용이하게 하도록 기계적 배열에 관계가 있다.
도 5a는 도관 지지 판 (538) 에 가까운 단부 (proximate end) 에서 그리고 챔버 벽 판 (518) 의 단차된 플랜지 (flange) (528) 에 먼 단부 (distal end) 에서 시일링된 측방향으로 편향된 벨로우즈 (laterally deflected bellows) (550) 를 도시한다. 단차된 (stepped) 플랜지의 내측 직경은 RF 바이어스 하우징 암 (534) 이 통과하는 챔버 벽 판 (518) 내의 개구 (512) 를 규정한다. 측방향으로 편향된 벨로우즈 (550) 는 RF 바이어스 하우징 (530), 도관 지지 판 (538) 및 액추에이션 메카니즘 (542) 의 수직 움직임을 허용하는 동안 진공 시일을 제공한다. RF 바이어스 하우징 (530), 도관 지지 판 (538) 및 액추에이션 메카니즘 (542) 은 캔틸레버 (cantilever) 어셈블리로 지칭될 수 있다. 바람직하게는, RF 전력 공급부 (520) 는 캔틸레버 어셈블리와 함께 이동하고 도관 지지 판 (538) 에 부착될 수 있다. 도 5b는 캔틸레버 어셈블리가 중간-위치에 있을 때 중립 위치의 벨로우즈 (550) 를 도시한다. 도 5c는 캔틸레버 어셈블리가 낮은 위치에 있을 때 벨로우즈 (550) 가 측방향으로 편향된 것을 도시한다.
래버린스 (labyrinth) 시일 (548) 은 벨로우즈 (550) 와 플라즈마 프로세싱 챔버 하우징 (504) 의 내부 사이의 입자 배리어를 제공한다. 고정된 차폐부 (fixed shield) (556) 는 이동가능한 차폐부 판 (558) 이 캔틸레버 어셈블리의 수직 움직임을 수용하도록 (accommodate) 수직으로 움직이는 래버린스 그루브 (groove) (560) (슬롯) 를 제공하기 위해서 챔버 벽 판 (518) 에서 챔버 하우징 (504) 의 내측 벽 내부에 움직이지 않게 부착된다. 이동가능한 차폐부 판 (558) 의 외측 부분은 하부 전극 (506) 의 모든 수직 위치들에서 슬롯 내에 남는다.
도시된 실시예에서, 래버린스 시일 (548) 은 래버린스 그루브 (560) 를 규정하는 챔버 벽 판 (518) 의 개구 (512) 의 주변에서 챔버 벽 판 (518) 의 내측 표면에 부착된 고정된 차폐부 (556) 를 포함한다. 이동가능한 차폐부 판 (558) 은 부착되고 RF 바이어스 하우징 암 (534) 으로부터 방사상으로 (radially) 연장되고 (extends), 암 (534) 은 챔버 벽 판 (518) 내의 개구 (512) 를 통과한다 (passes through). 이동가능한 차폐부 판 (558) 은 고정된 차폐부 (556) 로부터 제 1 갭만큼 이격되어 있는 동안 그리고 캔틸레버 어셈블리로 하여금 수직으로 이동하게 하는 제 2 갭만큼 챔버 벽 판 (518) 의 안쪽 표면으로부터 이격되어 있는 동안 래버린스 그루브 (560) 안으로 연장된다. 래버린스 시일 (548) 은 벨로우즈 (550) 로부터 쪼개진 입자들이 진공 챔버 내부로의 이주 (migration) 를 차단하고 라디칼들이 그 후에 쪼개질 디파짓들 (deposits) 을 형성할 수 있는 벨로우즈 (550) 로 프로세스 가스 플라즈마로부터의 라디칼들이 이주하는 것을 차단한다.
도 5a는 캔틸레버 어셈블리가 높은 위치에 있을 때 (작은 갭 (510a)) RF 바이어스 하우징 암 (534) 위의 래버린스 그루브 (560) 내의 보다 높은 위치의 이동가능한 차폐부 판 (558) 을 도시한다. 도 5c는 캔틸레버 어셈블리가 낮은 위치에 있을 때 (큰 갭 (510c)) RF 바이어스 하우징 암 (534) 위의 래버린스 그루브 (560) 내의 낮은 위치의 이동가능한 차폐부 판 (558) 을 도시한다. 도 5b는 캔틸레버 어셈블리가 중간 위치에 있을 때 (중간 갭 (510b)) 래버린스 그루브 (560) 내의 중립 또는 중간 위치의 이동가능한 차폐부 판 (558) 을 도시한다. 래버린스 시일 (548) 이 RF 바이어스 하우징 암 (534) 에 대해 대칭적으로 도시되나, 다른 실시예들에서 래버린스 시일 (548) 은 RF 바이어스 암 (534) 에 대해 비대칭일 수도 있다.
에칭 동작들에서 사용을 위한 유도적으로 결합된 플라즈마 반응기들
ICP (inductively coupled plasma) 반응기들은 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING" 이란 명칭으로 2013년 12월 10일에 출원된 미국 특허 공보 제 2014/0170853 호, 그리고 "ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS" 이란 명칭으로 2014년 11월 12일에 출원된 미국 특허 출원 제 14/539,121 호에 기술되고, 각각은 모든 목적들을 위해 그 전체가 참조로서 본 명세서에서 인용된다.
예를 들어, 도 6은 본 명세서의 특정한 실시예들을 구현하기 위해 적절한 유도적으로 결합된 플라즈마 에칭 장치 (600) 의 단면도를 개략적으로 도시하고, 그 예는 캘리포니아 프레몬트의 램 리서치 코포레이션 (Lam Research Corp.) 에 의해 생산된 Kiyo™ 반응기이다. 유도적으로 결합된 플라즈마 에칭 장치 (600) 는 챔버 벽들 (601) 과 윈도우 (611) 에 의해 구조적으로 규정되는 전체 에칭 챔버를 포함한다. 챔버 벽들 (601) 은 스테인리스 강 또는 알루미늄으로부터 제조될 수도 있다. 윈도우 (611) 는 수정 또는 다른 유전체 재료로부터 제조될 수도 있다. 선택가능한 내부 플라즈마 격자 (650) 는 전체 에칭 챔버를 상부 서브-챔버 (602) 및 하부 서브-챔버 (603) 로 나눈다. 대부분의 실시예들에서, 플라즈마 격자 (650) 는 제거될 수도 있고, 그렇게 함으로써 서브-챔버들 (602 및 603) 로 만들어진 챔버 공간을 활용한다. 척 (617) 은 하단 내측 표면 근처의 하부 서브-챔버 (603) 내에 위치한다. 척 (617) 은 에칭 프로세스가 수행되는 반도체 웨이퍼 (619) 를 수용하고 홀딩하도록 구성된다. 척 (617) 은 존재할 때 웨이퍼 (619) 를 지지하기 위한 정전 척 (electrostatic chuck) 일 수 있다. 일부 실시예들에서, 에지 링 (edge ring) (미도시) 은 척을 둘러싸고, 그리고 척 (617) 위에 존재할 때 웨이퍼 (619) 의 상단 표면과 거의 평면인 상부 표면을 가진다. 척 (617) 은 또한 웨이퍼를 척킹 및 디척킹하기 (chucking and dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 는 이러한 목적을 위해 제공될 수도 있다. 척 (617) 으로부터 웨이퍼 (619) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (617) 은 RF 전력 공급부 (623) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (623) 는 연결부 (627) 를 통해 매칭 회로 (621) 와 연결된다. 매칭 회로 (621) 는 연결부 (625) 를 통해 척 (617) 과 연결된다. 이러한 방식으로, RF 전력 공급부 (623) 는 척 (617) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (611) 위에 위치한 코일 (633) 을 포함한다. 코일 (633) 은 전기 도전성 재료로부터 제조되고 적어도 하나의 완전한 턴 (complete turn) 을 포함한다. 도 6에 도시된 코일 (633) 의 예는 3 개의 턴들을 포함한다. 코일 (633) 의 단면은 기호들로 도시되고, "
Figure 112017041982882-pat00002
" 를 가지는 코일들이 페이지 밖으로 회전하며 연장되는 동안, "X" 를 가지는 코일들은 페이지 속으로 회전하며 연장된다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (633) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (641) 를 포함한다. 일반적으로, RF 전력 공급부 (641) 는 연결부 (645) 를 통해 매칭 회로 (639) 와 연결된다. 매칭 회로 (639) 는 연결부 (643) 을 통해 코일 (633) 에 연결된다. 이 방식으로, RF 전력 공급부 (641) 는 코일 (633) 에 연결된다. 선택가능한 페러데이 쉴드 (Faraday shield) (649) 는 코일 (633) 과 윈도우 (611) 사이에 위치한다. 페러데이 쉴드 (649) 는 코일 (633) 에 대해 이격된 관계로 유지된다. 페러데이 쉴드 (649) 는 윈도우 (611) 바로 위에 배치된다. 코일 (633), 페러데이 쉴드 (649), 및 윈도우 (611) 는 서로 실질적으로 평행하게 각각 구성된다. 페러데이 쉴드 (649) 는 금속 또는 다른 종이 플라즈마 챔버의 유전체 윈도우 상에 증착하는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어 헬륨, 네온, 에천트, 등) 은 상부 챔버 내에 위치한 하나 이상의 메인 가스 플로우 주입부들 (660) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 주입부들 (670) 을 통해 프로세싱 챔버 내로 흐를 수도 있다. 마찬가지로, 명백하게 도시되지 않았으나, 유사한 가스 플로우 주입부들이 도 6a 내지 도 6c에 도시된 유도적으로 결합된 플라즈마 프로세싱 챔버에 프로세스 가스를 공급하는데 사용될 수도 있다. 진공 펌프는, 예를 들어 1 단계 또는 2 단계 기계식 건조 펌프 및/또는 터보분자 (turbomolecular) 펌프 (640), 프로세스 챔버 (624) 밖으로 프로세스 가스들을 인출하고 프로세스 챔버 (600) 내의 압력을 유지하는 데 사용될 수도 있다. 벨브로-제어된 (valve-controlled) 도관은 진공 펌프에 의해 제공된 진공 환경의 애플리케이션을 선택적으로 제어하기 위하여 프로세싱 챔버에 진공 펌프를 유체적으로 연결하는데 사용될 수도 있다. 이는, 동작 중인 플라즈마 프로세싱 동안 스로틀 밸브 (throttle valve) (미도시) 또는 진자 밸브 (pendulum valve) (미도시) 와 같은 폐-루프-제어 플로우 제한 디바이스 (closed-loop-controlled flow restriction device) 를 사용하여 이루어질 수도 있다. 마찬가지로, 도 5a 내지 도 5b의 용량성으로 결합된 플라즈마 프로세싱 챔버에 대해 진공 펌프 및 밸브 제어된 유체 연결부가 또한 사용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 입구들 (660 및/또는 670) 을 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스는 메인 가스 플로우 주입부 (660) 를 통해서만, 또는 측면 가스 플로우 입구 (670) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에서 도시된 가스 플로우 주입부들은, 예를 들어, 하나 이상의 복합 가스 플로우 주입부들, 하나 이상의 샤워헤드들로 교체될 수도 있다. 페러데이 쉴드 (649) 및/또는 선택가능한 격자 (650) 는 챔버로 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 페러데이 쉴드 (649) 및 선택가능한 격자 (650) 둘 중 하나 또는 모두는 프로세스 가스들의 전달을 위해 샤워헤드의 역할을 할 수도 있다.
RF 전력은 RF 전류로 하여금 코일 (633) 을 통해 흐르게 하도록 RF 전력 공급부 (641) 로부터 코일 (633) 에 공급된다. 코일 (633) 을 통해 흐르는 RF 전류는 코일 (633) 주위에 전자기장을 생성한다. 전자기장은 상부 서브-챔버 (602) 내에 유도 전류를 생성한다. 웨이퍼 (619) 와 다양하게 생성된 이온들 및 라디칼들의 물리적 및 화학적 상호 작용들은 웨이퍼의 피처들을 선택적으로 에칭한다.
상부 서브-챔버 (602) 와 하부 서브-챔버 (603) 가 모두 있도록 플라즈마 격자가 사용되면, 유도 전류는 상부 서브-챔버 (602) 내에 전자-이온 플라즈마를 생성하도록 상부 서브-챔버 (602) 내에 존재하는 가스에 작용한다 (act on). 선택가능한 내부 플라즈마 격자 (650) 는 하부 서브-챔버 (603) 내의 열 전자들 (hot electrons) 의 양을 제한한다. 일부 실시예들에서, 장치는 하부 서브-챔버 (603) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마와 하부 이온-이온 플라즈마 모두 양이온들 및 음이온들을 포함할 수도 있지만, 이온-이온 플라즈마가 양이온들에 대한 음이온들의 보다 큰 비율을 가질 것이다. 휘발성 에칭 부산물들은 포트 (622) 를 통해 하부 서브-챔버 (603) 로부터 제거될 수도 있다.
본 명세서에 개시된 척 (617) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 (elevated) 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 구체적인 레시피에 따를 것이다. 일부 실시예들에서, 챔버 (601) 는 또한 약 1 mTorr 내지 약 95 mTorr 범위의 압력에서 동작할 수도 있다. 특정한 실시예들에서, 압력은 상기 개시된 것보다 높을 수도 있다.
챔버 (601) 는 클린 룸 (clean room) 또는 제조 설비 내에 설치되는 경우 설비들 (미도시) 과 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 환경 입자 (environmental particle) 제어를 제공하는 배관을 포함한다. 이러한 설비들은 타겟 제조 설비 내에 설치되는 경우 챔버 (601) 에 커플링된다. 게다가, 챔버 (601) 는 로보틱스 (robotics) 로 하여금 전형적인 자동화를 사용하여 챔버 (601) 내로 그리고 챔버 (601) 로부터 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
시스템 제어기 (650) 가 또한 도 6에 도시된다. 이하에 더 기술되는 바와 같이, 이러한 시스템 제어기 (650) 는, 본 명세서에 기술된 바와 같은 모델을 사용하여 연산된 에칭 기하학적 구조 (예를 들어, 피처 깊이 또는 임계 치수) 의 생성에 응답하여 에칭기의 동작의 조정을 포함하는, 챔버 (601) 에 제한되지 않는, 에칭기 장치의 동작들 일부 또는 전부를 제어할 수도 있다.
시스템 제어기들
시스템 제어기는 도 5a 내지 도 5c에 도시된 CCP 에칭기 장치, 및/또는 도 6에 도시된 ICP 에칭기 장치와 같은 임의의 상기 기술된 프로세싱 장치들의 에칭 동작들 (또는 다른 프로세싱 동작들) 을 제어하는데 사용될 수도 있다. 특히, 시스템 제어기는 상기 기술된 바와 같은 에칭 기하학적 구조 모델을 구현할 수도 있고 (상기 기술된 바와 같이) 에칭 기하학적 구조 모델을 사용하여 생성된 연산된 에칭 프로파일들에 응답하여 에칭기 장치의 동작을 조정할 수도 있다.
에칭기 장치와 통신하는 시스템 제어기의 예는 도 6에 개략적으로 예시된다. 도 6에 도시된 바와 같이, 시스템 제어기 (650) 는 하나 이상의 메모리 디바이스들 (656), 하나 이상의 대용량 저장 디바이스들 (654), 및 하나 이상의 프로세서들 (652) 을 포함한다. 프로세서 (652) 는 하나 이상의 CPUs, ASICs, 범용 컴퓨터(들) (general-purpose computer(s)) 및/또는 특수 목적 컴퓨터(들) (specific purpose computer(s)), 하나 이상의 아날로그 및/또는 디지털 입력/출력 연결부(들), 하나 이상의 스테퍼 모터 제어기 보드(들) (stepper motor controller board(s)) 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (예를 들어, 도 6에서 650) 는 그것의 개개의 프로세스 스테이션들의 동작들을 포함하는 프로세스 툴 (예를 들어 도 6의 에칭기 장치 (600)) 의 동작들 일부 또는 전부를 제어한다. 기계-판독가능한 시스템 제어 인스트럭션들 (658) 은 막 증착을 그리고/또는 본 명세서에서 기술된 에칭 프로세스를 구현/수행하기 위해 제공될 수도 있다. 인스트럭션들은 시스템 제어기에 결합될 수도 그리고/또는 시스템 제어기에 의해 판독될 수도 있는 기계-판독가능한, 비-일시적 매체 상에 제공될 수도 있다. 인스트럭션들은 프로세서 (652) 상에서 실행될 수도 있고, 일부 실시예들에서 시스템 제어 인스트럭션들은 대용량 저장 디바이스 (654) 로부터 메모리 디바이스 (656) 내로 로딩될 수도 있다. 시스템 제어 인스트럭션들은 타이밍, 가스 및 액체 반응물들의 혼합물, 챔버 및/또는 스테이션 압력들, 챔버 및/또는 스테이션 온도들, 웨이퍼 온도들, 타겟 전력 레벨들, RF 전력 레벨들 (예를 들어, DC 전력 레벨, RF 바이어스 전력 레벨), RF 노출 시간들, 기판 페데스탈, 척, 및/또는 서셉터 위치들, 및 프로세스 툴에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다
반도체 기판 프로세싱 동작들은, 이로 제한되는 것은 아니지만, 다른 유형들의 기판 프로세싱 동작들에 더하여 (표면 흡착 에천트들의 플라즈마-활성을 수반하는 ALE (atomic layer etch) 를 포함하는, 예를 들어, 모든 목적들을 위해 그 전체가 참조로서 본 명세서에서 인용된, "ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS" 이란 명칭의 2014년 11월 12일에 출원된 미국 특허 출원 제 14/539,121 호를 참조하라) 기판들 상의 막의 에칭에 관계된 프로세스들, (표면 흡착 막 전구체의 플라즈마-활성에 의한, ALD (atomic layer deposition) 와 같은) 증착 프로세스들을 포함하는 다양한 유형들의 프로세스들을 채용할 수도 있다.
따라서, 예를 들어, 플라즈마-기반 에칭 프로세스들을 수행하기 위한 프로세싱 장치에 대해, 시스템 제어기에 의해 실행된 기계-판독가능한 인스트럭션들은 최적화된 에칭 프로파일 모델로부터 연산된 에칭 프로파일을 생성하기 위한 그리고 연산된 에칭 프로파일에 응답하여 플라즈마 생성기의 동작을 조정하기 위한 인스트럭션들을 포함할 수도 있다.
시스템 제어 인스트럭션들 (658) 은 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 인스트럭션들은 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 인스트럭션들은 소프트웨어에 구현되고, 다른 실시예들에서, 인스트럭션들은 하드웨어에 구현될 수도 있고, 예를 들어, ASIC 내의 로직으로 하드-코딩될 수도 있고, 또는 다른 실시예들에서 소프트웨어와 하드웨어의 조합으로 구현될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (658) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, 증착 및/또는 에칭 프로세스 또는 프로세스들의 페이즈 각각은 시스템 제어기에 의한 실행을 위해 하나 이상의 인스트럭션들을 포함할 수도 있다. 막 증착 및/또는 에칭 프로세스 페이즈에 대한 프로세스 조건들을 세팅하기 위한 인스트럭션들은, 예를 들어, 대응하는 증착 및/또는 에칭 레시피 페이즈 내에 포함될 수도 있다. 일부 실시예들에서, 레시피 페이즈들은, 프로세스 페이즈의 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록, 순차적으로 배열될 수도 있다.
시스템 제어기 (650) 와 연관된 대용량 저장 디바이스 (654) 및/또는 메모리 디바이스 (656) 상에 저장된 다른 컴퓨터-판독가능한 인스트럭션들 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 위치결정 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 위치결정 프로그램은 기판을 페데스탈로 로딩하는데 그리고 기판과 프로세스 툴의 다른 부품들 사이의 간격 (spacing) 을 제어하는데 사용되는 프로세스 툴 컴포넌트들에 대한 인스트럭션들을 포함할 수도 있다. 위치결정 프로그램은 기판 상에 증착 및/또는 막을 에칭하기 위해 필요에 따라 필요한 반응 챔버 내로 그리고 반응 챔버로부터 기판을 적절하게 이동하기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 그리고 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨들 내의 압력을 안정시키기 위해 증착 및/또는 에칭에 앞서 그러한 볼륨들 내로 가스를 선택가능하게 흘리기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 기판 상의 막 증착 및/또는 에칭 동작들 동안 프로세싱 챔버 내의 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨(들) 내로 특정한 가스들을 도입하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 같은 기간들 동안 같은 레이트들로 또는 증착될 막의 조성 및/또는 수반되는 에칭 프로세스의 특질 (nature) 에 따라 상이한 레이트로 그리고/또는 상이한 기간들 동안 이러한 가스들을 전달하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 가열된 주입 모듈 내의 헬륨 또는 일부 다른 캐리어 가스가 있는 액체 반응물을 원자화 (atomizing)/증발시키기 위한 인스트럭션들을 포함할 수도 있다.
압력 제어 프로그램은, 예를 들어 프로세스 스테이션의 배기 (exhaust) 시스템 내의 스로틀 밸브, 프로세스 스테이션 내로의 가스 플로우 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 압력 제어 프로그램은 기판들 상에 다양한 막 유형의 증착 및/또는 기판들의 에칭 동안 같거나 상이한 압력들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
가열기 제어 프로그램은 기판들을 가열하는데 사용되는 가열기 유닛으로의 전류를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 대안적으로 또는 추가적으로, 가열기 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 가열기 제어 프로그램은 기판들 상에 다양한 막 유형의 증착 및/또는 기판들의 에칭 동안 프로세스 스테이션들을 둘러싸는 볼륨들 및/또는 반응 챔버 내에 같거나 상이한 온도들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예에 따른 하나 이상의 프로세스 스테이션들 내의 RF 전력 레벨들, 주파수들, 및 노출 시간들을 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 기판들 상에 막 증착 및/또는 기판들의 에칭 동안 같거나 상이한 RF 전력 레벨들 및/또는 주파수들 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기와 연관된 사용자 인터페이스 (user interfac) 가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기에 의해 조정된 파라미터들은 프로세스 조건들과 관계될 수도 있다. 제한되지 않은 예들은 프로세스 가스 조성들 및 플로우 레이트들, 온도들 (예를 들어, 기판 홀더, 및 샤워헤드 온도들), 압력들, 플라즈마 조건들 (예컨데 RF 바이어스 전력 레벨들 및 노출 시간들) 등을 포함한다. 이러한 파라미터들은 유저 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스들을 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기의 아날로그 및/또는 디지털 입력 연결부들에 의해 제공될 수도 있다. 프로세스들을 제어하기 위한 신호들은 프로세스 툴의 아날로그 및/또는 디지털 출력 연결부 상의 출력일 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 제한되지 않은 예들은 MFCs (mass flow controllers), (마노미터와 같은) 압력 센서들, 열전대들 (thermocouples) 등과 같은 온도 센서들을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하도록 이러한 센서들로부터의 데이터와 함께 사용될 수도 있다.
상기 기술된 다양한 장치들 및 방법들이, 예를 들어, 반도체 디바이스들, 디스플레이들, LEDs, 광전지 패널들 (photovoltaic panels) 및 유사한 것의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 및/또는 프로세스들과 함께 사용될 수도 있다. 전형적으로, 필연적인 것은 아니나, 이러한 툴들은 사용될 것이고 프로세스들은 공통의 제조 설비 내에서 함께 그리고/또는 동시에 수행될 것이다.
일부 구현예들에서, 제어기는 상기 기술된 에칭기들의 일부분일 수도 있는 시스템의 일부분이다. 그런 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 구체적인 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템 등) 을 포함하는 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전, 중, 후의 그것들의 동작을 제어하기 위해 전자장치와 통합될 수도 있다. 전자장치들은 "제어기"로 지칭될 수도 있고, 제어기는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있다. 제어기는, 프로세싱 요건들 및/또는 시스템의 유형에 따라, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 라디오 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정한 시스템에 연결되거나 인터페이싱된 로드 록들 (load locks) 내로 그리고 이들로부터 웨이퍼 이송들을 포함하여, 본 명세서에 개시된 모든 프로세스들을 제어하기 위해 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 다양한 집적회로들, 로직, 메모리, 및/또는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 소프트웨어, 그리고 유사한 것을 가지는 전자장치로 규정될 수도 있다. 집적회로들은 프로그램 인스트럭션들을 저장하는 펌웨어 형태의 칩들, DSPs (digital signal processors), ASICs (application specific integrated circuits) 로 규정되는 칩들, 및/또는 하나 이상의 마이크로프로세서들, 또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 마이크로컨트롤러들을 포함할 수도 있다. 프로그램 인스트럭션들은, 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대해 또는 시스템에 대해 특정한 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기에 통신된 인스트럭션들일 수도 있다. 동작 파라미터들은, 일부 실시예들에서, 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼 다이들의 제조 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부분일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합된, 시스템에 커플링된, 그렇지 않으면 시스템에 네트워크된, 또는 이들의 조합인 컴퓨터의 일부분이거나 컴퓨터에 커플링될 수도 있다. 예를 들어, 제어기는 “클라우드”에 있을 수도 있고 또는 웨이퍼 프로세싱의 원격 액세스 (remote access) 을 허용할 수 있는 팹 호스트 (fab host) 컴퓨터 시스템의 전부 또는 일부분일 수도 있다. 컴퓨터는 제조 동작들의 현재 진척을 모니터하고, 과거 제조 동작들의 이력을 검토하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들 (performance metrics) 을 검토하도록, 현재 프로세싱의 파라미터들을 변화시키도록, 현재 프로세싱에 이어지는 프로세싱 단계들을 설정하도록, 또는 새로운 프로세스를 시작하도록 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예들 들어, 서버) 는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있고, 네트워크는 로컬 네트워크 또는 인터넷을 포함할 수도 있다. 원격 컴퓨터는 파라미터들 및/또는 설정들의 입력 (entry) 또는 프로그래밍을 인에이블하는 유저 인터페이스를 포함할 수도 있고, 파라미터들 및/또는 설정들은 그 후 원격 컴퓨터로부터 시스템에 통신된다. 일부 예들에서, 제어기는 데이터의 형태로 인스트럭션들을 수신하고, 데이터는 하나 이상의 동작들 동안 수행되도록 프로세싱 단계들 각각을 위한 파라미터들을 명시한다. 파라미터들은 수행될 프로세스 유형과 제어기가 인터페이싱하거나 또는 제어하도록 구성된 툴의 유형들에 특정적일 수도 있다는 것이 이해되어야 한다. 그리하여 상기 기술한 바와 같이, 제어기는 본 명세서에서 기술된 프로세스들 및 제어들과 같은 공통된 목적을 향해 함께 네트워킹되어 작동하는 하나 이상의 별개의 제어기를 포함함으로써와 같이, 분산될 수도 있다. 그런 목적들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 결합하는 (플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서와 같이) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한 없이, 예시적인 시스템들은 (유도적으로 또는 용량적으로 결합된 플라즈마들을 채용하는) 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 (plating) 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 (bevel) 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 그리고 반도체 웨이퍼들의 제조 및/또는 제작에 연관되거나 사용될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 언급한 것처럼, 툴에 의해 수행될 프로세스 단계나 단계들에 따라, 제어기는 하나 이상의 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접한 툴들, 이웃한 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 웨이퍼들의 컨테이너들을 반도체 제작 공장 내 툴 위치들 및/또는 로드 포트들 (load ports) 로 가져가거나 이들로부터 가져오는 재료 이송에 사용되는 툴들과 통신할 수도 있다.
다른 실시예들
앞서 말한 개시된 기법들, 동작들, 프로세스들, 방법들, 시스템들, 장치들, 툴들, 막들, 화학반응들, 및 조성들은 명확성과 이해를 증진하기 위한 목적으로 구체적인 실시예들의 문맥 내에서 자세히 기술되었으나, 본질적인 의미 (spirit) 및개시의 범위 내에 있는 앞서 말한 실시예들을 구현하는 많은 대안적인 방법들이 있다는 것은 기술분야에서 통상의 기술을 가진 자에게는 명백할 것이다. 그러므로, 본 명세서에서 기술된 실시예들은 제한적이기라기 보다는 개시된 발명적 개념들의 예시로서 보여질 것이고, 이 개시의 주제 (subject matter) 에 결국 향하는 모든 청구항들의 범위를 과도하게 제한하기 위한 허용할 수 없는 기초로 사용될 것은 아니다.

Claims (39)

  1. 기판 상의 에칭된 피처들과 상호 작용하는 광 에너지에 의해 생성된 측정된 광 신호들을 상기 기판 상의 상기 에칭된 피처들의 타겟 기하학적 파라미터 (target geometric parameter) 값들과 관련시키는 연산 모델 (computational model) 을 생성하는 방법에 있어서, 상기 방법은,
    상기 측정된 광 신호들이 상기 타겟 기하학적 파라미터의 값들보다 비-타겟 (non-target) 기하학적 파라미터의 값들과 덜 강하게 상관되는 (correlate) 범위를 결정하는 단계;
    상기 범위에서 상기 광 신호들의 값들을 가진 멤버들 (members) 을 가지는 트레이닝 세트를 제공하는 단계로서, 상기 트레이닝 세트의 멤버 각각은 (i) 상기 기판의 상기 에칭된 피처들의 상기 타겟 기하학적 파라미터의 값, 및 (ii) 상기 기판의 상기 에칭된 피처들의 상기 타겟 기하학적 파라미터의 상기 값을 가지는 에칭된 피처들로부터 생성된 연관된 광 신호를 포함하는, 상기 트레이닝 세트를 제공하는 단계; 및
    상기 트레이닝 세트로부터 상기 연산 모델을 생성하는 단계를 포함하는, 연산 모델을 생성하는 방법.
  2. 제 1 항에 있어서,
    상기 트레이닝 세트의 상기 멤버들은 상기 기판의 상기 에칭된 피처들의 비-타겟 기하학적 파라미터의 값들을 더 포함하는, 연산 모델을 생성하는 방법.
  3. 제 1 항에 있어서,
    상기 트레이닝 세트의 상기 멤버들은 실험적으로 얻어진, 연산 모델을 생성하는 방법.
  4. 제 1 항에 있어서,
    상기 트레이닝 세트의 상기 멤버들은 연산적으로 (computationally) 생성된, 연산 모델을 생성하는 방법.
  5. 제 4 항에 있어서,
    상기 트레이닝 세트의 상기 멤버들은 표면 키네틱 모델 (surface kinetic model) 및 광 모델링 루틴 (optical modelling routine) 으로부터 생성된, 연산 모델을 생성하는 방법.
  6. 제 1 항에 있어서,
    상기 트레이닝 세트는 적어도 50 개의 멤버들을 가지는, 연산 모델을 생성하는 방법.
  7. 제 1 항에 있어서,
    상기 트레이닝 세트로부터 상기 연산 모델을 생성하는 단계는 신경망 (neural network) 또는 회귀 기법 (regression technique) 을 사용하는 단계를 포함하는, 연산 모델을 생성하는 방법.
  8. 제 1 항에 있어서,
    상기 기판 상의 상기 에칭된 피처들의 상기 타겟 기하학적 파라미터는 에칭 깊이, 피치, 또는 에칭 임계 치수 (etch critical dimension) 인, 연산 모델을 생성하는 방법.
  9. 제 1 항에 있어서,
    상기 광 신호들은 상기 기판 상의 상기 에칭된 피처들로부터 생성된 반사율 값들 (reflectance values) 을 포함하는, 연산 모델을 생성하는 방법.
  10. 제 1 항에 있어서,
    상기 측정된 광 신호들이 상기 타겟 기하학적 파라미터보다 비-타겟 기하학적 파라미터와 덜 강하게 상관되는 상기 범위는 파장들 (wavelengths) 의 범위인, 연산 모델을 생성하는 방법.
  11. 제 1 항에 있어서,
    상기 범위를 결정하는 단계는 상기 타겟 기하학적 파라미터의 상이한 값들에 대한 상기 타겟 기하학적 파라미터와 상기 광 신호들의 상관의 변화들 (variations) 에 따른 상기 범위 내의 변화들을 결정하는 단계를 포함하는, 연산 모델을 생성하는 방법.
  12. 기판 상에 에칭된 피처들과 상호 작용하는 광 에너지에 의해 생성된 측정된 광 신호들로부터 상기 기판 상에 에칭된 상기 피처들에 대한 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델에 있어서,
    상기 연산 모델은 제 1 항에 기재된 방법에 의해 생성된, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  13. 제 12 항에 있어서,
    상기 연산 모델을 생성하는데 사용되는 상기 트레이닝 세트의 상기 멤버들은 상기 기판에서 에칭된 상기 피처들의 비-타겟 기하학적 파라미터의 값들을 더 포함하는, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  14. 제 12 항에 있어서,
    상기 연산 모델을 생성하는데 사용되는 상기 트레이닝 세트의 상기 멤버들은 실험적으로 얻어진, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  15. 제 12 항에 있어서,
    상기 연산 모델을 생성하는데 사용되는 상기 트레이닝 세트의 상기 멤버들은 연산적으로 생성된, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  16. 제 15 항에 있어서,
    상기 트레이닝 세트의 상기 멤버들은 표면 키네틱 모델 및 광 모델링 루틴으로부터 생성된, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  17. 제 12 항에 있어서,
    상기 트레이닝 세트는 적어도 50 개의 멤버들을 포함하는, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  18. 제 12 항에 있어서,
    상기 연산 모델은 신경망 또는 회귀 기법을 사용하여 상기 트레이닝 세트로부터 생성된, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  19. 제 12 항에 있어서,
    상기 기판 상에 에칭된 상기 피처들의 상기 타겟 기하학적 파라미터는 에칭 깊이, 피치, 또는 에칭 임계 치수인, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  20. 제 12 항에 있어서,
    상기 광 신호들은 상기 기판 상에 에칭된 상기 피처들로부터 생성된 반사율 값들을 포함하는, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  21. 제 12 항에 있어서,
    상기 연산 모델을 생성하는 때, 상기 측정된 광 신호들이 상기 타겟 기하학적 파라미터보다 비-타겟 기하학적 파라미터와 덜 강하게 상관되는 상기 범위는 파장들의 범위인, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  22. 제 12 항에 있어서,
    상기 연산 모델을 생성하는 때, 상기 범위를 결정하는 단계는 상기 타겟 기하학적 파라미터의 상이한 값들에 대해 상기 타겟 기하학적 파라미터와 상기 광 신호들의 상관의 변화들에 따른 상기 범위 내의 변화들을 결정하는 단계를 포함하는, 타겟 기하학적 파라미터의 값들을 계산하기 위한 연산 모델.
  23. 에칭 프로세스 동안 기판 상에 생성된 하나 이상의 피처들에 대한 기하학적 파라미터 값을 결정하는 방법에 있어서,
    (a) 상기 기판 상의 에칭될 피처들과 상호 작용하는 광 에너지에 의해 생성된 광 신호들을 측정하는 단계;
    (b) 상기 측정된 광 신호들의 서브세트 (subset) 를 제공하는 단계로서, 상기 서브세트는 광 신호들이 상기 피처들에 대한 타겟 기하학적 파라미터의 값들과 상관되도록 결정되는 범위에 의해 규정되는, 상기 서브세트를 제공하는 단계;
    (c) 상기 측정된 광 신호들로부터 상기 타겟 기하학적 파라미터 값들을 예측하도록 구성된 모델에 광 신호들의 상기 서브세트를 적용하는 단계로서, 상기 모델은 광 신호들이 피처들에 대한 타겟 기하학적 파라미터와 상관되도록 결정되는 상기 범위를 결정함으로써 생성된, 상기 서브세트를 적용하는 단계;
    (d) 상기 모델로부터, 상기 에칭될 피처들의 상기 타겟 기하학적 파라미터의 현재 값을 결정하는 단계;
    (e) 상기 에칭될 피처들의 상기 타겟 기하학적 파라미터의 상기 현재 값과 상기 타겟 기하학적 파라미터에 대한 에칭 프로세스 엔드포인트 값 (endpoint value) 을 비교하는 단계; 및
    (f) 상기 단계 (e) 의 상기 비교하는 단계가 상기 에칭될 피처들의 상기 타겟 기하학적 파라미터의 상기 현재 값이 상기 엔드포인트 값에 도달하였다는 것을 나타낼 때까지 상기 단계 (a) 내지 상기 단계 (e) 를 반복하는 단계를 포함하는, 기하학적 파라미터 값을 결정하는 방법.
  24. 제 23 항에 있어서,
    상기 에칭될 피처들의 상기 타겟 기하학적 파라미터는 에칭 깊이, 피치, 또는 에칭 임계 치수인, 기하학적 파라미터 값을 결정하는 방법.
  25. 제 23 항에 있어서,
    상기 단계 (e) 의 상기 비교하는 단계가 상기 에칭될 피처들의 상기 타겟 기하학적 파라미터의 상기 현재 값이 상기 엔드포인트 값에 도달하였다는 것을 나타내는 때 상기 에칭 프로세스를 종료하는 단계를 더 포함하는, 기하학적 파라미터 값을 결정하는 방법.
  26. 제 23 항에 있어서,
    상기 단계 (a) 에서 생성된 광 신호들을 측정하는 단계가 상기 기판 상의 상기 에칭될 피처들로부터 생성된 반사율을 측정하는 단계를 포함하는, 기하학적 파라미터 값을 결정하는 방법.
  27. 제 23 항에 있어서,
    상기 단계 (b) 에서 상기 측정된 광 신호들의 서브세트를 규정하는 상기 범위는, 상기 광 신호들이 상기 피처들에 대한 상기 타겟 기하학적 파라미터 값에 상관되도록, 회귀 기법을 사용하여, 결정되는 파장들의 범위인, 기하학적 파라미터 값을 결정하는 방법.
  28. 제 23 항에 있어서,
    상기 단계 (b) 에서 상기 측정된 광 신호들의 서브세트를 규정하는 상기 범위는 상기 단계 (a) 내지 상기 단계 (e) 의 두 번의 반복들 사이에서 가변하는, 기하학적 파라미터 값을 결정하는 방법.
  29. 제 28 항에 있어서,
    상기 단계 (b) 에서 상기 측정된 광 신호들의 서브세트를 규정하는 상기 범위는 상기 타겟 기하학적 파라미터의 상이한 값들에 대해 상기 타겟 기하학적 파라미터와 상기 광 신호들의 상관의 변화들에 따라 가변하도록 결정되는, 기하학적 파라미터 값을 결정하는 방법.
  30. 제 1 항에 있어서,
    상기 단계 (b) 에서 상기 측정된 광 신호들의 서브세트를 규정하는 상기 범위는 상기 광 신호들이 상기 타겟 기하학적 파라미터보다 비-타겟 기하학적 파라미터와 덜 강하게 상관되도록 결정되는 범위인, 연산 모델을 생성하는 방법.
  31. 에칭 프로세스 동안 기판 상에 하나 이상의 피처들을 에칭하기 위한 시스템에 있어서,
    반도체 기판들을 에칭하기 위한 에칭 장치; 및
    상기 에칭 장치의 동작을 제어하기 위한 제어기로서,
    (a) 상기 기판 상에 에칭될 피처들과 상호작용하는 광 에너지에 의해 생성된 광 신호들을 측정하는 단계;
    (b) 상기 측정된 광 신호들로부터 서브세트를 제공하는 단계로서, 상기 서브세트는 광 신호들이 상기 피처들에 대한 타겟 기하학적 파라미터의 값들과 상관되도록 결정되는 범위에 의해 규정되는, 상기 서브세트를 제공하는 단계;
    (c) 상기 측정된 광 신호들로부터 상기 타겟 기하학적 파라미터 값들을 예측하도록 구성된 모델에 상기 광 신호들의 서브세트를 적용하는 단계로서, 상기 모델은 광 신호들이 피처들에 대한 타겟 기하학적 파라미터 값들과 상관되도록 결정되는 상기 범위를 결정함으로써 생성되는, 상기 서브세트를 적용하는 단계;
    (d) 상기 모델로부터, 상기 에칭될 피처들의 상기 타겟 기하학적 파라미터의 현재 값을 결정하는 단계;
    (e) 에칭될 상기 피처들의 상기 타겟 기하학적 파라미터의 상기 현재 값과 상기 타겟 기하학적 파라미터에 대한 에칭 프로세스 엔드포인트 값을 비교하는 단계; 및
    (f) 상기 단계 (e) 의 비교하는 단계가 상기 에칭될 피처들의 상기 타겟 기하학적 파라미터의 상기 현재 값이 상기 엔드포인트 값에 도달하였다는 것을 나타날 때까지 상기 단계 (a) 내지 상기 단계 (e) 를 반복하는 단계에 대한 실행가능한 인스트럭션들을 저장하는 비-일시적 (non-transitory) 메모리를 포함하는, 상기 제어기를 포함하는, 시스템.
  32. 제 31 항에 있어서,
    상기 에칭 장치는,
    프로세싱 챔버;
    상기 프로세싱 챔버 내에서 기판을 홀딩하기 위한 기판 홀더;
    상기 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 플라즈마 생성기로서, RF 전력 공급부를 포함하는, 상기 플라즈마 생성기;
    상기 프로세싱 챔버 내로 하나 이상의 프로세스 가스들을 흘리기 (flowing) 위한 하나 이상의 밸브로 제어된 (valve-controlled) 프로세스 가스 유입부들; 및
    상기 프로세싱 챔버로부터 가스들의 배기 (evacuating) 를 위해 하나 이상의 진공 펌프들에 유체적으로 (fluidically) 연결된 하나 이상의 가스 유출부들을 포함하는, 시스템.
  33. 제 31 항에 있어서,
    상기 에칭될 피처들의 상기 타겟 기하학적 파라미터는 에칭 깊이, 피치, 또는 에칭 임계 치수인, 시스템.
  34. 제 31 항에 있어서,
    상기 제어기는 상기 단계 (e) 의 비교하는 단계가 상기 에칭될 피처들의 상기 타겟 기하학적 파라미터의 상기 현재 값이 상기 엔드포인트 값에 도달하였다는 것을 나타내는 때 상기 에칭 프로세스를 종료하는 단계에 대한 인스트럭션들을 더 포함하는, 시스템.
  35. 제 31 항에 있어서,
    상기 단계 (a) 에서 생성된 광 신호들을 측정하기 위한 상기 제어기의 인스트럭션들은 상기 기판 상에서 상기 에칭될 피처들로부터 생성된 반사율을 측정하기 위한 인스트럭션들을 포함하는, 시스템.
  36. 제 31 항에 있어서,
    상기 단계 (b) 에서 상기 측정된 광 신호들의 서브세트를 규정하는 상기 범위는, 상기 광 신호들이 상기 피처들에 대한 상기 타겟 기하학적 파라미터 값에 상관되도록, 회귀 기법을 사용하여, 결정되는 파장들의 범위인, 시스템.
  37. 제 31 항에 있어서,
    상기 제어기는 상기 단계 (a) 내지 상기 단계 (e) 에 대한 인스트럭션들을 실행하는 두 번의 반복들 사이에 상기 단계 (b) 의 상기 측정된 광 신호들의 서브세트를 규정하는 상기 범위를 가변하기 위한 인스트럭션들을 더 포함하는, 시스템.
  38. 제 37 항에 있어서,
    상기 단계 (b) 의 상기 측정된 광 신호들의 서브세트를 규정하는 상기 범위는 상기 타겟 기하학적 파라미터의 상이한 값들에 대한 상기 타겟 기하학적 파라미터와 상기 광 신호들의 상관의 변화들에 따라 가변하도록 결정되는, 시스템.
  39. 제 31 항에 있어서,
    상기 단계 (b) 의 상기 측정된 광 신호들의 서브세트를 규정하는 상기 범위는 상기 광 신호들이 상기 타겟 기하학적 파라미터보다 비-타겟 기하학적 파라미터와 덜 강하게 상관되도록 결정되는 범위인, 시스템.
KR1020170026906A 2016-03-02 2017-03-02 엔드포인트 검출을 위한 에칭 계측 민감도 KR102422230B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220086361A KR102577904B1 (ko) 2016-03-02 2022-07-13 엔드포인트 검출을 위한 에칭 계측 민감도

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/059,073 2016-03-02
US15/059,073 US10032681B2 (en) 2016-03-02 2016-03-02 Etch metric sensitivity for endpoint detection

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220086361A Division KR102577904B1 (ko) 2016-03-02 2022-07-13 엔드포인트 검출을 위한 에칭 계측 민감도

Publications (2)

Publication Number Publication Date
KR20170102819A KR20170102819A (ko) 2017-09-12
KR102422230B1 true KR102422230B1 (ko) 2022-07-15

Family

ID=59722298

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170026906A KR102422230B1 (ko) 2016-03-02 2017-03-02 엔드포인트 검출을 위한 에칭 계측 민감도
KR1020220086361A KR102577904B1 (ko) 2016-03-02 2022-07-13 엔드포인트 검출을 위한 에칭 계측 민감도

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220086361A KR102577904B1 (ko) 2016-03-02 2022-07-13 엔드포인트 검출을 위한 에칭 계측 민감도

Country Status (5)

Country Link
US (1) US10032681B2 (ko)
JP (2) JP6948802B2 (ko)
KR (2) KR102422230B1 (ko)
CN (1) CN107403736B (ko)
TW (1) TWI733765B (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
JP6878853B2 (ja) * 2016-11-28 2021-06-02 住友電気工業株式会社 半導体素子を作製する方法
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US20190049937A1 (en) * 2017-08-09 2019-02-14 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10529633B2 (en) * 2017-12-06 2020-01-07 International Business Machines Corporation Method of integrated circuit (IC) chip fabrication
CN110137097B (zh) * 2018-02-02 2020-06-19 北京北方华创微电子装备有限公司 获得刻蚀深度极限值的方法
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
TWI716684B (zh) * 2018-05-09 2021-01-21 華邦電子股份有限公司 臨界尺寸量測方法及用於量測臨界尺寸的影像處理裝置
US11488848B2 (en) * 2018-07-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor die vessel processing workstations
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
KR20210142118A (ko) * 2019-02-25 2021-11-24 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 이방성 화학 식각을 위한 대면적 계측 및 공정 제어
JP6696059B1 (ja) * 2019-03-04 2020-05-20 Sppテクノロジーズ株式会社 基板処理装置のプロセス判定装置、基板処理システム及び基板処理装置のプロセス判定方法
WO2020254066A1 (en) * 2019-06-20 2020-12-24 Asml Netherlands B.V. Method for patterning process modelling
JP7253458B2 (ja) 2019-06-27 2023-04-06 株式会社荏原製作所 光学式膜厚測定装置の最適な動作レシピを決定する方法、装置、およびシステム
US11966203B2 (en) * 2019-08-21 2024-04-23 Kla Corporation System and method to adjust a kinetics model of surface reactions during plasma processing
JP7338355B2 (ja) * 2019-09-20 2023-09-05 東京エレクトロン株式会社 エッチング方法、及びエッチング装置
CN112697680B (zh) * 2019-10-23 2023-04-28 航天科工惯性技术有限公司 一种玻璃化学蚀刻速率在线检测装置和方法
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
KR20210064445A (ko) 2019-11-25 2021-06-03 삼성전자주식회사 반도체 공정 시뮬레이션 시스템 및 그것의 시뮬레이션 방법
US11301613B2 (en) * 2019-12-23 2022-04-12 Coventor, Inc. Systems and methods for performing depth-dependent oxidation modeling and depth-dependent etch modeling in a virtual fabrication environment
EP3968353A1 (en) * 2020-09-10 2022-03-16 Impedans Ltd Apparatus for ion energy analysis of plasma processes
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
KR20230121904A (ko) * 2021-05-31 2023-08-21 어플라이드 머티어리얼스, 인코포레이티드 결정 두께 마이크로 밸런싱 센서의 인시튜 epi 성장률제어
KR20230030346A (ko) 2021-08-25 2023-03-06 삼성전자주식회사 편광 계측 장치 및 편광 계측 장치를 이용한 반도체 소자 제조 방법
TWI806412B (zh) * 2022-02-08 2023-06-21 中華精測科技股份有限公司 標記產品全域高點的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012186394A (ja) 2011-03-07 2012-09-27 Mizuho Information & Research Institute Inc プラズマ加工形状シミュレーション装置及びプログラム
KR101460375B1 (ko) 2008-07-30 2014-11-10 도쿄엘렉트론가부시키가이샤 기판 처리 제어 방법 및 기억 매체
WO2015027088A1 (en) 2013-08-23 2015-02-26 Kla-Tencor Corporation Multi-model metrology

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5114233A (en) 1990-10-09 1992-05-19 At&T Bell Laboratories Method for inspecting etched workpieces
US5421934A (en) 1993-03-26 1995-06-06 Matsushita Electric Industrial Co., Ltd. Dry-etching process simulator
US6151532A (en) 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
TW455973B (en) * 1999-04-05 2001-09-21 Applied Materials Inc Endpoint detection in the fabrication of electronic devices
US6268226B1 (en) 1999-06-30 2001-07-31 International Business Machines Corporation Reactive ion etch loading measurement technique
EP1252652A1 (de) 2000-01-25 2002-10-30 Infineon Technologies AG Verfahren zur überwachung eines herstellungsprozesses
US6410351B1 (en) 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
US20030113766A1 (en) 2000-10-30 2003-06-19 Sru Biosystems, Llc Amine activated colorimetric resonant biosensor
US6650423B1 (en) 2001-07-02 2003-11-18 Advanced Micro Devices Inc. Method and apparatus for determining column dimensions using scatterometry
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6903826B2 (en) 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7402257B1 (en) 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
TWI303090B (en) * 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
US20050074907A1 (en) * 2003-10-06 2005-04-07 Adrian Kriz Semi-conductor wafer fabrication
US7739651B2 (en) 2004-09-29 2010-06-15 Synopsys, Inc. Method and apparatus to determine if a pattern is robustly manufacturable
US7253008B2 (en) 2004-12-28 2007-08-07 Sandia Corporation Reactive ion etched substrates and methods of making and using
US7588946B2 (en) 2005-07-25 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling system for gate formation of semiconductor devices
US7600212B2 (en) 2005-10-03 2009-10-06 Cadence Design Systems, Inc. Method of compensating photomask data for the effects of etch and lithography processes
US20070249071A1 (en) * 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7849423B1 (en) 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
GB0616131D0 (en) 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
JP5080775B2 (ja) 2006-10-03 2012-11-21 東京エレクトロン株式会社 処理終点検出方法及び処理終点検出装置
US8001512B1 (en) 2007-06-26 2011-08-16 Cadence Design Systems, Inc. Method and system for implementing context simulation
JP5036450B2 (ja) 2007-08-16 2012-09-26 株式会社東芝 シミュレーション方法およびシミュレーションプログラム
US7812966B2 (en) 2007-08-30 2010-10-12 Infineon Technologies Ag Method of determining the depth profile of a surface structure and system for determining the depth profile of a surface structure
KR101374932B1 (ko) 2007-09-28 2014-03-17 재단법인서울대학교산학협력재단 확산 제한 식각과정에 의한 수평 변환 다공성 실리콘 광학필터의 제조방법 및 그에 의한 필터구조
JP5026326B2 (ja) 2008-04-04 2012-09-12 株式会社日立ハイテクノロジーズ エッチング処理状態の判定方法、システム
US8649016B2 (en) * 2009-06-23 2014-02-11 Rudolph Technologies, Inc. System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US8279409B1 (en) 2009-08-05 2012-10-02 Cadence Design Systems, Inc. System and method for calibrating a lithography model
US8494314B2 (en) * 2010-07-05 2013-07-23 Infinera Corporation Fabrication tolerant polarization converter
US20120280354A1 (en) 2011-05-05 2012-11-08 Synopsys, Inc. Methods for fabricating high-density integrated circuit devices
US8652298B2 (en) * 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
TW201831885A (zh) * 2012-08-15 2018-09-01 以色列商諾發測量儀器股份有限公司 原位控制製程的方法及設備
JP6033453B2 (ja) * 2012-10-17 2016-11-30 東京エレクトロン株式会社 多変量解析を用いたプラズマエンドポイント検出
TWI621957B (zh) 2013-03-14 2018-04-21 新納普系統股份有限公司 使用點擊最佳化的次解析度輔助特徵實現方式
JP6318007B2 (ja) 2013-11-29 2018-04-25 株式会社日立ハイテクノロジーズ データ処理方法、データ処理装置および処理装置
US10599789B2 (en) 2015-11-25 2020-03-24 Synopsys, Inc. Topography simulation of etching and/or deposition on a physical structure
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101460375B1 (ko) 2008-07-30 2014-11-10 도쿄엘렉트론가부시키가이샤 기판 처리 제어 방법 및 기억 매체
JP2012186394A (ja) 2011-03-07 2012-09-27 Mizuho Information & Research Institute Inc プラズマ加工形状シミュレーション装置及びプログラム
WO2015027088A1 (en) 2013-08-23 2015-02-26 Kla-Tencor Corporation Multi-model metrology

Also Published As

Publication number Publication date
TWI733765B (zh) 2021-07-21
KR20170102819A (ko) 2017-09-12
KR102577904B1 (ko) 2023-09-12
CN107403736B (zh) 2019-10-18
US10032681B2 (en) 2018-07-24
KR20220104121A (ko) 2022-07-26
JP6948802B2 (ja) 2021-10-13
JP2021193752A (ja) 2021-12-23
CN107403736A (zh) 2017-11-28
US20170256463A1 (en) 2017-09-07
JP7269296B2 (ja) 2023-05-08
JP2017195365A (ja) 2017-10-26
TW201741905A (zh) 2017-12-01

Similar Documents

Publication Publication Date Title
KR102577904B1 (ko) 엔드포인트 검출을 위한 에칭 계측 민감도
TWI706120B (zh) 藉由反射光譜匹配與表面動力模型最佳化之蝕刻輪廓最佳化方法及設備
US10386828B2 (en) Methods and apparatuses for etch profile matching by surface kinetic model optimization
US20190049937A1 (en) Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
KR20190137937A (ko) 에지 배치 에러 (epe: edge placement error) 예측을 통한 설계 레이아웃 패턴 근접 보정
KR101633937B1 (ko) Dc 및 rf 하이브리드 처리 시스템
KR101530098B1 (ko) 금속 게이트 구조에 대한 다층/다중입력/다중출력(mlmimo) 모델의 이용 방법
JP6019043B2 (ja) 光学計測及びセンサ装置を用いるエッチングプロセス制御
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
TW201724247A (zh) 處理速率決定設備
KR20240016345A (ko) 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant