JP7269296B2 - 方法およびエッチングシステム - Google Patents

方法およびエッチングシステム Download PDF

Info

Publication number
JP7269296B2
JP7269296B2 JP2021152896A JP2021152896A JP7269296B2 JP 7269296 B2 JP7269296 B2 JP 7269296B2 JP 2021152896 A JP2021152896 A JP 2021152896A JP 2021152896 A JP2021152896 A JP 2021152896A JP 7269296 B2 JP7269296 B2 JP 7269296B2
Authority
JP
Japan
Prior art keywords
target shape
optical signal
feature
etch
shape parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021152896A
Other languages
English (en)
Other versions
JP2021193752A (ja
Inventor
サード アンドリュー・ディー.・ベイリー・ザ
メフメト・デルヤ・テティカー
ダンカン・ダブリュ.・ミルズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021193752A publication Critical patent/JP2021193752A/ja
Application granted granted Critical
Publication of JP7269296B2 publication Critical patent/JP7269296B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/18Complex mathematical operations for evaluating statistical data, e.g. average values, frequency distributions, probability functions, regression analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Pure & Applied Mathematics (AREA)
  • Software Systems (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Computational Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Databases & Information Systems (AREA)
  • Algebra (AREA)
  • Evolutionary Computation (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Computing Systems (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Operations Research (AREA)
  • Probability & Statistics with Applications (AREA)
  • Health & Medical Sciences (AREA)
  • Computational Linguistics (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Evolutionary Biology (AREA)
  • Artificial Intelligence (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Description

多くの半導体処理工程の成功にとっては、高性能プラズマ支援エッチング処理が重要である。しかしながら、エッチング処理の監視、制御、および/または、最適化は、困難で時間が掛かる場合があり、しばしば、プロセスエンジニアが、目標エッチングプロファイルを生み出す設定を経験的に決定するために、エッチング処理パラメータを苦労して試験することを含む。さらに、エッチング処理のその場監視は、困難で信頼性が低く;エッチング終点検出は、いまだ課題である。
エッチング処理の設計および監視を容易にするために、計算モデルが有用でありうる。一部のモデルは、エッチング処理中に半導体基板表面上で起きる物理-化学プロセスをシミュレートしようとする。例としては、M.Kushnerおよび共働者のエッチングプロファイルモデルおよびCooperbergおよび共働者のエッチングプロファイルモデルが挙げられる。前者は、Y.Zhang著“Low Temperature Plasma Etching Control through Ion Energy Angular Distribution and 3-Dimensional Profile Simulation,” Chapter 3, dissertation, University of Michigan (2015)に記載され、後者は、Cooperberg、Vahedi、および、Gottscho著“Semiempirical profile simulation of aluminum etching in a Cl2/BCl3 plasma,”J. Vac. Sci. Technol. A20(5), 1536(2002)に記載されており、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。M.Kushnerおよび共働者のエッチングプロファイルモデルのさらなる記載は、J. Vac. Sci.T echnol.A15(4),1913(1997)、J. Vac. Sci. Technol.B16(4),2102(1998)、J. Vac. Sci. Technol.A16(6),3274(1998)、J. Vac. Sci. Technol. A19(2),524(2001)、J. Vac. Sci. Technol.A22(4),1242(2004)、J. Appl. Phys.97,023307(2005)に見られ、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。これらのモデルの開発のためになされた大規模な作業にもかかわらず、モデルには、半導体処理産業での実質的な理容に望ましい精度および信頼度はまだない。
本開示の一態様は、エッチング処理中に基板上に生成される1または複数の特徴(フィーチャ)の形状パラメータ値を監視または決定する方法に関する。かかる方法は、以下の工程によって特徴付けられうる:(a)光エネルギが基板上にエッチングされているフィーチャと相互作用することによって生成された光信号を測定する工程;(b)測定された光信号の一部を提供する工程であって、その一部は、光信号がフィーチャの目標形状パラメータ値と相関すると決定された範囲によって規定される工程;(c)測定された光信号から目標形状パラメータ値を予測するよう構成されたモデルに一部の光信号を適用する工程;(d)モデルから、エッチングされているフィーチャの目標形状パラメータの現在の値を決定する工程;(e)エッチングされているフィーチャの目標形状パラメータの現在の値を、目標形状パラメータのエッチング処理終点値と比較する工程;ならびに、(f)エッチングされているフィーチャの目標形状パラメータの現在の値が終点値に達したことを工程(e)における比較が示すまで、工程(a)~(e)を繰り返す工程。特定の実施形態において、モデルは、光信号がフィーチャの目標形状パラメータ値と相関すると決定された範囲を決定することによって生成されたものである。特定の実施形態において、エッチングされているフィーチャの目標形状パラメータは、エッチング深さ、ピッチ、または、エッチングクリティカルディメンションである。
いくつかの実施例において、方法は、さらに、エッチングされているフィーチャの目標形状パラメータの現在の値が終点値に達したことを工程(e)における比較が示した時に、エッチング処理を終了する工程を備える。特定の実施形態において、生成された光信号を測定する工程(a)は、基板上にエッチングされているフィーチャから生成された反射率を測定する工程を含む。
特定の実施形態において、工程(b)で測定された光信号の一部を規定する範囲は、光信号がフィーチャの目標形状パラメータの値と相関すると判定された波長の範囲である。特定の実施形態において、工程(b)で測定された光信号の一部を規定する範囲は、工程(a)~(e)の2回の繰り返しの間で変化する。一部の例では、工程(b)で測定された光信号の一部を規定する範囲は、目標形状パラメータの異なる値について、光信号と目標形状パラメータとの相関の変動に従って変化するように決定される。いくつかの実施例において、工程(b)で測定された光信号の一部を規定する範囲は、光信号が目標形状パラメータほど非目標形状パラメータと強く相関しないと判定された範囲である。
本開示の特定の態様は、エッチング処理中に基板上に1または複数のフィーチャをエッチングするためのシステムに関する。かかるシステムは、以下の特徴によって特徴付けられる:半導体基板をエッチングするためのエッチング装置、および、エッチング装置の動作を制御するためのコントローラ。コントローラは、以下の実行可能な命令を格納する持続的なメモリを備える:(a)光エネルギが基板上にエッチングされているフィーチャと相互作用することによって生成された光信号を測定するための命令;(b)測定された光信号の一部を提供するための命令であって、その一部は、光信号がフィーチャの目標形状パラメータ値と相関すると決定された範囲によって規定される命令;(c)測定された光信号から目標形状パラメータ値を予測するよう構成されたモデルに一部の光信号を適用するための命令;(d)モデルから、エッチングされているフィーチャの目標形状パラメータの現在の値を決定するための命令;(e)エッチングされているフィーチャの目標形状パラメータの現在の値を、目標形状パラメータのエッチング処理終点値と比較するための命令;ならびに、(f)エッチングされているフィーチャの目標形状パラメータの現在の値が終点値に達したことを(e)における比較が示すまで、(a)~(e)を繰り返すための命令。特定の実施形態において、モデルは、光信号がフィーチャの目標形状パラメータ値と相関すると決定された範囲を決定することによって生成されたものである。いくつかの実施例において、エッチングされているフィーチャの目標形状パラメータは、エッチング深さ、ピッチ、または、エッチングクリティカルディメンションである。
いくつかの実施例において、エッチング装置は以下を備える:(i)処理チャンバ;(ii)処理チャンバ内で基板を保持するための基板ホルダ;(iii)処理チャンバ内でプラズマを生成するためのプラズマ発生器であって、RF電源を備えたプラズマ発生器;(iv)1または複数の処理ガスを処理チャンバ内に流すための1または複数のバルブ制御された処理ガス流入口;ならびに、(v)処理チャンバからガスを排気するために1または複数の真空ポンプに流体接続された1または複数のガス流出口。
特定の実施形態において、コントローラは、さらに、エッチングされているフィーチャの目標形状パラメータの現在の値が終点値に達したことを(e)における比較が示した時に、エッチング処理を終了するための命令を備える。いくつかの実施形態において、(a)で生成された光信号を測定するためのコントローラの命令は、基板上にエッチングされているフィーチャから生成された反射率を測定するための命令を含む。
いくつかの実施例において、コントローラは、(b)で測定された光信号の一部を規定する範囲を、(a)~(e)の2回の繰り返しの間で変更するための命令を備える。かかる実施例において、(b)で測定された光信号の一部を規定する範囲は、目標形状パラメータの異なる値について、光信号と目標形状パラメータとの相関の変動に従って変化するように決定されたものであってもよい。
特定の実施形態において、(b)で測定された光信号の一部を規定する範囲は、光信号が目標形状パラメータほど非目標形状パラメータと強く相関しないと判定された範囲である。いくつかの実施例において、(b)で測定された光信号の一部を規定する範囲は、光信号がフィーチャの目標形状パラメータの値と相関することを回帰技術を用いて判定された波長の範囲である。本開示の別の態様は、光エネルギが基板上にエッチングされたフィーチャと相互作用することによって生成された測定光信号を、基板上にエッチングされたフィーチャの目標形状パラメータの値と関連づける計算モデルを生成する方法に関する。かかる方法は、以下の特徴によって特徴付けられうる:(a)測定光信号が目標形状パラメータほど非目標形状パラメータと強く相関しない範囲を決定する工程;(b)範囲内の光信号の値を持つ要素を有するトレーニングセットを提供する工程であって、トレーニングセットの各要素は、(i)基板にエッチングされたフィーチャの目標形状パラメータの値、および、(ii)基板にエッチングされたフィーチャの目標形状パラメータの値を有するエッチングフィーチャから生成された関連の光信号を含む工程;ならびに、(c)トレーニングセットから計算モデルを生成する工程。
いくつかの実施形態において、基板上にエッチングされたフィーチャの目標形状パラメータは、エッチング深さ、ピッチ、または、エッチングクリティカルディメンションである。いくつかの実施形態において、光信号は、基板上にエッチングされたフィーチャから生成された反射率を含む。いくつかの実施例において、測定光信号が目標形状パラメータほど非目標形状パラメータと強く相関しない範囲は、波長の範囲である。特定の実施形態において、範囲を決定する工程は、目標形状パラメータの異なる値について、光信号と目標形状パラメータとの相関の変動に従って、範囲の変動を決定する工程を含む。特定の実施形態において、トレーニングセットから計算モデルを生成する工程は、ニューラルネットワークまたは回帰技術を用いる工程を含む。
一部の例では、トレーニングセットは、少なくとも約50の要素を含む。特定の実施形態において、トレーニングセットの要素は、さらに、基板にエッチングされたフィーチャの非目標形状パラメータの値を含む。いくつかの実施例において、トレーニングセットの要素は、実験的に取得される。いくつかの実施例において、トレーニングセットの要素は、計算的に生成される。かかる場合に、トレーニングセットの要素は、表面動力学モデルおよび光学モデリングルーチンから生成されてよい。
本開示の別の態様は、光エネルギが基板上にエッチングされたフィーチャと相互作用することによって生成された測定光信号から、基板上にエッチングされたフィーチャの目標形状パラメータ値を計算するよう構成された計算モデルに関する。かかるモデルは、上述の方法によって生成されてよい。
例えば、計算モデルを生成するために用いられるトレーニングセットの要素は、基板にエッチングされたフィーチャの非目標形状パラメータの値を含んでもよい。さらに、計算モデルを生成するために用いられるトレーニングセットの要素は、実験的に取得されてもよいし、表面動力学モデルおよび光学モデリングルーチンなどから計算的に生成されてもよい。いくつかの実施例では、トレーニングセットは、少なくとも約50の要素を含む。さらに、計算モデルは、ニューラルネットワークまたは回帰技術を用いて、トレーニングセットから生成されてよい。
いくつかの実施例において、計算モデルは、基板上にエッチングされたフィーチャの目標形状パラメータを予測し、かかるフィーチャは、例えば、エッチング深さ、ピッチ、または、エッチングクリティカルディメンションであってよい。一部のモデルにおいて、光信号は、基板上にエッチングされたフィーチャから生成された反射率の値を含む。
特定の実施形態において、計算モデルを生成する時に、測定光信号が目標形状パラメータほど非目標形状パラメータと強く相関しない範囲は、波長の範囲である。特定の実施形態において、計算モデルを生成する時に、範囲を決定する工程は、目標形状パラメータの異なる値について、光信号と目標形状パラメータとの相関の変動に従って、範囲の変動を決定する工程を含む。
以下では、開示されている実施形態のこれらの特徴およびその他の特徴について、関連図面を参照しつつ詳細に説明する。
エッチング処理中のフィーチャの変化を示す図。
光学パラメータ(例えば、特定の方向の反射信号強度)が、どのように、対象のフィーチャ(この例では、エッチング深さ)と共に変化し、結果的にエッチング時間と共に変化しうるかを示す一例を提供する図。
エッチング処理を監視して、必要に応じて調整を行うための処理を提示する図。
特定の実施形態に従って、モデルを生成するための方法を示すフローチャート。
ギャップを調整可能な容量結合閉じ込めRFプラズマリアクタの一実施形態を示す図。 ギャップを調整可能な容量結合閉じ込めRFプラズマリアクタの一実施形態を示す図。 ギャップを調整可能な容量結合閉じ込めRFプラズマリアクタの一実施形態を示す図。
本明細書の特定の実施形態を実施するのに適した誘導結合プラズマエッチング装置(一例として、カリフォルニア州フレモントのラムリサーチ社製のKiyoリアクタ、「Kiyo」は商標)の断面図。
イントロダクションおよび文脈
本願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および、「製造途中の集積回路」という用語が、交換可能に用いられている。当業者であれば、「製造途中の集積回路」という用語は、集積回路加工の多くの段階の内のいずれかの途中のシリコンウエハを指しうることがわかる。半導体デバイス産業で用いられるウエハは、通例、200mm、または、300mm、または、450mmの直径を有する。以下の詳細な説明では、本発明がウエハに実施されることを仮定している。ただし、本発明は、それに限定されない。ワークピースは、様々な形状、サイズ、および、材料を有してよい。半導体ウエハに加えて、本発明を利用しうるその他のワークピースは、プリント回路基板、磁気記録媒体、磁気記録センサ、鏡、ピクセル化ディスプレイを含む光学素子、微小機械素子など、様々な物品を含む。
一部の半導体デバイスの製造は、1または複数の材料にフィーチャをエッチングすることを含む。材料は、材料の単一層または材料のスタックであってよい。一部の例において、スタックは、交互の材料層(例えば、窒化シリコンおよび酸化シリコン)を備える。エッチングフィーチャの一例は、シリンダである。
本明細書の様々な実施形態において、フィーチャは、基板上に誘電体、半導体、および/または、導電体の材料を有する基板(通例は、半導体ウエハ)にエッチングされる。エッチング処理は、一般に、プラズマベースのエッチング処理である。フィーチャは、基板の表面の凹部である。フィーチャは、円筒形、長方形、正方形、その他の多角形の凹部、トレンチなどを含むがこれらに限定されない多くの異なる形状を有しうる。エッチングフィーチャの例には、様々なギャップ、穴すなわちビア、トレンチなどが含まれる。
以下の開示は、(1)その場光学測定装置によって生成された限られた範囲の波長またはその他の時間依存性の光信号から、エッチング処理で生成されたフィーチャを特徴付けるエッチング深さまたはその他の形状パラメータを決定するための計算効率のよいモデルを生成するための方法および装置と、(2)その場測定によって検出された選択範囲の時間依存性の光信号を受信し、それらの選択された光信号を用いて、エッチングを受ける基板におけるフィーチャの深さおよび/またはその他の形状パラメータを算出するモデルと、を含む。特定の実施形態において、フィーチャは、一般にメモリのために作られる構造など、周期的構造または反復構造である。(1)の方法および装置は、(2)のモデルを生成するために用いられてよいが、モデルは、かかる方法および装置によって作られたモデルに限定されない。特定の実施形態において、(2)のモデルは、(1)の処理を用いて生成される。特定の実施形態において、モデルは、実行された時にエッチング装置におけるエッチング処理のリアルタイム監視を提供するように、装置内にコード化または他の方法で実装される。いくつかの実施例において、モデルは、エッチング処理の終点を決定するか、または、決定を支援する。
モデルは、実験的および/または計算的に生成されたデータから準備されてよい。いくつかの実施形態において、データは、(1)リアクタエッチング条件(化学的条件および/または物理的条件)からエッチングフィーチャ形状パラメータ(例えば、エッチングプロファイル)を予測する表面動力学モデルまたは同様のモデル、ならびに、(2)反復フィーチャ形状から光信号(例えば、波長依存性の反射率)を予測する光学モデリングルーチンから計算的に生成される。かかる実施形態において、選択されたエッチング条件が第1モデルに入力され、モデルは、予測フィーチャ形状を生成し、次いで、予測フィーチャ形状は、光学モデリングルーチンに提供され、光学モデリングルーチンは、フィーチャ形状によって生成される光信号ひいては入力エッチング条件を予測する。このように、光信号値をフィーチャ形状に結びつけるデータが生成される。本明細書に記載されるようにモデル化および/または監視されるエッチング処理は、様々なフィーチャによって特徴付けられうる。例えば、処理は、エッチングされる材料または基板のタイプによって特徴付けられうる。エッチングされる材料は、導電体、誘電体、半導体、または、それらの任意の組みあわせであってよい。さらに、エッチングされる材料は、モノリシックまたは層状であってよい。材料は、メモリおよび/または論理デバイスを形成するために用いられてよい。エッチングのための誘電材料の例は、酸化シリコン、窒化シリコン、炭化シリコン、酸窒化物、酸炭化物、炭窒化物、これらの材料のドープ型(例えば、ホウ素、リン酸でドープしたものなど)、および、これらの材料の任意の組みあわせ由来の積層を含む。材料の特定の例は、SiO、SiN、SiON、SiOC、SiCNなどの化学量論的配合および非化学量論的配合を含む。導電材料の例は、窒化チタンおよび窒化タンタルなどの窒化物、ならびに、コバルト、アルミニウム、ルテニウム、ハフニウム、チタン、タングステン、白金、イリジウム、パラジウム、マンガン、ニッケル、鉄、銀、銅、モリブデン、スズ、および、これらの金属の合金を含む様々な合金などの金属を含むが、これらに限定されない。半導体材料の例は、ドープおよび非ドープのシリコン、ゲルマニウム、ガリウムヒ素を含むが、これらに限定されない。上記の導電体、半導体、および、誘電体のいずれも、多結晶、非晶質、単結晶、および/または、微晶質など、異なる形態を有してよい。エッチングされうる他の材料は、CoFeB、GeSbTe、InSbTe化合物、Ag-Ge-S化合物、および、Cu-Te-S化合物を含むが、これらに限定されない。概念は、NiOx、SrTiOx、灰チタン石(CaTiO)、PrCAMnO、PZT(PbZr1-xTixO)、(SrBiTa)Oなどの材料に拡張されうる。
本明細書に開示されている装置およびプラズマ条件は、任意の技術ノードでデバイスまたはその他の構造にフィーチャをエッチングするために用いられてよい。いくつかの実施形態において、エッチングは、20~10nmノード以上での製造に用いられる。エッチングは、ライン製造手順のフロントエンドおよび/またはライン製造手順のバックエンドで利用できる。
エッチング処理は、主に物理的(例えば、非反応性イオン衝撃)、主に化学的(例えば、小さい方向性衝撃のみを持つ化学的ラジカル)、または、それらの任意の組みあわせであってよい。化学エッチングが含まれる場合、化学反応物質は、例えば、フルオロカーボン、フッ素、酸素、塩素などを含有する反応物質を含む様々なエッチャントの内の任意の1または複数であってよい。エッチャントの例は、塩素(Cl)、三塩化ホウ素(BCl)、六フッ化硫黄(SF)、三フッ化窒素(NF)、ジクロロジフルオロメタン(CCl)、三フッ化リン(PF)、トリフルオロメタン(CHF)、フッ化カルボニル(COF)、酸素(O)、四フッ化炭素(CCl)、四塩化シリコン(SiCl)、一酸化炭素(CO)、一酸化窒素(NO)、メタノール(CHOH)、エタノール(COH)、アセチルアセトン(C)、ヘキサフルオロアセチルアセトン(C)、塩化チオニル(SOCl)、フッ化チオニル(SOF)、酢酸(CHCOOH)、ピリジン(CN)、ギ酸(HCOOH)、および、それらの組みあわせを含む。様々な実施形態において、これらのエッチング反応物質の組み合わせが用いられる。
多くのタイプの装置が、本明細書に記載の1または複数の方法および/または装置に従ってモデル化および/または制御されるエッチング処理の実行に適している。かかる装置の例は、後述するように、誘導結合プラズマリアクタおよび容量結合プラズマリアクタを含む。いくつかの実施形態において、エッチング処理は、(時に、単一のリアクタ内で)蒸着処理と組み合わせられる。かかる組み合わせられた蒸着およびエッチング処理の例は、側壁保護層を用いて高アスペクト比のフィーチャを生成する処理を含む(2014年12月4日出願の米国特許出願第14/560,414号、2015年5月28日出願の米国特許出願第14/724,574号、2015年4月27日出願の米国特許出願第14/697,521号を参照のこと(それぞれ、その全体が参照によって本明細書に組み込まれる))。原子層エッチング処理の例は、米国特許第8,883,028 号および第8,808,561号、ならびに、2015年4月24日出願の米国特許出願第14/696,254号に記載されており、それぞれ、その全体が参照によって本明細書に組み込まれる。
本明細書に開示したようにモデル化および/またはモニタリングされた処理を用いてエッチングされるフィーチャは、様々な形状パラメータのいずれかによって特徴付けられてよい。
エッチング深さ-これは、エッチングされたフィーチャの底部と、基板上面の平面(フィールド領域など)との間の距離を表す。図1に示すパラメータ「h」は、基板105上の層103にエッチングされたフィーチャ101のエッチング深さを表す。深さを有するエッチングフィーチャの例は、シリンダおよびトレンチなどの穴を含む。いくつかの実施例において、エッチング深さは、監視されているエッチング処理の間、終点深さとリアルタイムで比較される。例として、エッチングされるフィーチャは、エッチング処理の終了時に、約10nm~1μmの間の深さを有する。
クリティカルディメンション-これは、隣接するエッチングフィーチャの側壁間にあるエッチングされていない部分の幅を表す。図1に示すパラメータ「CD」は、ライン107のクリティカルディメンションの例を表す。通例、クリティカルディメンションは、基板上面の平面からの深さの関数である。例として、エッチングされるフィーチャは、エッチング処理の終了時に、約10nm~100μmの間のクリティカルディメンションを有しうる。
ライン幅-これは、2以上のエッチング領域間の隆起したフィーチャの幅を表す。通例、ライン幅は、対応するマスクフィーチャ幅によって規定され、クリティカルディメンションとは異なり、深さと共に変化することがない。図1に示すパラメータ「w」は、ライン107のライン幅の例を表す。
ピッチ-これは、隣接する平行なラインの中心点間の距離を表す。図1において、パラメータ「ピッチ」は、エッチングプロファイルのピッチを表す。
空間クリティカルディメンション-これは、ピッチとライン幅との間の差を表す。エッチング開口部の幅と見なされうる。
アスペクト比-空間クリティカルディメンションに対するエッチング深さの比を表す。エッチングフィーチャの細さの尺度と見なされうる。一例として、2μmの深さおよび50nmの空間クリティカルディメンションを有するシリンダは、40:1のアスペクト比(しばしば、単に40と記述される)を有する。浅いフィーチャは、比較的小さいアスペクト比を有し、深いフィーチャは、比較的大きいアスペクト比を有する。開示された実施形態に関連するエッチング処理を通して形成されたフィーチャは、高アスペクト比のフィーチャでありうる。いくつかの応用例において、高アスペクト比フィーチャは、少なくとも約5、少なくとも約10、少なくとも約20、少なくとも約30、少なくとも約40、少なくとも約50、少なくとも約60、少なくとも約80、または、少なくとも約100のアスペクト比を有するフィーチャである。開示された方法を通して形成されたフィーチャの空間クリティカルディメンションは、約200nm以下、例えば、約100nm以下、約50nm以下、または、約20nm以下であってよい。
図1は、エッチング処理中のフィーチャの変化を示す。上の図では、エッチング処理が始まったばかりであり、層103へのエッチング深さ「h」は小さい。ライン幅「w」は、リソグラフィマスクによって規定され、理想的には、エッチング処理中に変化しない。上から2番目の図において、エッチング処理は、さらに進み、より突出したフィーチャ101を層103に規定している。下の図では、エッチング処理が完了し、フィーチャ101は、下層の基板105の上部に達する。もちろん、完了したエッチングは、下層にある基板に達する必要もないし、かかる基板で停止する必要もない。
様々なタイプの光信号が、エッチングフィーチャに関する情報を取得するために測定されてよい。かかる信号は、エッチング処理の前、間、および/または、後に測定されてよい。
特定の実施形態において、反射率が測定される。反射率は、基板から反射した放射の強度の尺度である。反射信号は、入射角にかかわらず、基板表面に関して垂直からグレージング角まで、任意の角度で捕捉される。反射信号は、波長の範囲にわたって測定されてもよいし、離散的な波長で測定されてもよい。反射信号の測定に用いるツールに応じて、利用可能なスペクトル範囲は、深紫外線から遠赤外線の間であってよい。一例として、利用可能なスペクトル範囲は、約100nm~約10,000nmの間であってよい。反射信号は、エッチング処理中の様々な時間に取得されてよい。例として、反射信号は、約0.01秒~10秒の期間の時間ステップで取得されてよく、各処理中のかかる時間ステップ数は、約2~1000の間であってよい。換言すると、いくつかの例において、約2~1000回の測定が、エッチング処理中に実行される。
一般に、光信号は、基板表面から散乱した任意の放射線から取得されてよい。散乱放射線とは、一般に、物理的対象物に当たった後に、或る方向に伝搬し続ける光子またはビームのことである。散乱放射線は、反射および/または屈折されうる。時に、入射放射線は回折し、これは、基板表面に入射した放射線が複数の角度に散乱した時に起きる。例としては、粗面散乱が挙げられ、そこでは、散乱放射線が拡散して(複数の方向に進む、すなわち、入射ビームよりも広がる)、周期的表面から散乱し、散乱放射線は、異なる散乱次数に分けられ、各々が異なる方向に進む。いくつかの応用例において、放射線は、終点を決定するために反射率を測定できる回折次数で散乱される。もちろん、開示されている方法および装置は、周期的ではない分離構造からの散乱にも適用される。
本発明と共に用いられる光信号を測定するために利用可能な測定ツールの例は、スペクトル反射率計、偏光解析器、散乱計を含む。かかるツールの販売会社は、カリフォルニア州サンノゼのKLA-Tencor社およびカリフォルニア州ミルピタスのNanometrics社を含む。光波散乱計測は、しばしば周期的であり、異なる回折次数で反射する構造の特性を測定するよう意図された反射率計および偏光解析器などのツールのことである。
エッチング形状の進捗を監視するために用いられるモデルの特性
独立変数が、モデルに入力される。それらの一部または全部が、エッチングを受けている基板またはエッチングの済んだ基板と相互作用する光から測定された光信号である。相互作用する光は、反射、屈折、散漫散乱、回折などされて、その場測定ツールなどの測定ツールによって取得されうる。独立変数は、1または複数の角度での反射光強度など、相互作用する光の特性であってよい。測定される光信号は、時間、波長(周波数)、偏光、または、それらの任意の組みあわせの関数として測定されてよい。測定された光信号は、そのまま利用されてもよいし、モデルに提供される前に修正(例えば、フィルタリング、正規化、ベクトル化など)されてもよい。独立変数は、入力および/または要因を表してよい、ならびに/もしくは、要因であるか否かを調べるために試験される。独立変数は、「予測変数」、「リグレッサ」、「制御変数」、「操作変数」、「説明変数」、または、「入力変数」としても知られうる。
従属変数が、モデルによって出力される。それらは、1または複数のエッチング形状パラメータ(エッチング深さ、ピッチ、および、クリティカルディメンションなど)の計算値であってよい。これらの形状パラメータは、エッチング処理中に進捗する時間の関数として提供されてよい。一部の例では、変化する形状パラメータ(エッチング深さなど)が、光信号(独立変数)を用いて繰り返し計算されて、終点値と比較され、形状パラメータの値が終点値と一致した時に、エッチング処理が自動的に変更される(例えば、終了される)、および/または、通知が生成される。モデルによって出力される従属変数の値、特に、プロセス制御の変更を開始する処理終点アルゴリズムに適用されるか、または、用いられる値は、従属変数に基づいた終点またはその他の処理状態の「コール」と呼ばれてもよい。従属変数は、時に、応答変数と呼ばれる。
モデルは、従属変数を独立変数に関連づける。モデルは、任意の1または複数の異なる形態を用いて、関連づけを行う。例としては、線形結合(例えば、独立変数の重み付き寄与の合計)、非線形式(例えば、独立変数を含む二次以上の多項式)、ルックアップテーブル、分類ツリー、動的時間伸縮、類似度メトリック駆動アルゴリズム、パターンマッチングおよび分類、様々な多変量統計(PCA、PLS)、ならびに、障害検出および分類スキームで用いられる多くの特異値検出を含む。いくつかの例において、モデルは、ニューラルネットワークである。
モデルは、以下に述べる特徴の内の1または複数を有してよい。
いくつかの実施例において、モデルは、リアルタイムにその場の光信号を処理して、その場の光学情報から形状エッチングパラメータを生成できるように、計算効率がよい(例えば、リアルタイム終点監視)。特定の実施形態において、フィーチャ特性評価アルゴリズム(例えば、終点評価)は、(光学測定値などの入力変数値を受信した時から)約100ms以内に処理を完了する。特定の実施形態において、フィーチャ特性評価アルゴリズムは、約20ms以内に処理を完了する。かかる迅速な処理は、例えば、重要な工程変更要件を備えた応用例または高エッチング速度の処理(例えば、約1分未満で完了するエッチング処理)で実行されてよい。多くの変動が処理レジーム(RFパルシングまたはガスパルシングなど)によって誘導される処理において、もしくは、ウエハ構造自体が(交互の材料のスタックなどの中に)複雑な構造を有する場合、時に、複数の時間サンプル(例えば、100以上または1000以上のサンプル)の各々について、データ配列(例えば、数千のデータ)が必要とされうる。モデルの実行時間は、用いられるアルゴリズムのタイプにも依存する。いくつかの実施例において、モデルは、エッチング処理の開始から現在時刻までのスペクトル情報の時間発展の全部または大部分を処理する。これは、多数のモデルがマルチウェイ主成分分析(PCA)およびマルチウェイ部分最小二乗法(PLS)などで生成されることを必要としうる。ここで、各モデルは、対応する時間間隔の履歴軌跡に関して、エッチングの開始から現在の時間ステップまでの光学測定値の軌跡を比較する。かかるモデルは、エッチング時間が長くなるにつれて、モデル較正中およびリアルタイム処理監視中の両方で計算要件を増大させた。かかる場合、システムは、大量のバッファスペース、マルチスレッディング、および/または、複数コアを備えたプロセッサなど、さらなる処理能力を持つように構成されてよい。
いくつかの実施例において、モデルコール(エッチング終点に対応するエッチング深さなどの形状パラメータの出力)が、「信頼度」と共に提供される。コールは、モデルがモデルを生成または検証するために用いられた形状の範囲外の形状を予測した場合に、低い信頼度を与えられうる。例えば、エッチング中のフィーチャがモデルを生成するのに用いられた任意の形状のより狭いクリティカルディメンションを有するとモデルが判定した場合、呼び出されたエッチング深さの終点は、低い信頼度を与えられうる。さらに、コールは、入力として用いられた光信号が予測範囲の外側にあった場合に、低い信頼度を与えられうる。特定のタイプのエッチング処理においては、非モデル化要素からの信号の変動が、モデルの適合に影響を与え、信頼度を下げうる。かかる信号の変動の例は、照射の変動からの「ノイズ」(ランプノイズまたはレーザノイズ)、モデルで仮定された変動に対するハードウェア設定の変動などを含む。確率モデルにおいて、コールの信頼度は、かかるモデルを開発するのに用いられたデータからの寄与(例えば、かかるデータおよびデータの変動の量)を含みうる。
特定の実施形態において、モデルは、限られた範囲の波長(または、光信号のその他の態側面)にわたる光出力信号を用いており、波長の範囲は、対象の形状パラメータを決定するために選択されてよい。この範囲の信号は、モデルのための独立変数(または、独立変数のグループ)として用いられる。いくつかのかかる実施例において、利用可能な光信号の多くは、入力として利用されない。選択された範囲は、測定ツールによって測定できる値の全範囲のわずかな部分(例えば、約10%未満または離散値)を表しうる。選択された範囲をモデル入力として用いれば、エッチングフィーチャの形状を決定するのに、必要とする計算量を減らすことができるため、より高速に計算できる。選択された従属変数を相関のある形状パラメータからの干渉なしに計算することも可能になり;例えば、クリティカルディメンションと強い相関を持つ入力信号からの大きい干渉なしに、エッチング深さを計算できる。例えば、第1波長範囲が、エッチング深さと強い相関を持ちうるが、異なる波長範囲は、クリティカルディメンションと強い相関を持つが、エッチング深さとは弱い相関しか持ちえないとする。エッチング深さに焦点を当てた処理は、信号を曖昧にすることを避けるために、第1波長範囲内の光信号だけを利用してよい。
用いられる光学ツールに応じて、利用可能な出力信号は、波長以外の特性の狭い範囲に制約されてもよい。例えば、用いられる出力信号は、特定の偏光状態に、もしくは、基板および/または入射光に関する特定の方向に、限定されてもよい。この方向は、時に、正反射方向(入射したのと同じ角度で表面から反射し、時に、ゼロ次反射率と呼ばれる)であるが、回折周期的表面の場合、方向は、正反射方向以外に反射された個別の次数の方向でありえ;これらは、時に、より高い回折次数と呼ばれる。ゼロ次反射放射を含め、回折次数に関連する任意の1または複数の方向が用いられてよい。
いくつかの例において、選択された波長範囲またはその他の選択された光学パラメータ範囲は、エッチング処理中に時間の関数として変化する。換言すると、光学パラメータの選択された1または複数の範囲は、時間増分によって変化する。これは、対象の光信号のスペクトル構造が或る時間ステップから次の時間ステップで変化した時の問題に対処する適切な方法を提供しうる。例えば、反射強度ピークの中心に関連するエッチング深さは、エッチング処理の期間中に波長により変化うる。
図2は、光学パラメータ(例えば、特定の方向の反射信号強度)が、どのように、対象のフィーチャ(この例では、エッチング深さ)と共に変化し、結果的にエッチング時間と共に変化しうるかを示す一例を提供する。図2の図面は、3つの反射強度対波長スペクトルを示しており、各々が、異なるエッチング深さ、ひいては、異なる時間に関連している。図2の上の図は、エッチング処理の開始時(例えば、パターン化されたマスクが存在するがエッチングは起こっていない時)のスペクトルを示す。この段階では、反射強度は、λ1に最大値を有する。中央および下の図は、エッチング処理中にスペクトルがどのように変化するのかを示す。明白に、強度ピークは、この例では、より長い波長λ2およびλ3へずれている。
特定の実施形態において、光信号の選択される波長範囲またはその他の選択される特性は、対象の形状パラメータ(例えば、エッチング深さ)の変化が、測定された光学パラメータ(例えば、反射強度)に大きい変化を引き起こすが、1または複数の他の形状パラメータ(例えば、クリティカルディメンション)の変化が、測定された光学パラメータに大きい変化を引き起こさない場合に、「目標の感度」を高める(例えば、最大化する)ように選択される。これは、2以上の相関する形状パラメータの関数である時間依存性の測定光信号の例によって理解できる。この状況を表す微分方程式が、それぞれ形状パラメータの1つに関する光信号の導関数を含む項の合計の関数として時間に関する光信号の導関数を提供しうる。
dR/dt=(dR/dDepth)(dDepth/dt)
+(dR/dCD)(dCD/dt)
いくつかの実施例において、選択される波長またはその他の光学パラメータは、dR/dDepthの大きい値と、dR/dCDの小さい値とを有するよう選択される。これは、モデルが、クリティカルディメンションの変化と共に変わる信号による大きい寄与(および、それらの信号からの干渉)なしに、エッチング深さを計算することを可能にする。もちろん、選択される光学パラメータ範囲は、任意の選択されたフィーチャパラメータ(例えば、ピッチ、エッチング角、クリティカルディメンションなど)を強調するよう選択されうる。さらに、(対象のフィーチャにおける変動を良好に表す)選択済みの波長範囲は、時間と共に変化してもよい。
いくつかの実施例において、複数の光学特性が同時に測定されることにより、同時に複数の形状エッチングパラメータを分解することが可能になる。例えば、反射信号の強度および偏光(s偏光成分およびp偏光成分)が測定され、別個の独立変数としてそれらを用いて、時間変化するエッチング深さおよびクリティカルディメンションの両方を計算するモデルに提供されてもよい。測定できる他の光学特性は、本明細書の別の部分で特定した。一例は、反射された放射線の方向である。
本明細書に提示したほとんどの例は、エッチング深さを対象の形状パラメータと見なし、クリティカルディメンションを潜在的に曖昧にする形状パラメータと見なしているが、いくつかの応用例では、形状パラメータを異なる方法で用いてもよい。例えば、クリティカルディメンション、ピッチ、側壁角度などが、対象の形状パラメータであってもよい。本開示は、これを理解して読むべきである。
図3は、エッチング処理を監視して、必要に応じて調整を行うための処理を提示する。図の処理は、4つの段階を有する:ブロック301および303に示す初期設定段階、ブロック305に示すエッチング処理開始段階、ブロック307,309、311、313、および、317のループで示すエッチング監視/調整段階、最後に、ブロック315で示すエッチング終了段階。
最初に、設定段階中、測定データに作用する測定ツールおよび/またはプロセッサが、エッチング処理を監視するために監視モデルに適切な測定データを提供するよう設定される。したがって、図の例において、処理工程301は、監視に適切な範囲内の波長またはその他の光学パラメータのみを捕捉または処理するように、測定ツールおよび/またはプロセッサを設定する。上で説明したように、エッチング監視アルゴリズムは、測定および処理について、特定の波長またはその他の光学パラメータに依存してよく、これらのパラメータは、すべての利用可能な光学パラメータの一部である。例えば、スペクトルの可視部または紫外部における狭い範囲の波長が、基板のエッチング深さ、クリティカルディメンション、または、その他の形状パラメータのリアルタイム監視に適しうる。
初期のセットの波長またはその他の光学パラメータを取り込むことに加えて、監視アルゴリズムは、エッチング処理中にかかる光学パラメータの変動を用いる必要がありうる。このために、図の処理は、エッチング処理が進むにつれて捕捉および/または処理される波長またはその他の光学パラメータを変化させるように、測定ツールおよび/またはプロセッサを設定する工程303を含む。一例として、工程301で設定された初期の波長範囲は、電磁スペクトルの可視部および紫外部にまたがりうるが、時間と共にエッチングが進行するにつれて、波長の範囲は、完全に可視範囲内にシフトする。かかるシフトは、工程303で予め設定されてよい。
設定工程301および303の一方または両方は任意選択的であり、一部のエッチング監視処理は、それらを必要としないことを理解されたい。一例として、かかる処理は、エッチング処理全体に適切な狭い帯域の波長だけを捕捉してよい。他の例において、監視モデルは、リアルタイムに、対象の形状パラメータにとって高い精度で、広い範囲の波長(または、その他の光学パラメータ)で動作するよう設計または構成されてもよい。
設定工程(工程301および303など)は、様々な方法で実施されてよい。例えば、処理システムでの測定ツールの光学設定および/またはデータ収集設定は、設定および/または制御工程のために調整または境界される。
初期設定段階が完了した後、処理は、処理工程305によって示されるように、エッチングチャンバ内でエッチング処理を開始する。当業者によって理解されるように、これは、エッチングチャンバ内に基板を配置すること、エッチングチャンバを排気すること、エッチングチャンバ内に処理ガスを流すこと、プラズマを点火することなどを含みうる。最初に、エッチング処理において、基板は、エッチングパターンを規定するためのマスクまたはその他の構造のみを備えうる。エッチングされる下層の材料は、エッチング処理が工程305で開始される前には、実質的にはエッチングされていない。
エッチング処理が進むにつれ、エッチング処理は、工程301および303での設定に従って、1または複数の測定ツールによって収集されて処理された基板からの光信号を用いてリアルタイムで監視される。基板からリアルタイムの光信号を連続的に測定することを表す処理ブロック307を参照されたい。エッチング処理の監視中、エッチング/測定システムは、現在の時間ステップに適切な光信号の一部(現在の範囲の波長またはその他の光学パラメータのセット)を提供し、モデルは、対象のエッチング形状パラメータを予測するためにこれらの信号を用いる。処理ブロック309を参照されたい。上述のように、モデルは、エッチング処理中の任意の時間ステップに、特定の範囲の光学パラメータ(独立変数)のみを処理するよう最適化されてよい。工程309は、現在の時間ステップ中に、必要に応じて、モデルが、収集されたパラメータを受信することを保証する。
次に、現在の時間ステップ中に、モデルは、現在入力された光学パラメータを用いて実行され、予測エッチング形状パラメータを提供する。これは、ブロック311に示されている。モデルがリアルタイムで形状パラメータを計算している間、監視アルゴリズムは、パラメータが(現在の時間ステップの)予測範囲内にあるか否か、または、パラメータが、エッチング処理の終点を示すか否かを判定するために、それらのパラメータをチェックする。このチェックは、判定ブロック313に示されている。モデルによって予測されたエッチング形状パラメータが予測範囲内にあり続けると仮定すると、監視処理は、現在の時間増分が、既存の設定(例えば、ブロック301および/または303で規定された設定)に従って、捕捉に向けて光学パラメータを調整することを求めるか否かを判定し続ける。処理ブロック317を参照されたい。捕捉および処理のために現在の光学パラメータが調整されるか否かに関わらず、処理制御は、測定システムがリアルタイムの光信号を収集し続けるブロック307に戻る。上述のように、これが行われている間、プロセッサおよび関連アルゴリズムは、(i)現在の時間ステップに適切な光信号をモデルに提供し(処理ブロック309)、(ii)現在の時間ステップ中に予測された形状エッチングパラメータを提供するためにモデルを実行し(処理ブロック311)続ける。さらに、プロセッサおよびアルゴリズムは、判定ブロック313で、予測されたエッチング形状パラメータが予測範囲内にあるか否かを判定し続ける。
或る時点で、判定工程313で行われた評価は、否定の結果となり、すなわち、エッチングパラメータが現在の時間中に予測範囲の外側にあるか、または、エッチングパラメータが終点に達した結果となる。その時点で、処理フローは、現在のエッチング処理を修正または終了させるか、もしくは、エッチング処理に自動または手動で介入しうるエッチングシステムに通知を送信する処理工程315に進む。かかる介入は、コース調整が必要であるか否か、および/または、処理を終わらせるべきであるか否かを判定するためのさらなる評価を含みうる。
測定された光学パラメータから時間依存のエッチング形状を計算するモデルの生成
モデルは、多くのデータ点を含むトレーニングセットを用いて生成されてよく、各データ点は、(i)1または複数のエッチング形状値と、(ii)エッチング形状を有する基板を調べる測定ツールから生成されることが予測される(または、生成された)1または複数の関連光信号値とを有する。1または複数のエッチング形状特徴値は、測定ツールからの光学読み取り値に影響しうる。例としては、エッチング深さ、クリティカルディメンション、および、上述したその他の特徴が挙げられる。光学読み取り値の例は、時間の関数としての反射スペクトルを含む。
トレーニングセットデータポイント(形状エッチングパラメータおよび関連光信号値)は、実験的または計算的に生成されてよい。いくつかの実施形態において、エッチングパラメータは、表面動力学モデル(SKM)などのエッチングプロファイルモデルを用いて計算によって生成される。かかるモデルについては、以下で説明すると共に、2015年12月17日出願の米国特許出願第14/972,969号(代理人整理番号第LAMRP216号)に記載されており、この出願は、その全体が参照によって本明細書に組み込まれる。SKMまたはその他のエッチングプロファイルモデルを用いて、エッチング形状パラメータ値を生成する場合、形状から生成される光学パラメータは、厳密結合波解析法(RCWA)または同様の技術などの光学モデリングルーチンを用いてモデル化または予測されてよい。
RCWAは、回折格子などの周期的構造から反射(回折、散乱)した、または、かかる回折格子を通して伝達された放射の特性を説明するために利用できる1つの方法にすぎない。RCWAは、MoharamおよびGaylordによってほとんど開発され、科学文献に記載されている。例えば、M.G.MoharamおよびT.K.Gaylordの“Rigorous coupled-wave analysis of planar-grating diffraction”J.Opt Soc of America,Vol.71,Issue 7,pp.811-818(1981)を参照されたい。RCWAは、様々な回折次数(ゼロ次以上)の強度および偏光の特性を計算する。結果を提供しうるその他の光学モデリング方法は、C法、モーダル法、レイリー近似、EFIE(電場積分方程式)、および、Cf-FFT(共役勾配高速フーリエ変換)を含むが、これらに限定されない。
厳密結合波解析法(RCWA)は、周期的な誘電体構造からの散乱を解析するためにしばしば用いられる計算電磁気学の半解析的方法である。フーリエ空間法であるため、デバイスおよび場は、空間高調波の合計として表される。この方法は、フロケ関数(または、特に固体物理学において、ブロック波とも呼ばれる)で周期的な微分方程式の解を拡張できるフロケ定理に基づいている。デバイスは、z方向に各々均一な層に分割される。階段近似が、z方向に沿って勾配した誘電率などの特性を持つ曲面デバイスに必要である。各層における電磁モードが計算され、複数の層を通して解析的に伝搬される。全体的な問題は、散乱行列のような技術を用いて層間の界面の各々で境界条件を一致させることによって解決される。周期的な誘電体媒質における電磁モード(入射平面波の波数ベクトルによって決定される)を解くために、(偏微分形式の)マクスウェル方程式および境界条件が、フロケ関数によって拡張され、無限に大きい代数方程式へ変換される。必要とする精度および収束速度に応じて、より高次のフロケ関数を遮断すると、無限に大きい代数方程式は、有限になるため、コンピュータで解くことができる。
トレーニングセットから、回帰モデル、ニューラルネットワーク、または、光信号をエッチング形状に関連づけるためのその他の適切なモデルを生成できる。一例では、部分最小二乗法が、トレーニングセットデータから回帰モデルを生成するために用いられる。結果として得られるモデルは、経時的な複数の波長の軌跡の線形結合を提供して、対象のエッチング形状の特徴を計算する。一例として、モデルの形態は、以下のように表されてよい:
Figure 0007269296000001
ここで、λは、選択された波長での反射率またはその他の光学パラメータであり、bおよびaは、それぞれ、時間および波長と共に変化する係数である。
様々な実施形態において、モデルは、光信号の波長またはその他の特性の制限範囲を特定するための選択処理を用いて、対象の形状パラメータの強関数であると共に1または複数のその他の潜在的に曖昧にする形状パラメータの弱関数であるデータを特定することによって生成される。例えば、処理は、エッチング深さの変化に敏感であるが、クリティカルディメンションの変化には比較的感度が低い反射率データの波長を特定する。
光信号値の範囲の選択は、主成分分析(PCA)または部分最小二乗法(PLS)などの様々な技術によって達成されてよい。PCAは、1セットのウエハまたは1セットのSKMモデルシミュレーションから収集されたデータセットに大きい変動を含まない波長を除外するために利用できるデータ圧縮方法として用いられてよい。PCAモデルから取得された主成分をPLSモデルのためのXブロックデータとして利用でき、適切な波長のセットを選択するためにYブロックデータ(形状変数)との相関を学習できる場合に、PLSをPCAと組みあわせて利用できる。あるいは、PLSは、Xブロックとしての生反射率データおよびYブロックの形状変数を相関させるために、単独で利用されてもよい。
別のアプローチにおいて、広い範囲の光学パラメータにわたる表面動力学モデルまたはその他の正確なエッチングプロファイルモデルの結果の検討が、光学パラメータ範囲の選択を狭めるために用いられる。例えば、表面動力学モデルなどのエッチングプロファイルモデルは、所与のエッチング処理のための予測エッチング形状値を特定するために用いられ、これらのエッチング形状は、手動および/または計算的に変化させる開始ポイントとして用いられ、それらの感化から、対象となる目標形状パラメータの変化による光信号の比較的大きい変化ならびに/もしくは1または複数の非目標形状パラメータの変化による光信号の比較的小さい変化を生み出す光学パラメータ範囲を特定するために用いられる。したがって、異なる形状パラメータを変化させ、或る形状パラメータの変化の関数として強く変化するが他の形状パラメータでは強く変化しない波長またはその他の光学パラメータの1または複数の範囲を特定することができる。これは、大きい範囲の波長にわたってすべてのパラメータのdR/dGeometricParameterの感度行列を計算することによって実行することができ、所望の目標感度に基づいて部分を絞り込むことができる(例えば、深さには敏感であるがCDの変化には敏感でない波長)。
図4は、特定の実施形態に従って、モデルを生成するための方法を示すフローチャートである。図のフローに示すように、処理は、モデル生成システムが、1または複数の光学計測ツールを用いて生成された光信号の関数としてモデル化される目標形状パラメータを受信するブロック403で始まる。形状パラメータは、上記したパラメータのいずれであってもよく、例えば、基板におけるエッチングフィーチャの深さであってよい。かかるパラメータの選択は、もちろん、組織がエッチング処理および関連する半導体デバイス製造処理を制御する必要性によって統制される。
モデルは、データ点のトレーニングセットから生成され、各データ点は、目標形状パラメータ値(例えば、エッチング深さ)と、形状パラメータ値に応じて生成された1または複数の光信号値との組み合わせを提供する。換言すると、トレーニングセットの各要素は、フィーチャに関連する形状値と、特定のフィーチャから生成された関連の光信号とを含む。いくつかの実施例において、トレーニングセット要素は、所与のフィーチャの複数のパラメータ(例えば、エッチング深さおよびクリティカルディメンション)を含んでもよい。
モデルを生成するために、トレーニングセットは、処理ブロック405に示すように、実験的または計算的に準備される必要がある。特定の実施形態において、処理は、少なくとも約50の要素、または、少なくとも約100の要素、または、少なくとも約200の要素、または、少なくとも約500の要素のトレーニングセットを用いる。トレーニングセット要素は、目標形状パラメータと、かかる形状パラメータから生成された光信号との間の関係性を構築するために集合的に用いられる。
あるいは、複数の時間ステップにわたって1セットのプロファイルを生成する単一の試行(実験的または計算的)が、モデルを構築するためにシミュレートされた実験計画法(DOE)の中心点として利用されてもよい。このアプローチでは、光反射率に対する個々の形状変数およびそれらの交差項の影響をとらえようとするDOEで形状変数を変更することにより、一連の変更がプロファイルに適用される。各変更済みのプロファイルは、対応する光学反射率を取得するために、光学モデル(例えば、RCWA)の計算を通される。結果として得られた光学反射率のセットおよび形状変数は、所望の形状変数と最もよく相関する波長の範囲を絞り込むために、PCAおよび/またはPLSで用いられてよい。
特定の実施形態において、トレーニングセットを用いてモデルを生成する前に、方法は、目標形状パラメータと強く相関し、非目標形状パラメータと弱く相関する一部の光信号値を特定する。処理フローに示す任意選択的な工程407を参照されたい。上述のように、考慮する光信号の範囲を狭めることは、目標形状パラメータのより信頼性の高い決定を提供する、および/または、例えば、比較的少ない計算リソースでより速く決定を行うなど、様々な利点を有しうる。
光信号値の範囲がブロック407に示すように狭められたと仮定すると、処理は、光信号値の特定された範囲外のデータを除去するために、トレーニングセットを任意選択的にフィルタリングする。ブロック409を参照されたい。別のアプローチでは、モデル生成処理は、単に、トレーニングセットのためのさらなるデータ点を生成し、ここで、かかるさらなるデータ点は、工程407で特定された範囲内の光信号値を有する。
任意選択的な工程407および409が実行されるか否かにかかわらず、処理は、411の処理ブロックに示すように、最後に、トレーニングセットを用いて、対目標形状パラメータ値を光信号値に関連づけるモデルを生成する。ニューラルネットワーク、部分最小二乗法を含む回帰技術のような上述の技術など、モデル生成のための様々な技術が用いられてよい。
表面動力学モデルを含むエッチングプロファイルモデル
上述のように、エッチング形状値を物理的および/または化学的なエッチング条件と関連づけるエッチングプロファイルモデルは、エッチャのためのその場測定システムで用いられるモデルを生成するためにデータを生成することを含む様々な目的に用いられてよい。エッチングプロファイルモデルの文脈で、エッチングプロファイルとは、半導体基板上のエッチングフィーチャの形状を特徴付けるために利用できる1セットの1または複数の幾何座標に対する任意のセットの値のことである。単純な例では、エッチングプロファイルは、フィーチャにわたる二次元垂直断面スライスで見られるように、フィーチャのベースまでの中間(フィーチャのベース(すなわち、底部)と基板の表面上の上部開口部との中間点)で決定されたフィーチャの幅として近似できる。より複雑な例では、エッチングプロファイルは、同じ二次元垂直断面スライスで見られるように、フィーチャのベースの上方の様々な高さで決定された一連のフィーチャ幅であってもよい。
上述のように、かかる幅は、「クリティカルディメンション」と呼ばれてもよく、フィーチャのベースからの高さは、いわゆるクリティカルディメンションの高さまたはz座標と呼ばれてもよい。エッチングプロファイルは、共通始点からのベクトルのグループなど、他の幾何学的基準、もしくは、台形または三角形など、多くの形状、もしくは、弓形、直線、または、テーパ状の側壁、円形の底部、ファセットなど、典型的なエッチングプロファイルを規定する特徴形状パラメータのグループで表されてもよい。
このように、一連の幾何座標(例えば、異なる高さでのフィーチャ幅)は、フィーチャのプロファイルの離散的な描写をマッピングする。異なる高さでのフィーチャ幅を表す一連の座標を表現する多くの方法があることに注意されたい。例えば、各座標が、或る基準フィーチャ幅(平均フィーチャ幅、または、垂直方向で平均したフィーチャ幅など)からの偏差比を表す値を有しうる、もしくは、各座標が、垂直に隣接する座標からの変化を表しうる、などである。いずれにしても、「幅」または「クリティカルディメンション」と呼ばれているもの、および、一般的には、エッチングプロファイルを表すために用いられるプロファイル座標のセットに用いられるスキームが、文脈および利用から明らかになる。一連の座標が、フィーチャのエッチングプロファイルの形状を表すために用いられるということである。一連の幾何座標は、基板表面上にエッチングされたシリンダまたはトレンチの形状など、フィーチャのエッチングプロファイルの完全三次元形状またはその他の幾何学的特徴を表現するために利用できることにも注意されたい。したがって、いくつかの実施形態において、エッチングプロファイルモデルは、モデル化されるフィーチャの完全3D形状を提供しうる。
エッチングプロファイルモデルは、根本にある物理および化学エッチング処理および反応メカニズムを特徴付ける入力エッチング反応パラメータ(独立変数)のセットからエッチングプロファイルを計算する。これらの処理は、エッチングされるフィーチャとそれらの周囲を表すグリッドに時間および位置の関数としてモデル化される。入力パラメータの例は、イオン束などのプラズマパラメータと、特定の化学反応が起きる可能性などの化学反応パラメータとを含む。これらのパラメータ(特に、いくつかの実施形態において、プラズマパラメータ)は、一般的なリアクタ構成と、圧力、基板温度、プラズマ源パラメータ(例えば、プラズマ源に提供される電力、周波数、デューティサイクル)、反応物質、および、それらの流量などの処理条件とからパラメータを計算する他のモデルを含め、様々なソースから取得されてよい。いくつかの実施形態において、かかるモデルは、エッチングプロファイルモデルの一部である。
上述のように、エッチングプロファイルモデルは、反応パラメータを独立変数として、エッチングプロファイルを応答変数として生成する。換言すると、独立変数のセットは、モデルへの入力として用いられる物理的/化学的処理パラメータであり、応答変数は、モデルによって計算されたエッチングプロファイル特徴である。エッチングプロファイルモデルは、反応パラメータとエッチングプロファイルとの間の1または複数の関係性を用いる。関係性は、例えば、係数、重み付け、および/または、エッチングプロファイルに関連する応答変数を生成するために規定の方法で独立変数に適用されるその他のモデルパラメータ(および、反応パラメータおよび/またはその他のモデルパラメータの線形関数、二次以上の多項式関数など)を含みうる。かかる重み付け、係数などは、上述した反応パラメータの内の1または複数を表してよい。
一部のエッチングプロファイルモデルは、基本的な反応力学パラメータとして特徴付けられ、根底の化学および物理の基礎であると見なされうる独立変数を利用するため、実験プロセスエンジニアは、一般に、これらの量を制御しない。エッチングプロファイルモデルにおいて、これらの変数は、グリッドの各位置で、規定の時間ステップで分割された複数の時間に適用される。いくつかの実施例において、グリッド分解能は、約数オングストローム~約1マイクロメートルの間で変化してよい。いくつかの実施例において、時間ステップは、約1e-15~1e-10秒の間で変化してよい。特定の実施形態において、モデルは、2つのタイプの力学的独立変数を用いる:(1)局所的プラズマパラメータ、および、(2)局所的化学反応パラメータ。これらのパラメータは、位置の関数を、一部の例では、グリッドの解像度にまで変化させうるという意味で「局所的」である。プラズマパラメータの例は、イオン、ラジカル、光子、電子、励起種、デポジタ種などの粒子の流束およびエネルギ、ならびに、それらのエネルギおよび角度分布など、局所的なプラズマ特性を含む。化学反応パラメータおよび物理化学反応パラメータの例は、速度定数(例えば、特定の化学反応が特定の時間に起きる確率)、付着係数、エッチングのエネルギ閾値、基準エネルギ、スパッタ収率を規定するためのエネルギの指数、角度収率関数、および、そのパラメータなどを含む。さらに、パラメータ化された化学反応は、反応物質がエッチング中の材料とエッチャントとを含む反応を含む。化学反応パラメータは、基板を直接エッチングする反応に加えて、様々なタイプの反応を含んでもよいことを理解されたい。かかる反応の例は、寄生反応、蒸着反応、副生成物の反応などを含む。これらはいずれも、エッチング速度全体に影響しうる。モデルは、上述のプラズマおよび化学反応入力パラメータに加えて、その他の入力パラメータを必要としてもよいことを理解されたい。かかる他のパラメータの例は、反応部位での温度、分圧、または、反応物質などを含む。一部の例において、これらおよび/またはその他の非力学パラメータは、力学パラメータの一部を出力するモジュールに入力されてよい。
いくつかの実施形態において、独立変数の値は、文献、他の計算モジュールまたはモデルによる計算など、様々なソースから取得される。いくつかの実施形態において、独立変数(プラズマパラメータなど)は、モデルを用いて(プラズマパラメータの場合には、エッチングチャンバプラズマモデルから)決定されてよい。かかるモデルは、処理エンジニアが(例えば、ノブを調整することによって)制御する様々な処理パラメータ(例えば、圧力、流量、プラズマ電力、ウエハ温度、ICPコイル電流、バイアス電圧/電力、パルス周波数、パルスデューティサイクルなどのチャンバ環境パラメータ)から適用可能な入力エッチングプロファイルモデルパラメータを計算してよい。
エッチングプロファイルモデルを実行する時、独立変数の一部は、実験を実行するために用いられる既知または予測パラメータ値に設定されてよい。例えば、プラズマパラメータは、モデル化された領域内の位置における既知の値または予測値に固定されてよい。他の独立変数は、調整された変数である。例えば、化学反応パラメータは、調整されてよい。したがって、所与の測定された実験エッチングプロファイルに対応する一連の試行においては、モデルパラメータは、これらのパラメータの値を選択する方法を明らかにしてモデルを最適化するために変更される。別の実施形態において、プラズマおよび化学反応のパラメータは、前もって知られている。
エッチングプロファイルモデルは、多くの異なる形態のいずれをとってもよい。最終的に、それらは、独立変数と従属変数(すなわち、応答変数)との間の関係性を提供する。関係性は、線形または非線形であってよい。一般に、エッチングプロファイルモデルは、当該技術分野でセルベースのモンテカルロ表面反応モデルと呼ばれているものである。これらのモデルは、その様々な形態で、半導体ウエハ製造の文脈で経時的にウエハフィーチャのトポグラフィの変化をシミュレートするよう動作する。モデルは、ウエハ上の任意の半径方向位置に対してプラズマモデルまたは実験的診断によって生成されたエネルギおよび角度分布を持つ擬似粒子を発射する。擬似粒子は、表面へのラジカルおよびイオンの流束を表すために統計的に重み付けされる。モデルは、表面に対するエッチング、スパッタリング、混合、および、蒸着につながる様々な表面反応メカニズムを扱って、プロファイルの変化を予測する。モンテカルロ積分中、様々なイオンおよび中性の擬似粒子の軌跡は、それらの粒子が反応するかまたは計算流域を離れるまで、ウエハフィーチャ内で追跡される。エッチングプロファイルモデルは、様々な材料に関して、エッチング、ストリッピング、原子層エッチング、イオン化金属物理蒸着、および、プラズマ化学蒸着の特徴を予測できうる。いくつかの実施形態において、エッチングプロファイルモデルは、二次元または三次元の直線メッシュを利用し、このメッシュは、ウエハフィーチャの次元を適切に処理/モデル化するのに十分細かい分解能を有する(ただし、原則的には、メッシュ(二次元または三次元)は、非直線的座標も利用しうる)。メッシュは、二次元または三次元のグリッド点の配列と見なされてよい。メッシュは、各グリッド点に関連する(を中心とする)二次元の局所的な領域または三次元の空間を表すセルの配列と見なされてもよい。メッシュ内の各セルは、異なる固体材料または材料の混合物を表しうる。モデル化の基礎として二次元メッシュが選択されるか三次元メッシュが選択されるかは、モデリングされるウエハフィーチャの種類/タイプに依存しうる。例えば、二次元メッシュは、(例えば、ポリシリコン基板内の)長いトレンチフィーチャをモデル化するために用いられてよく、二次元メッシュは、トレンチの端部の形状が、トレンチの端部からトレンチの長さの大半だけ離れて起きる反応プロセスにはあまり関連していないという仮定(すなわち、この断面二次元モデルのために、トレンチが無限であると仮定され、その端部から離れたトレンチフィーチャのための合理的な仮定でもある)の下でトレンチの断面図を描写する。一方、三次元メッシュを用いて円形のビアフィーチャ(シリコン貫通ビア(TSV))をモデル化することが(フィーチャのx、y水平寸法が互いに同等であるので)適切な場合がある。
メッシュの間隔は、ナノメートル未満から(例えば、1オングストロームから)数マイクロメートル(例えば、10マイクロメートル)までの範囲であってよい。一般に、各メッシュセルは、プロファイルの変遷中に変化しうる(例えば、フィーチャによって占有されていない空間領域内の)材料の識別子(ID)として、例えば、フォトレジスト、ポリシリコン、プラズマを割り当てられる。固相種は、計算セルの識別子(ID)によって表され;気相種は、計算の擬似粒子によって表される。このように、メッシュは、ウエハフィーチャの形状/トポロジが反応エッチング処理で経時的に変遷する時のウエハフフィーチャおよび周囲のガス環境(例えば、プラズマ)の(例えば、計算のための)かなり詳細な表現を提供する。
以前のセクションで提示したエッチングプロファイルモデルをトレーニングおよび最適化する目的で、エッチング処理パラメータの様々なセットによって規定される様々な処理条件下で実行された実際のエッチング処理から得られた実際のエッチングプロファイルを実験が許す限り正確に決定するために、様々な実験を行ってよい。したがって、第1エッチングプロファイルを生成するために、例えば、エッチング処理パラメータ(エッチャント流量、プラズマ電力、温度、圧力など)のセットに対する値の第1セットを指定し、それに従ってエッチングチャンバ装置を設定し、チャンバにエッチャントを流し、プラズマを点火するなどして、第1半導体基板のエッチングを進める。次いで、エッチング処理パラメータの同じセットに対する値の第2セットを指定し、第2基板をエッチングして、第2エッチングプロファイルを生成し、以下同様にする。
様々な組みあわせの処理パラメータが、必要に応じて、広い範囲または狭い範囲の処理空間を提供して、エッチングプロファイルモデルをトレーニングするために用いられてよい。次いで、同じ組みあわせの処理パラメータが、エッチングプロファイルモデルへの(独立)入力パラメータ(力学パラメータなど)を計算して、実験結果と比較できるエッチングプロファイル出力(応答変数)を提供するために用いられる。実験にはコストおよび時間が掛かりうるので、エッチングプロファイルモデルを最適化するためのロバストなトレーニングセットを提供するために実行する必要がある実験の回数を削減するように実験を計画する技術を利用できる。実験計画法(DOE)などの技術が、この目的のために用いられてよい。一般に、かかる技術は、様々な実験でどの処理パラメータセット利用するのかを決定する。それらは、処理パラメータ間の統計的相互作用、ランダム化などを考慮することによって、処理パラメータの組みあわせを選択する。一例として、DOEは、終了された処理の中心点の周りの限られた範囲のパラメータを網羅する少数の実験を特定しうる。
通例は、研究者が、モデル最適化処理の初期にすべての実験を実行し、最適化ルーチン反復で収束までこれらの実験だけを用いる。あるいは、実験計画者が、最適化の初期の反復のためにいくつかの実験を行い、最適化が進むにつれて後に追加の実験を行ってもよい。最適化処理は、評価される特定のパラメータひいては後の反復のために実行される特定の実験の情報を実験計画者に与えうる。
1または複数のその場またはオフライン測定ツールが、これらの実験エッチング処理動作から得られた実験エッチングプロファイルを測定するために用いられてよい。エッチングプロセスの終わり、エッチング処理中、または、エッチング処理中の1または複数の時点に、測定が行われる。測定がエッチング処理の終わりに行われる場合、測定方法は破壊的であってもよく、エッチング処理中に間隔を置いて実行される場合、測定方法は、一般に、(エッチングを妨害しないように)非破壊的である。適切な測定技術の例は、LSR、OCD、および、断面SEMを含むが、これらに限定されない。測定ツールは、SEM(実験は、基本的に、フィーチャのエッチングプロファイルを画像化する)の場合などでは、フィーチャのプロファイルを直接測定してよく、また、OCD測定(何らかの後処理が、実際の測定データからのフィーチャのエッチングプロファイルを取り消すために実行される)の場合などでは、フィーチャのエッチングプロファイルを間接的に決定してよいことに注意されたい。
いずれにしても、エッチング実験および測定手順の結果は、一連の測定されたエッチングプロファイルであり、各々が、一般に、上述のように、一連の座標に対する一連の値、または、フィーチャのプロファイルの形状を表す一連のグリッド値を含む。次いで、エッチングプロファイルは、以下に記載するように、コンピュータ化されたエッチングプロファイルモデルをトレーニング、最適化、および、改良するための入力として用いられてよい。
コンピュータ化されたエッチングプロファイルモデルの応用
特定の実施形態において、エッチングプロファイルモデルは、エッチャ装置と統合されてもよいし、1または複数のエッチャ装置を配備する半導体製造施設のインフラに統合されてもよい。エッチングプロファイルモデルは、所望のエッチングプロファイルを提供するのに適切な処理パラメータの調整を決定するため、または、エッチングプロファイルに対する処理パラメータの変化の影響を理解するために用いられてよい。したがって、例えば、製造施設内で半導体基板を処理するためのシステムは、エッチングプロファイルモデルを実装するコントローラによって制御された1セットの独立入力パラメータによって動作が調整される半導体基板エッチング用のエッチャ装置を備えてよい。後述するように、エッチャ装置の動作を制御するのに適切なコントローラは、通例、プロセッサおよびメモリを備えており、メモリは、エッチングプロファイルモデルを格納し、プロセッサは、格納されたエッチングプロファイルモデルを用いて、1セットの入力処理パラメータに与えられた1セットの値についてエッチングフィーチャプロファイルを計算する。プロファイルの計算後、いくつかの実施形態において、コントローラは、(計算されたプロファイルの形状に応じて)1セットの独立入力パラメータの1または複数の値を変化させることによってエッチャ装置の動作を調整してよい。
一般に、開示されているエッチングプロファイルモデルと共に利用できるエッチャ装置は、表面から材料を除去することによって半導体基板をエッチングするのに適切な任意の種類の半導体処理装置であってよい。いくつかの実施形態において、エッチャ装置は、誘導結合プラズマ(ICP)リアクタを構成してよく;いくつかの実施形態においては、容量結合プラズマ(CCP)リアクタを構成してもよい。したがって、これらの開示したエッチングプロファイルモデルと共に用いるエッチャ装置は、処理チャンバと、処理チャンバ内で基板を保持するための基板ホルダと、処理チャンバ内でプラズマを生成するためのプラズマ発生器とを有してよい。装置は、さらに、処理チャンバに1または複数の処理ガスを流すための1または複数のバルブ制御された処理ガス流入口、処理チャンバからガスを排気するための1または複数の真空ポンプに流体接続された1または複数のガス流出口などを備えてもよい。エッチャ装置(一般に、エッチングリアクタ、または、プラズマエッチングリアクタなどとも呼ばれる)に関するさらなる詳細を以下に提供する。
エッチング動作で利用される容量結合プラズマ(CCP)リアクタ
容量結合プラズマ(CCP)リアクタが、2009年2月9日に米国特許出願第12/367,754号として出願した米国特許第8,552,334号「ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON-CONTACT PARTICLE SEAL」、および、2014年11月12日出願の米国特許出願第14/539,121号「ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS」に記載されており、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。特定の実施形態において、容量結合リアクタは、終点検出もしくはその他の制御または監視動作のためにエッチング形状モデルを用いて基板のエッチングを実行する。
図5A~図5Cは、ギャップを調整可能な容量結合閉じ込めRFプラズマリアクタ500の一実施形態を示す図である。図に示すように、真空処理チャンバ502は、下側電極506を収容する内部空間を取り囲むチャンバハウジング504を備える。チャンバ502の上側部分には、下側電極506から垂直に離間されて、上側電極508が設けられている。(プラズマ生成に用いるように構成された)上側電極508および下側電極506の平面は、実質的に、平行であり、電極間の垂直方向に直交する。特定の実施形態において、上側電極508および下側電極506は、円形であり、1つの垂直軸に関して同軸である。上側電極508の下面は、下側電極506の上面と対向している。離間されて対向している電極の表面は、それらの間に調整可能なギャップ510を規定する。プラズマ生成中、下側電極506には、RF電源(整合)520によってRF電力が供給される。RF電力は、RF供給管路522、RFストラップ524、および、RF電力部材526を通して、下側電極506に供給される。より均一なRF場を下側電極506に供給するために、接地シールド536が、RF電力部材526を囲んでよい。米国特許公開第2008/0171444号(すべての目的のためにその全体が本明細書に参照によって組み込まれる)に記載されているように、ウエハが、ウエハポート582を通して挿入され、処理に向けてギャップ510において下側電極506上に支持され、処理ガスが、ギャップ510に供給されて、RF電力によってプラズマ状態に励起される。上側電極508は、電力供給されてもよいし、接地されてもよい。
図5A~図5Cに示す実施形態では、下側電極506は、下側電極支持プレート516上に支持されている。下側電極506と下側電極支持プレート516との間に挿入された絶縁リング514は、下側電極506を支持プレート516から絶縁する。RFバイアスハウジング530は、RFバイアスハウジングボウル532上に下側電極506を支持する。ボウル532は、RFバイアスハウジング530のアーム534によって、チャンバ壁プレート518の開口部を通して管路支持プレート538に結合されている。好ましい実施形態では、RFバイアスハウジングボウル532およびRFバイアスハウジングアーム534は、1つの構成要素として一体的に形成されるが、アーム534およびボウル532は、ボルトなどで結合された2つの別個の構成要素であってもよい。
RFバイアスハウジングアーム534は、RF電力と、気体冷却剤、液体冷却剤、RFエネルギ、リフトピン制御のためのケーブル、電気的な監視および作動の信号などの設備とを、真空チャンバ502の外側から真空チャンバ502の内側の下側電極506の背面の空間に通すための1または複数の空洞の通路を備える。RF供給管路522は、RFバイアスハウジングアーム534から絶縁されており、RFバイアスハウジングアーム534は、RF電力のRF電源520への帰還路を提供する。設備管路540は、設備要素のための通路を提供する。設備要素のさらなる詳細は、米国特許第5,948,704号および米国特許出願第2008/0171444号(共に、すべての目的のためのその全体が参照によって本明細書に組み込まれる)に記載されているため、記載を簡単にするため、本明細書では省略する。ギャップ510は、閉じこめリングアセンブリ(図示せず)によって囲まれていることが好ましく、その詳細は、米国特許公開第2007/0284045号(すべての目的のためにその全体が参照により本明細書に組み込まれる)に記載されている。
管路支持プレート538は、作動機構542に取り付けられている。作動機構の詳細は、米国特許公開第2008/0171444号(すべての目的のためにその全体が参照により本明細書に組み込まれる)に記載されている。作動機構542(サーボモータ、ステッピングモータなど)は、例えば、ネジ歯車546(ボールネジおよびボールネジを回転させるためのモータなど)によって、垂直リニアベアリング544に取り付けられる。ギャップ510のサイズを調整するための動作の間、作動機構542は、垂直リニアベアリング544に沿って移動する。図5Aは、作動機構542がリニアベアリング544の高位置にある結果、小さいギャップ510aが生じる場合の構成を示す。図5Bは、作動機構542がリニアベアリング544の中間位置にある場合の構成を示す。図に示すように、下側電極506、RFバイアスハウジング530、管路支持プレート538、RF電源520はすべて、チャンバハウジング504および上側電極508に対して、より低く移動されているため、結果として、中間サイズのギャップ510bが生じる。
図5Cは、作動機構542がリニアベアリングの低位置にある場合の大きいギャップ510cを示す。上側電極508および下側電極506は、ギャップ調整の間も同軸を維持し、ギャップの両側にある上側電極および下側電極の対向面は、平行を維持することが好ましい。
この実施形態によれば、複数工程のエッチング処理中のCCPチャンバ502内の下側電極506および上側電極508の間のギャップ510を、例えば、300mmウエハまたは平面パネルディスプレイなど、大直径の基板にわたって均一なエッチングを維持するために、調整することが可能である。特に、この実施形態は、下側電極506および上側電極508の間に調整可能なギャップを提供するのに必要な線形の動きを容易にするための機械的な構成に関する。
図5Aは、近位端で管路支持プレート538に対して、そして、遠位端でチャンバ壁プレート518の段付きフランジ528に対してシールされた軸直角変位ベローズ550を示す。段付きフランジの内径は、RFバイアスハウジングアーム534を通すチャンバ壁プレート518の開口部512を規定する。軸直角変位ベローズ550は、真空シールを提供すると共に、RFバイアスハウジング530、管路支持プレート538、および、作動機構542の垂直移動を許容する。RFバイアスハウジング530、管路支持プレート538、および、作動機構542は、片持梁アセンブリと呼んでもよい。好ましくは、RF電源520は、片持梁アセンブリと共に移動し、管路支持プレート538に取り付けられてよい。図5Bは、片持梁アセンブリが中間位置にある時に中立位置にあるベローズ550を示す。図5Cは、片持梁アセンブリが低位置にある時に軸直角方向に変位したベローズ550を示す。
ラビリンスシール548が、ベローズ550とプラズマ処理チャンバハウジング504の内部との間に粒子バリアを提供する。チャンバハウジング504の内壁のチャンバ壁プレート518に、固定シールド556を固定することで、ラビリンス溝560(スロット)が提供されており、そのラビリンス溝560内で、移動可能なシールドプレート558が、片持梁アセンブリの垂直の動きに対応して垂直移動する。移動可能なシールドプレート558の外側部は、下側電極506がどの垂直位置にあっても、スロット内に残る。
図の実施形態において、ラビリンスシール548は、チャンバ壁プレート518の開口部512においてチャンバ壁プレート518の内面に取り付けられてラビリンス溝560を規定する固定シールド556を備える。移動可能なシールドプレート558は、RFバイアスハウジングのアーム534がチャンバ壁プレート518の開口部512を通過する位置で、アーム534から放射状に伸びるように取り付けられる。移動可能なシールドプレート558は、ラビリンス溝560内に伸びると共に、第1ギャップだけ固定シールド556から離間され、第2ギャップだけチャンバ壁プレート518の内壁から離間されていることにより、片持梁アセンブリが垂直に移動することを許容する。ラビリンスシール548は、ベローズ550から砕けた粒子が、真空チャンバの内部に進入することを防ぎ、処理ガスプラズマからのラジカルがベローズ550に移動すると堆積物を形成して後に砕ける場合があることから、その移動を防止する。
図5Aは、片持梁アセンブリが高位置にある(小さいギャップ510aである)時に、移動可能なシールドプレート558が、RFバイアスハウジングアーム534の上方のラビリンス溝560内で高位置にある様子を示す。図5Cは、片持梁アセンブリが低位置にある(大きいギャップ510cである)時に、移動可能なシールドプレート558が、RFバイアスハウジングアーム534の上方のラビリンス溝560内で低位置にある様子を示す。図5Bは、片持梁アセンブリが中間位置にある(中間のギャップ510bである)時に、移動可能なシールドプレート558が、ラビリンス溝560内で中立すなわち中間位置にある様子を示す。ラビリンスシール548は、RFバイアスハウジングアーム534に関して対称であることが図示されているが、別の実施形態では、RFバイアスアーム534に関して非対称であってもよい。
エッチング動作で利用される誘導結合プラズマリアクタ
誘導結合プラズマ(ICP)リアクタについては、2013年12月10日出願の米国特許公開第2014/0170853号「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」、および、2014年11月12日出願の米国特許出願第14/539,121号「ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS」に記載されており、それぞれ、参照によって全ての目的で本明細書にその全体が組み込まれる。
例えば、図6は、本明細書の特定の実施形態を実施するのに適した誘導結合プラズマエッチング装置600の断面を概略的に示しており、エッチング装置の一例は、カリフォルニア州フレモントのラムリサーチ社製のKiyoリアクタ(「Kiyo」は商標)である。誘導結合プラズマエッチング装置600は、チャンバ壁601および窓611によって構造的に規定された全面エッチングチャンバを備える。チャンバ壁601は、ステンレス鋼またはアルミニウムから製造されてよい。窓611は、石英またはその他の誘電材料から製造されてよい。任意選択的な内部プラズマグリッド650が、全面エッチングチャンバを上側サブチャンバ602および下側サブチャンバ603に分割する。ほとんどの実施形態において、プラズマグリッド650を取り除くことにより、サブチャンバ602および603でできたチャンバ空間を利用することができる。チャック617が、下側サブチャンバ603内で底部内面付近に配置されている。チャック617は、エッチング処理が実行される半導体ウエハ619を受けて保持するように構成されている。チャック617は、ウエハの存在時にウエハ619を支持するための静電チャックでありうる。いくつかの実施形態では、エッジリング(図示せず)が、チャック617を取り囲んでおり、ウエハがチャック617上に存在する時にウエハ619の上面とほぼ同一平面上にある上面を有する。チャック617は、ウエハをチャックおよびデチャックするための静電電極も備える。フィルタおよびDCクランプ電源(図示せず)が、そのために提供されてよい。ウエハ619をチャック617から持ち上げるための他の制御システムも準備されうる。チャック617は、RF電源623を用いて帯電されうる。RF電源623は、接続627を通して整合回路621に接続される。整合回路621は、接続625を通してチャック617に接続される。このように、RF電源623が、チャック617に接続されている。
プラズマ生成のための要素には、窓611の上方に配置されたコイル633が含まれる。コイル633は、導電材料から製造され、少なくとも1つの完全な巻きを含む。図6に示すコイル633の例は、3回の巻きを含む。コイル633の断面が記号で示されており、「X」のコイルは、紙面の表から裏に向かって回転して伸び、「●」のコイルは、紙面の裏から表に向かって回転して伸びている。プラズマ生成のための要素には、コイル633にRF電力を供給するように構成されたRF電源641も含まれる。一般に、RF電源641は、接続645を通して整合回路639に接続される。整合回路639は、接続643を通してコイル633に接続される。このように、RF電源641が、コイル633に接続されている。任意選択的なファラデーシールド649が、コイル633と窓611との間に配置されている。ファラデーシールド649は、コイル633に対して離間された関係に維持される。ファラデーシールド649は、窓611の直上に配置される。コイル633、ファラデーシールド649、および、窓611は、各々、互いに実質的に水平になるように構成される。ファラデーシールド649は、金属またはその他の種がプラズマチャンバの誘電体窓上に蒸着することを防ぎうる。
処理ガス(例えば、ヘリウム、ネオン、エッチャントなど)が、上側チャンバ内に配置された1または複数の主要ガス流入口660および/または1または複数の側方ガス流入口670を通して処理チャンバに流されてよい。同じように、明示されていないが、同様のガス流入口が、図5A~図6Cに示した容量結合プラズマ処理チャンバに処理ガスを供給するために用いられてよい。真空ポンプ(例えば、1または2段の機械的乾式ポンプおよび/またはターボ分子ポンプ)640が、処理チャンバ624から処理ガスを引き出すため、および、処理チャンバ600内の圧力を維持するために用いられてよい。バルブ制御された導管が、真空ポンプを処理チャンバに流体接続して、真空ポンプによって提供される真空環境の印加を選択的に制御するために用いられてよい。これは、動作プラズマ処理中、スロットルバルブ(図示せず)または振り子バルブ(図示せず)などの閉ループ制御された流量制限装置を用いて行われてよい。同様に、真空ポンプ、および、図5A~図5Cの容量結合プラズマ処理チャンバへのバルブ制御された流体接続が、用いられてもよい。
装置の動作中、1または複数の処理ガスが、ガス流入口660および/または670を通して供給されてよい。特定の実施形態において、処理ガスは、主要ガス流入口660を通してのみ、または、側方ガス流入口670を通してのみ供給されてよい。いくつかの場合、図に示したガス流入口は、例えば、より複雑なガス流入口、1または複数のシャワーヘッドと置き換えられてもよい。ファラデーシールド649および/または任意選択的なグリッド650は、チャンバへの処理ガスの供給を可能にする内部チャネルおよび孔を備えてよい。ファラデーシールド649および任意選択的なグリッド650の一方または両方が、処理ガスの供給のためのシャワーヘッドとして機能してよい。
高周波電力が、RF電源641からコイル633へ供給されることで、RF電流がコイル633を流れる。コイル633を流れるRF電流は、コイル633の周りに電磁場を生成する。電磁場は、上側サブチャンバ602内で誘導電流を発生させる。生成された様々なイオンおよびラジカルとウエハ619との物理的および化学的な相互作用が、ウエハのフィーチャを選択的にエッチングする。
上側サブチャンバ602および下側サブチャンバ603の両方が存在するようにプラズマグリッドが利用される場合、誘導電流は、上側サブチャンバ602に存在するガスに作用して、上側サブチャンバ602内で電子イオンプラズマを生成する。任意選択的な内部プラズマグリッド650は、下側サブチャンバ603内のホットエレクトロンの量を制限する。いくつかの実施形態において、装置は、下側サブチャンバ603に存在するプラズマがイオン-イオンプラズマになるように設計および動作される。
イオン-イオンプラズマの方が、正イオンに対する負イオンの比が大きいとしても、上側の電子-イオンプラズマおよび下側のイオン-イオンプラズマは両方とも、正イオンおよび負イオンを含む。揮発性のエッチング副生成物が、ポート622を通して下側サブチャンバ603から除去されてよい。
本明細書に開示されたチャック617は、約10℃~約250℃の範囲の高温で動作されてよい。温度は、エッチング処理動作および個々のレシピに依存する。いくつかの実施形態において、チャンバ601は、約1mTorr~約95mTorrの範囲の圧力で動作してもよい。特定の実施形態において、圧力は、上述のようにより高くてもよい。
チャンバ601は、クリーンルームまたは製造施設に設置される時に、設備(図示せず)に接続されてよい。設備は、処理ガス、真空、温度制御、および、環境粒子制御を提供する配管を備える。これらの設備は、対象となる製造施設に設置される時に、チャンバ601に接続される。さらに、チャンバ601は、典型的なオートメーションを用いてロボット技術により半導体ウエハをチャンバ601の内外に移送することを可能にする移送チャンバに接続されてよい。
さらに、図6には、システムコントローラ650が示されている。以下で詳述するように、かかるシステムコントローラ650は、本明細書に記載のモデルを用いて計算されたエッチング形状(例えば、フィーチャ深さまたはクリティカルディメンション)の生成に応じて、エッチャの動作を調整することを含め、チャンバ601に限定されず、エッチャ装置の動作の一部または全部を制御してよい。
システムコントローラ
システムコントローラが、図5A~図5Cに示したCCPエッチャ装置および/または図6に示したICPエッチャ装置など、上述の処理装置のいずれかにおけるエッチング動作(または、その他の処理動作)を制御するために用いられてよい。特に、システムコントローラは、上述のようにエッチング形状モデルを実施して、(上述のように)エッチング形状モデルを用いて生成された計算エッチングプロファイルに応じてエッチャ装置の動作を調整してよい。
エッチャ装置と通信するシステムコントローラの一例が、図6に概略的に示されている。図6に示すように、システムコントローラ650は、1または複数のメモリデバイス656と、1または複数のマスストレージデバイス654と、1または複数のプロセッサ652とを備える。プロセッサ652は、1または複数のCPU、ASIC、汎用コンピュータ、および/または、専用コンピュータ、1または複数のアナログおよび/またはデジタル入力/出力接続、1または複数のステッパモータコントローラボードなどを備えてよい。
いくつかの実施形態において、システムコントローラ(図6の650)は、個々の処理ステーションの動作を含む処理ツール(例えば、図6のエッチャ装置600)の動作の一部または全部を制御する。マシン読み取り可能なシステム制御命令658が、本明細書に記載の膜蒸着処理および/またはエッチング処理を実施/実行するために提供されてよい。命令は、システムコントローラに接続されうるおよび/またはシステムコントローラによって読み出されうるマシン読み取り可能な持続性の媒体で提供されてよい。命令は、プロセッサ652上で実行されてよく、システム制御命令は、いくつかの実施形態において、マスストレージデバイス654からメモリデバイス656にロードされる。システム制御命令は、タイミング;気体および液体反応物質の混合;チャンバおよび/またはステーションの圧力;チャンバおよび/またはステーションの温度;ウエハ温度;目標電力レベル;RF電力レベル(例えば、DC電力レベル、RFバイアス電力レベル);RF暴露時間;基板ペデスタル、チャック、および/または、サセプタの位置;ならびに、処理ツールによって実行される特定の処理の他のパラメータ、を制御するための命令を含んでよい。
半導体基板処理動作は、(表面に吸着したエッチャントのプラズマ活性化を含む原子層エッチング(ALE)動作(例えば、2014年11月12日出願の米国特許出願第14/539,121号「ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS、「Kiyo」は商標」を参照。これは、参照によって全ての目的で本明細書にその全体が組み込まれる)を含む)基板上の薄膜のエッチングに関する処理、蒸着処理(表面に吸着した薄膜前駆体のプラズマ活性化による原子層蒸着(ALD)など)、および、その他のタイプの基板処理動作を含むがこれらに限定されない様々なタイプの処理を利用しうる。
したがって、例えば、プラズマベースのエッチング処理を実行するための処理装置に関して、システムコントローラによって実行されるマシン読み取り可能な命令は、最適化されたエッチングプロファイルモデルから計算エッチングプロファイルを生成するための命令と、計算エッチングプロファイルに応じてプラズマ発生器の動作を調整するための命令とを含んでよい。
システム制御命令658は、任意の適切な方法で構成されてよい。例えば、様々な処理ツールの処理を実行するために必要な処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御命令は、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。いくつかの実施形態において、システム制御命令は、ソフトウェアで実装され、別の実施形態において、命令は、ハードウェアで実装されてもよく(例えば、ASIC(特定用途向け集積回路)内にロジックとしてハードコードされる)、また、別の実施形態において、ソフトウェアおよびハードウェアの組み合わせとして実装されてもよい。
いくつかの実施形態において、システム制御ソフトウェア658は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備えてよい。例えば、1または複数の蒸着および/またはエッチング処理の各段階が、システムコントローラによる実行のための1または複数の命令を備えてよい。例えば、薄膜蒸着および/またはエッチング処理段階のための処理条件を設定するための命令が、対応する蒸着および/またはエッチングレシピ段階に含まれてよい。いくつかの実施例において、レシピ段階は、連続的に配列されてよく、その結果、処理段階のためのすべての命令が、その処理段階と同時に実行される。
システムコントローラ650に関連付けられたマスストレージデバイス654および/またはメモリデバイス656に格納された他のコンピュータ読み取り可能な命令および/またはプログラムが、いくつかの実施形態において用いられてもよい。プログラムまたはプログラムセクションの例は、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムを含む。
基板位置決めプログラムは、基板をペデスタル上にロードすると共に基板と処理ツールの他の部品との間の間隔を制御するために用いられる処理ツール構成要素のための命令を備えてよい。位置決めプログラムは、基板上に薄膜を蒸着および/またはエッチングするために、必要に応じて、反応チャンバに基板を適切に出し入れするための命令を備えてよい。
処理ガス制御プログラムは、ガス組成および流量を制御するため、ならびに、任意選択的に、蒸着および/またはエッチングの前に1または複数の処理ステーションの周りの空間内にガスを流して、これらの空間内の圧力を安定させるための命令を備えてよい。いくつかの実施形態において、処理ガス制御プログラムは、基板への薄膜蒸着および/またはエッチング動作中に処理チャンバ内の1または複数の処理ステーションの周りの空間に特定のガスを導入するための命令を備えてもよい。処理ガス制御プログラムは、さらに、蒸着される薄膜の組成および/または含まれるエッチング処理の性質に応じて、同じ持続時間、同じ流量、もしくは、異なる流量および/または異なる持続時間で、これらのガスを供給するための命令を備えてもよい。処理ガス制御プログラムは、さらに、加熱された注入モジュール内で、ヘリウムまたはその他の搬送ガスの存在下で、液体反応物質を霧化/気化させるための命令を備えてもよい。
圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットルバルブ、処理ステーションへのガス流量などを調節することにより、処理ステーション内の圧力を制御するための命令を備えてよい。圧力制御プログラムは、基板への様々な薄膜タイプの蒸着中および/または基板のエッチング中に、同じまたは異なる圧力を維持するための命令を備えてよい。
ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するための命令を備えてよい。代替的または追加的に、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御してもよい。ヒータ制御プログラムは、基板への様々な薄膜タイプの蒸着および/または基板のエッチング中に、反応チャンバ内および/または処理ステーションの周りの空間内で、同じまたは異なる温度を維持するための命令を備えてよい。
プラズマ制御プログラムは、本明細書の実施形態に従って、1または複数の処理ステーションにおけるRF電力レベル、周波数、および、暴露時間を設定するための命令を備えてよい。いくつかの実施形態において、プラズマ制御プログラムは、基板への薄膜蒸着および/または基板のエッチング中に、同じまたは異なるRF電力レベルおよび/または周波数および/または暴露時間を利用するための命令を備えてよい。
いくつかの実施形態において、システムコントローラに関連したユーザインターフェースがあってもよい。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。
いくつかの実施形態において、システムコントローラによって調整されるパラメータは、処理条件に関してよい。非限定的な例は、処理ガスの組成および流量、温度(例えば、基板ホルダおよびシャワーヘッドの温度)、圧力、プラズマ条件(RFバイアス電力レベルおよび暴露時間)などを含む。これらのパラメータは、レシピの形態でユーザに提供されてよく、ユーザインターフェースを用いて入力されうる。
処理を監視するための信号が、様々な処理ツールセンサから、システムコントローラのアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号が、処理ツールのアナログおよびデジタル出力接続で出力されてよい。監視されうる処理ツールセンサの非限定的な例は、マスフローコントローラ(MFC)、圧力センサ(マノメータなど)、温度センサ(熱電対など)などを含む。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
上述の様々な装置および方法は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールおよび/または処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、共通の製造施設で一緒および/または同時に、かかるツールが利用され、また、処理が実行される。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述のエッチャの一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール(誘導結合プラズマまたは容量結合プラズマを用いるもの)、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
別の実施形態
上述の開示されている技術、動作、処理、方法、システム、装置、ツール、薄膜、化学物質、および、組成は、簡潔さおよび理解を促進するために具体的な実施形態の文脈で詳細に記載されているが、当業者にとって、本開示の精神および範囲の中に含まれる上述の実施形態を実施する多くの別の方法があることは明らかである。したがって、本明細書に記載の実施形態は、限定的ではなく、開示された発明の概念を例示するものと見なされるべきであり、最終的には本開示の主題に向けられた任意の請求項の範囲を不当に限定するための容認できない根拠として用いられるべきではない。例えば、本発明は、以下の適用例としても実施可能である。
[適用例1]光エネルギが基板上にエッチングされたフィーチャと相互作用することによって生成された測定光信号を、前記基板上にエッチングされた前記フィーチャの目標形状パラメータの値と関連づける計算モデルを生成する方法であって、
前記測定光信号が前記目標形状パラメータの値ほど非目標形状パラメータの値と強く相関しない範囲を決定する工程と、
前記範囲内の前記光信号の値を持つ要素を有するトレーニングセットを提供する工程であって、前記トレーニングセットの各要素は、(i)前記基板にエッチングされた前記フィーチャの前記目標形状パラメータの値、および、(ii)前記基板にエッチングされた前記フィーチャの前記目標形状パラメータの前記値を有するエッチングフィーチャから生成された関連の光信号を含む工程と、
前記トレーニングセットから前記計算モデルを生成する工程と
を備える方法。
[適用例2]適用例1に記載の方法であって、前記トレーニングセットの前記要素は、さらに、前記基板にエッチングされた前記フィーチャの非目標形状パラメータの値を含む方法。
[適用例3]適用例1に記載の方法であって、前記トレーニングセットの前記要素は、実験的に取得される方法。
[適用例4]適用例1に記載の方法であって、前記トレーニングセットの前記要素は、計算的に生成される方法。
[適用例5]適用例4に記載の方法であって、前記トレーニングセットの前記要素は、表面動力学モデルおよび光学モデリングルーチンから生成される方法。
[適用例6]適用例1に記載の方法であって、前記トレーニングセットは、少なくとも約50の要素を含む方法。
[適用例7]適用例1に記載の方法であって、前記トレーニングセットから前記計算モデルを生成する工程は、ニューラルネットワークまたは回帰技術を用いる工程を含む方法。
[適用例8]適用例1に記載の方法であって、前記基板上にエッチングされた前記フィーチャの前記目標形状パラメータは、エッチング深さ、ピッチ、または、エッチングクリティカルディメンションである方法。
[適用例9]適用例1に記載の方法であって、前記光信号は、前記基板上にエッチングされた前記フィーチャから生成された反射率値を含む方法。
[適用例10]適用例1に記載の方法であって、前記測定光信号が前記目標形状パラメータほど非目標形状パラメータと強く相関しない前記範囲は、波長の範囲である方法。
[適用例11]適用例1に記載の方法であって、前記範囲を決定する工程は、前記目標形状パラメータの異なる値について、前記光信号と前記目標形状パラメータとの相関の変動に従って、前記範囲の変動を決定する工程を含む方法。
[適用例12]光エネルギが基板上にエッチングされたフィーチャと相互作用することによって生成された測定光信号から、前記基板上にエッチングされた前記フィーチャの目標形状パラメータの値を計算するよう構成された計算モデルであって、前記計算モデルは、適用例1の方法によって生成される計算モデル。
[適用例13]適用例12に記載の計算モデルであって、前記計算モデルを生成するために用いられる前記トレーニングセットの前記要素は、さらに、前記基板にエッチングされた前記フィーチャの非目標形状パラメータの値を含む計算モデル。
[適用例14]適用例12に記載の計算モデルであって、前記計算モデルを生成するために用いられる前記トレーニングセットの前記要素は、実験的に取得される計算モデル。
[適用例15]適用例12に記載の計算モデルであって、前記計算モデルを生成するために用いられる前記トレーニングセットの前記要素は、計算的に生成される計算モデル。
[適用例16]適用例15に記載の計算モデルであって、前記トレーニングセットの前記要素は、表面動力学モデルおよび光学モデリングルーチンから生成される計算モデル。
[適用例17]適用例12に記載の計算モデルであって、前記トレーニングセットは、少なくとも約50の要素を含む計算モデル。
[適用例18]適用例12に記載の計算モデルであって、前記計算モデルは、ニューラルネットワークまたは回帰技術を用いて前記トレーニングセットから生成される計算モデル。
[適用例19]適用例12に記載の計算モデルであって、前記基板上にエッチングされた前記フィーチャの前記目標形状パラメータは、エッチング深さ、ピッチ、または、エッチングクリティカルディメンションである計算モデル。
[適用例20]適用例12に記載の計算モデルであって、前記光信号は、前記基板上にエッチングされた前記フィーチャから生成された反射率値を含む計算モデル。
[適用例21]適用例12に記載の計算モデルであって、前記計算モデルを生成する時に、前記測定光信号が前記目標形状パラメータほど非目標形状パラメータと強く相関しない前記範囲は、波長の範囲である計算モデル。
[適用例22]適用例12に記載の計算モデルであって、前記計算モデルを生成する時に、前記範囲を決定する工程は、前記目標形状パラメータの異なる値について、前記光信号と前記目標形状パラメータとの相関の変動に従って、前記範囲の変動を決定する工程を含む計算モデル。
[適用例23]エッチング処理中に基板上に生成される1または複数のフィーチャの形状パラメータ値を監視または決定する方法であって、
(a)光エネルギが前記基板上にエッチングされているフィーチャと相互作用することによって生成された光信号を測定する工程と、
(b)前記測定された光信号の一部を提供する工程であって、前記一部は、光信号が前記フィーチャの目標形状パラメータの値と相関すると決定された範囲によって規定される工程と、
(c)前記測定された光信号から前記目標形状パラメータの値を予測するよう構成されたモデルに前記一部の光信号を適用する工程であって、前記モデルは、光信号がフィーチャの目標形状パラメータの値と相関すると決定された前記範囲を決定することによって生成される工程と、
(d)前記モデルから、エッチングされている前記フィーチャの前記目標形状パラメータの現在の値を決定する工程と、
(e)エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値を、前記目標形状パラメータのエッチング処理終点値と比較する工程と、
(f)エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値が前記終点値に達したことを工程(e)における比較が示すまで、工程(a)~(e)を繰り返す工程と
を備える方法。
[適用例24]適用例23に記載の方法であって、エッチングされている前記フィーチャの前記目標形状パラメータは、エッチング深さ、ピッチ、または、エッチングクリティカルディメンションである方法。
[適用例25]適用例23に記載の方法であって、さらに、エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値が前記終点値に達したことを工程(e)における比較が示した時に、前記エッチング処理を終了する工程を備える方法。
[適用例26]適用例23に記載の方法であって、工程(a)で生成された光信号を測定することは、前記基板上にエッチングされている前記フィーチャから生成された反射率を測定することを含む方法。
[適用例27]適用例23に記載の方法であって、工程(b)で前記測定された光信号の一部を規定する前記範囲は、前記光信号が前記フィーチャの前記目標形状パラメータの値と相関することを回帰技術を用いて判定された波長の範囲である方法。
[適用例28]適用例23に記載の方法であって、工程(b)で前記測定された光信号の一部を規定する前記範囲は、工程(a)~(e)の2回の繰り返しの間で変化する方法。
[適用例29]適用例28に記載の方法であって、工程(b)で前記測定された光信号の一部を規定する前記範囲は、前記目標形状パラメータの異なる値について、前記光信号と前記目標形状パラメータとの相関の変動に従って変化するように決定される方法。
[適用例30]適用例1に記載の方法であって、工程(b)で前記測定された光信号の一部を規定する前記範囲は、前記光信号が前記目標形状パラメータほど非目標形状パラメータと強く相関しないと判定された範囲である方法。
[適用例31]エッチング処理中に基板上に1または複数のフィーチャをエッチングするためのシステムであって、
半導体基板をエッチングするためのエッチング装置と、
前記エッチング装置の動作を制御するためのコントローラと
を備え、
前記コントローラは、
(a)光エネルギが前記基板上にエッチングされているフィーチャと相互作用することによって生成された光信号を測定するための実行可能な命令と、
(b)前記測定された光信号の一部を提供するための実行可能な命令であって、前記一部は、光信号が前記フィーチャの目標形状パラメータの値と相関すると決定された範囲によって規定される命令と、
(c)前記測定された光信号から前記目標形状パラメータの値を予測するよう構成されたモデルに前記一部の光信号を適用するための実行可能な命令であって、前記モデルは、光信号がフィーチャの目標形状パラメータの値と相関すると決定された前記範囲を決定することによって生成される命令と、
(d)前記モデルから、エッチングされている前記フィーチャの前記目標形状パラメータの現在の値を決定するための実行可能な命令と、
(e)エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値を、前記目標形状パラメータのエッチング処理終点値と比較するための実行可能な命令と、
(f)エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値が前記終点値に達したことを(e)における比較が示すまで、(a)~(e)を繰り返すための実行可能な命令と
を格納する持続的なメモリを備えるシステム。
[適用例32]適用例31に記載のシステムであって、前記エッチング装置は、
処理チャンバと、
前記処理チャンバ内で基板を保持するための基板ホルダと、
前記処理チャンバ内でプラズマを生成するためのプラズマ発生器であって、RF電源を備えたプラズマ発生器と、
1または複数の処理ガスを前記処理チャンバ内に流すための1または複数のバルブ制御された処理ガス流入口と、
前記処理チャンバからガスを排気するために1または複数の真空ポンプに流体接続された1または複数のガス流出口と
を備えるシステム。
[適用例33]適用例31に記載のシステムあって、エッチングされている前記フィーチャの前記目標形状パラメータは、エッチング深さ、ピッチ、または、エッチングクリティカルディメンションであるシステム。
[適用例34]適用例31に記載のシステムであって、前記コントローラは、さらに、エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値が前記終点値に達したことを(e)における比較が示した時に、前記エッチング処理を終了するための命令を備えるシステム。
[適用例35]適用例31に記載のシステムであって、(a)で生成された光信号を測定するためのコントローラの命令は、前記基板上にエッチングされている前記フィーチャから生成された反射率を測定するための命令を含むシステム。
[適用例36]適用例31に記載のシステムであって、(b)で前記測定された光信号の一部を規定する前記範囲は、前記光信号が前記フィーチャの前記目標形状パラメータの値と相関することを回帰技術を用いて判定された波長の範囲であるシステム。
[適用例37]適用例31に記載のシステムであって、前記コントローラは、さらに、(b)で前記測定された光信号の一部を規定する前記範囲を、(a)~(e)の2回の繰り返しの間で変更するための命令を備えるシステム。
[適用例38]適用例37に記載のシステムであって、(b)で前記測定された光信号の一部を規定する前記範囲は、前記目標形状パラメータの異なる値について、前記光信号と前記目標形状パラメータとの相関の変動に従って変化するように決定されるシステム。
[適用例39]適用例31に記載のシステムであって、(b)で前記測定された光信号の一部を規定する前記範囲は、前記光信号が前記目標形状パラメータほど非目標形状パラメータと強く相関しないと判定された範囲であるシステム。

Claims (15)

  1. エッチング処理中に基板上に生成される1または複数のフィーチャの形状パラメータ値を、コンピュータにより監視または決定する方法であって、
    (a)光エネルギが前記基板上にエッチングされているフィーチャと相互作用することによって生成された光信号を測定する工程と、
    (b)前記測定された光信号の一部を提供する工程であって、前記一部は、光信号が前記フィーチャの目標形状パラメータの値と相関すると決定された範囲であって、前記光信号が前記目標形状パラメータほどは非目標形状パラメータと強く相関しない範囲によって規定される工程と、
    (c)前記測定された光信号から前記目標形状パラメータの値を予測するよう構成されたモデルに前記一部の光信号を適用する工程であって、前記モデルは、光信号がフィーチャの前記目標形状パラメータの値との相関を有し、かつ前記非目標形状パラメータの値との相関がそれより強くないと決定された前記範囲を決定することによって生成される工程と、
    (d)前記モデルから、エッチングされている前記フィーチャの前記目標形状パラメータの現在の値を決定する工程と、
    (e)エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値を、前記目標形状パラメータのエッチング処理の終点値と比較する工程と、
    (f)エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値が前記終点値に達したことを工程(e)における比較が示すまで、工程(a)~(e)を繰り返す工程と
    を備える方法。
  2. 請求項1に記載の方法であって、エッチングされている前記フィーチャの前記目標形状パラメータは、エッチング深さ、ピッチ、または、エッチングクリティカルディメンションである方法。
  3. 請求項1に記載の方法であって、さらに、エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値が前記終点値に達したことを工程(e)における比較が示した時に、前記エッチング処理を終了する工程を備える方法。
  4. 請求項1に記載の方法であって、工程(a)で生成された光信号を測定することは、前記基板上にエッチングされている前記フィーチャから生成された反射率を測定することを含む方法。
  5. 請求項1に記載の方法であって、工程(b)で前記測定された光信号の一部を規定する前記範囲は、前記光信号が前記フィーチャの前記目標形状パラメータの値と相関することを回帰技術を用いて判定された波長の範囲である方法。
  6. 請求項1に記載の方法であって、工程(b)で前記測定された光信号の一部を規定する前記範囲は、工程(a)~(e)の2回の繰り返しの間で変化する方法。
  7. 請求項6に記載の方法であって、工程(b)で前記測定された光信号の一部を規定する前記範囲は、前記目標形状パラメータの異なる値について、前記光信号と前記目標形状パラメータとの相関の変動に従って変化するように決定される方法。
  8. エッチング処理中に基板上に1または複数のフィーチャをエッチングするためのシステムであって、
    半導体基板をエッチングするためのエッチング装置と、
    前記エッチング装置の動作を制御するためのコントローラと
    を備え、
    前記コントローラは、
    (a)光エネルギが前記基板上にエッチングされているフィーチャと相互作用することによって生成された光信号を測定するための実行可能な命令と、
    (b)前記測定された光信号の一部を提供するための実行可能な命令であって、前記一部は、光信号が前記フィーチャの目標形状パラメータの値と相関すると決定された範囲であって、前記光信号が前記目標形状パラメータほどは非目標形状パラメータと強く相関しない範囲によって規定される命令と、
    (c)前記測定された光信号から前記目標形状パラメータの値を予測するよう構成されたモデルに前記一部の光信号を適用するための実行可能な命令であって、前記モデルは、光信号がフィーチャの前記目標形状パラメータの値との相関を有し、かつ前記非目標形状パラメータの値との相関がそれより強くないと決定された前記範囲を決定することによって生成される命令と、
    (d)前記モデルから、エッチングされている前記フィーチャの前記目標形状パラメータの現在の値を決定するための実行可能な命令と、
    (e)エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値を、前記目標形状パラメータのエッチング処理の終点値と比較するための実行可能な命令と、
    (f)エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値が前記終点値に達したことを(e)における比較が示すまで、(a)~(e)を繰り返すための実行可能な命令と
    を格納する持続的なメモリを備えるシステム。
  9. 請求項8に記載のシステムであって、前記エッチング装置は、
    処理チャンバと、
    前記処理チャンバ内で基板を保持するための基板ホルダと、
    前記処理チャンバ内でプラズマを生成するためのプラズマ発生器であって、RF電源を備えたプラズマ発生器と、
    1または複数の処理ガスを前記処理チャンバ内に流すための1または複数のバルブ制御された処理ガス流入口と、
    前記処理チャンバからガスを排気するために1または複数の真空ポンプに流体接続された1または複数のガス流出口と
    を備えるシステム。
  10. 請求項8に記載のシステムあって、エッチングされている前記フィーチャの前記目標形状パラメータは、エッチング深さ、ピッチ、または、エッチングクリティカルディメンションであるシステム。
  11. 請求項8に記載のシステムであって、前記コントローラは、さらに、エッチングされている前記フィーチャの前記目標形状パラメータの前記現在の値が前記終点値に達したことを(e)における比較が示した時に、前記エッチング処理を終了するための命令を備えるシステム。
  12. 請求項8に記載のシステムであって、(a)で生成された光信号を測定するためのコントローラの命令は、前記基板上にエッチングされている前記フィーチャから生成された反射率を測定するための命令を含むシステム。
  13. 請求項8に記載のシステムであって、(b)で前記測定された光信号の一部を規定する前記範囲は、前記光信号が前記フィーチャの前記目標形状パラメータの値と相関することを回帰技術を用いて判定された波長の範囲であるシステム。
  14. 請求項8に記載のシステムであって、前記コントローラは、さらに、(b)で前記測定された光信号の一部を規定する前記範囲を、(a)~(e)の2回の繰り返しの間で変更するための命令を備えるシステム。
  15. 請求項14に記載のシステムであって、(b)で前記測定された光信号の一部を規定する前記範囲は、前記目標形状パラメータの異なる値について、前記光信号と前記目標形状パラメータとの相関の変動に従って変化するように決定されるシステム。
JP2021152896A 2016-03-02 2021-09-21 方法およびエッチングシステム Active JP7269296B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/059,073 US10032681B2 (en) 2016-03-02 2016-03-02 Etch metric sensitivity for endpoint detection
US15/059,073 2016-03-02
JP2017037874A JP6948802B2 (ja) 2016-03-02 2017-03-01 計算モデルを生成する方法およびそのプログラム

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017037874A Division JP6948802B2 (ja) 2016-03-02 2017-03-01 計算モデルを生成する方法およびそのプログラム

Publications (2)

Publication Number Publication Date
JP2021193752A JP2021193752A (ja) 2021-12-23
JP7269296B2 true JP7269296B2 (ja) 2023-05-08

Family

ID=59722298

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017037874A Active JP6948802B2 (ja) 2016-03-02 2017-03-01 計算モデルを生成する方法およびそのプログラム
JP2021152896A Active JP7269296B2 (ja) 2016-03-02 2021-09-21 方法およびエッチングシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017037874A Active JP6948802B2 (ja) 2016-03-02 2017-03-01 計算モデルを生成する方法およびそのプログラム

Country Status (5)

Country Link
US (1) US10032681B2 (ja)
JP (2) JP6948802B2 (ja)
KR (2) KR102422230B1 (ja)
CN (1) CN107403736B (ja)
TW (1) TWI733765B (ja)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
JP6878853B2 (ja) * 2016-11-28 2021-06-02 住友電気工業株式会社 半導体素子を作製する方法
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US20190049937A1 (en) * 2017-08-09 2019-02-14 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10529633B2 (en) * 2017-12-06 2020-01-07 International Business Machines Corporation Method of integrated circuit (IC) chip fabrication
CN110137097B (zh) * 2018-02-02 2020-06-19 北京北方华创微电子装备有限公司 获得刻蚀深度极限值的方法
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
TWI716684B (zh) * 2018-05-09 2021-01-21 華邦電子股份有限公司 臨界尺寸量測方法及用於量測臨界尺寸的影像處理裝置
KR102318309B1 (ko) * 2018-05-31 2021-10-28 삼성전자주식회사 3차원 구조의 도핑 농도 결정 방법, 이를 이용한 반도체 장치의 제조 방법
US11488848B2 (en) * 2018-07-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor die vessel processing workstations
EP3647873A1 (en) * 2018-11-02 2020-05-06 ASML Netherlands B.V. Method to characterize post-processing data in terms of individual contributions from processing stations
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
EP3931863A4 (en) * 2019-02-25 2023-04-26 Board of Regents, The University of Texas System LARGE SURFACE METROLOGY AND PROCESS CONTROL FOR ANISOTROPIC CHEMICAL ETCHING
WO2020178945A1 (ja) 2019-03-04 2020-09-10 Sppテクノロジーズ株式会社 基板処理装置のプロセス判定装置、基板処理システム、基板処理装置のプロセス判定方法及び学習モデル群
US11875101B2 (en) * 2019-06-20 2024-01-16 Asml Netherlands B.V. Method for patterning process modelling
JP7253458B2 (ja) 2019-06-27 2023-04-06 株式会社荏原製作所 光学式膜厚測定装置の最適な動作レシピを決定する方法、装置、およびシステム
US11966203B2 (en) * 2019-08-21 2024-04-23 Kla Corporation System and method to adjust a kinetics model of surface reactions during plasma processing
JP7338355B2 (ja) * 2019-09-20 2023-09-05 東京エレクトロン株式会社 エッチング方法、及びエッチング装置
CN112697680B (zh) * 2019-10-23 2023-04-28 航天科工惯性技术有限公司 一种玻璃化学蚀刻速率在线检测装置和方法
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
KR20210064445A (ko) 2019-11-25 2021-06-03 삼성전자주식회사 반도체 공정 시뮬레이션 시스템 및 그것의 시뮬레이션 방법
US11301613B2 (en) 2019-12-23 2022-04-12 Coventor, Inc. Systems and methods for performing depth-dependent oxidation modeling and depth-dependent etch modeling in a virtual fabrication environment
EP3968353A1 (en) * 2020-09-10 2022-03-16 Impedans Ltd Apparatus for ion energy analysis of plasma processes
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
CN116917557A (zh) * 2021-05-31 2023-10-20 应用材料公司 晶体厚度微平衡传感器的原位epi生长率控制
KR20230030346A (ko) 2021-08-25 2023-03-06 삼성전자주식회사 편광 계측 장치 및 편광 계측 장치를 이용한 반도체 소자 제조 방법
TWI806412B (zh) * 2022-02-08 2023-06-21 中華精測科技股份有限公司 標記產品全域高點的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005536074A (ja) 2002-08-13 2005-11-24 ラム リサーチ コーポレーション 反射率測定を使用してパターン基板処理をin−situモニタリングする方法
JP2008091673A (ja) 2006-10-03 2008-04-17 Tokyo Electron Ltd 処理終点検出方法及び処理終点検出装置

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5114233A (en) 1990-10-09 1992-05-19 At&T Bell Laboratories Method for inspecting etched workpieces
US5421934A (en) 1993-03-26 1995-06-06 Matsushita Electric Industrial Co., Ltd. Dry-etching process simulator
US6151532A (en) 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
TW455973B (en) * 1999-04-05 2001-09-21 Applied Materials Inc Endpoint detection in the fabrication of electronic devices
US6268226B1 (en) 1999-06-30 2001-07-31 International Business Machines Corporation Reactive ion etch loading measurement technique
EP1252652A1 (de) 2000-01-25 2002-10-30 Infineon Technologies AG Verfahren zur überwachung eines herstellungsprozesses
US6410351B1 (en) 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
US20030113766A1 (en) 2000-10-30 2003-06-19 Sru Biosystems, Llc Amine activated colorimetric resonant biosensor
US6650423B1 (en) 2001-07-02 2003-11-18 Advanced Micro Devices Inc. Method and apparatus for determining column dimensions using scatterometry
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6903826B2 (en) 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7402257B1 (en) 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US20050074907A1 (en) * 2003-10-06 2005-04-07 Adrian Kriz Semi-conductor wafer fabrication
US7739651B2 (en) 2004-09-29 2010-06-15 Synopsys, Inc. Method and apparatus to determine if a pattern is robustly manufacturable
US7253008B2 (en) 2004-12-28 2007-08-07 Sandia Corporation Reactive ion etched substrates and methods of making and using
US7588946B2 (en) 2005-07-25 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling system for gate formation of semiconductor devices
US7600212B2 (en) 2005-10-03 2009-10-06 Cadence Design Systems, Inc. Method of compensating photomask data for the effects of etch and lithography processes
US20070249071A1 (en) * 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7849423B1 (en) 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
GB0616131D0 (en) 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US8001512B1 (en) 2007-06-26 2011-08-16 Cadence Design Systems, Inc. Method and system for implementing context simulation
JP5036450B2 (ja) 2007-08-16 2012-09-26 株式会社東芝 シミュレーション方法およびシミュレーションプログラム
US7812966B2 (en) 2007-08-30 2010-10-12 Infineon Technologies Ag Method of determining the depth profile of a surface structure and system for determining the depth profile of a surface structure
KR101374932B1 (ko) 2007-09-28 2014-03-17 재단법인서울대학교산학협력재단 확산 제한 식각과정에 의한 수평 변환 다공성 실리콘 광학필터의 제조방법 및 그에 의한 필터구조
JP5026326B2 (ja) 2008-04-04 2012-09-12 株式会社日立ハイテクノロジーズ エッチング処理状態の判定方法、システム
JP5027753B2 (ja) 2008-07-30 2012-09-19 東京エレクトロン株式会社 基板処理制御方法及び記憶媒体
US8649016B2 (en) * 2009-06-23 2014-02-11 Rudolph Technologies, Inc. System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US8279409B1 (en) 2009-08-05 2012-10-02 Cadence Design Systems, Inc. System and method for calibrating a lithography model
US8494314B2 (en) * 2010-07-05 2013-07-23 Infinera Corporation Fabrication tolerant polarization converter
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
US20120280354A1 (en) 2011-05-05 2012-11-08 Synopsys, Inc. Methods for fabricating high-density integrated circuit devices
US8652298B2 (en) * 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
JP6336982B2 (ja) * 2012-08-15 2018-06-06 ノヴァ メジャリング インストルメンツ リミテッドNova Measuring Instruments Ltd. その場測定のための光計測
US9330990B2 (en) * 2012-10-17 2016-05-03 Tokyo Electron Limited Method of endpoint detection of plasma etching process using multivariate analysis
TWI621957B (zh) 2013-03-14 2018-04-21 新納普系統股份有限公司 使用點擊最佳化的次解析度輔助特徵實現方式
US9412673B2 (en) 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
JP6318007B2 (ja) 2013-11-29 2018-04-25 株式会社日立ハイテクノロジーズ データ処理方法、データ処理装置および処理装置
US10599789B2 (en) 2015-11-25 2020-03-24 Synopsys, Inc. Topography simulation of etching and/or deposition on a physical structure
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005536074A (ja) 2002-08-13 2005-11-24 ラム リサーチ コーポレーション 反射率測定を使用してパターン基板処理をin−situモニタリングする方法
JP2008091673A (ja) 2006-10-03 2008-04-17 Tokyo Electron Ltd 処理終点検出方法及び処理終点検出装置

Also Published As

Publication number Publication date
CN107403736B (zh) 2019-10-18
US20170256463A1 (en) 2017-09-07
CN107403736A (zh) 2017-11-28
US10032681B2 (en) 2018-07-24
JP6948802B2 (ja) 2021-10-13
KR20220104121A (ko) 2022-07-26
TW201741905A (zh) 2017-12-01
JP2021193752A (ja) 2021-12-23
KR102422230B1 (ko) 2022-07-15
KR102577904B1 (ko) 2023-09-12
KR20170102819A (ko) 2017-09-12
TWI733765B (zh) 2021-07-21
JP2017195365A (ja) 2017-10-26

Similar Documents

Publication Publication Date Title
JP7269296B2 (ja) 方法およびエッチングシステム
TWI706120B (zh) 藉由反射光譜匹配與表面動力模型最佳化之蝕刻輪廓最佳化方法及設備
US10847430B2 (en) Method of feature exaction from time-series of spectra to control endpoint of process
JP7182565B2 (ja) エッジ配置誤差予測を用いた設計レイアウトパターン近接効果補正
US20190049937A1 (en) Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
KR101046918B1 (ko) 식각공정을 모니터링하기 위한 방법 및 시스템
TW201734684A (zh) 藉由表面動力模型最佳化之蝕刻輪廓匹配用方法及設備
US8193007B1 (en) Etch process control using optical metrology and sensor devices
TWI409657B (zh) 閘極最佳化評估程式庫之產生方法與儲存媒體
US8173451B1 (en) Etch stage measurement system
US8173450B1 (en) Method of designing an etch stage measurement system
Kim et al. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data
KR20240016345A (ko) 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210922

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210922

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221012

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230404

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230421

R150 Certificate of patent or registration of utility model

Ref document number: 7269296

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150