KR20240016345A - 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직 - Google Patents

프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직 Download PDF

Info

Publication number
KR20240016345A
KR20240016345A KR1020237045314A KR20237045314A KR20240016345A KR 20240016345 A KR20240016345 A KR 20240016345A KR 1020237045314 A KR1020237045314 A KR 1020237045314A KR 20237045314 A KR20237045314 A KR 20237045314A KR 20240016345 A KR20240016345 A KR 20240016345A
Authority
KR
South Korea
Prior art keywords
wafer
wafers
machine learning
learning model
situ
Prior art date
Application number
KR1020237045314A
Other languages
English (en)
Inventor
예 펑
얀 장
호르헤 루케
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240016345A publication Critical patent/KR20240016345A/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computational Linguistics (AREA)
  • Mathematical Physics (AREA)
  • Biophysics (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

머신 러닝 모델은 실시간으로 프로세스들을 특징으로 하고 선택 가능하게 (optionally) 프로세스 제어를 보조하기 위해 입력으로서 인 시츄 (in situ) 화학적 조성 정보를 채용할 수도 있다. 화학적 조성 정보는 OES (optical emission spectrometer) 와 같은 인 시츄 방출 분광계로부터 획득될 수도 있다.

Description

프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직
고 성능 플라즈마 보조된 에칭 프로세스들은 많은 반도체 프로세싱 워크플로우들의 성공에 중요하다. 그러나, 에칭 프로세스들을 모니터링, 제어, 및/또는 최적화하는 것은 어렵고 시간 소모적일 수 있고, 종종 프로세스 엔지니어들이 타깃 에칭 프로파일을 생성하는 설정들을 경험적으로 결정하기 위해 에칭 프로세스 파라미터들을 힘들게 테스트하는 것을 수반한다. 부가적으로, 에칭 프로세스들의 인 시츄 (in situ) 모니터링은 신뢰할 수 없을 수 있다; 에칭 엔드포인트 검출은 과제로 남아 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 출원된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
본 개시의 일부 양태들은 다음의 동작들을 특징으로 할 수도 있는 머신 러닝 모델을 생성하는 방법들에 관한 것이다: (a) 제 1 웨이퍼들의 세트로부터 생성된 제 1 트레이닝 세트를 수신하는 동작으로서, 제 1 트레이닝 세트는 (i) 제 1 웨이퍼들의 세트가 프로세싱된 후 제 1 웨이퍼들의 세트로부터 획득된 엑스 시츄 (ex situ) 계측 데이터 또는 웨이퍼 구조 파라미터 값들, 및 (ii) 제 1 웨이퍼들의 세트가 프로세싱되는 동안 제 1 웨이퍼들의 세트로부터 획득된 인 시츄 (in situ) 웨이퍼-레벨, 광학 센서 데이터를 포함하는, 제 1 트레이닝 세트를 수신하는 동작; (b) 제 1 트레이닝 세트를 사용하여 제 1 머신 러닝 모델을 트레이닝하는 동작으로서, 제 1 머신 러닝 모델은 프로세싱을 겪는 웨이퍼로부터 생성된 인 시츄 웨이퍼-레벨 광학 센서 데이터를 수신하고 그리고 웨이퍼 구조 파라미터 값들을 예측하도록 구성되는, 제 1 머신 러닝 모델을 트레이닝하는 동작; (c) 제 2 웨이퍼들의 세트에 대한 예측된 웨이퍼 구조 파라미터 값들을 생성하도록 제 1 머신 러닝 모델을 사용하는 동작으로서, 제 2 웨이퍼들의 세트는 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 연관된 인 시츄 화학적 조성 데이터 및 연관된 인 시츄 웨이퍼-레벨 광학 센서 데이터를 갖는, 제 1 머신 러닝 모델을 사용하는 동작; 및 (d) (i) 동작 (c) 로부터 예측된 웨이퍼 구조 파라미터 값들, 및 (ii) 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 연관된 인 시츄 화학적 조성 데이터를 포함하는 제 2 트레이닝 세트를 사용하여 제 2 머신 러닝 모델을 트레이닝하는 동작으로서, 제 2 머신 러닝 모델은 프로세싱될 프로세스 웨이퍼에 대한 인 시츄 화학적 조성 데이터를 수신하고 그리고 프로세스 웨이퍼가 프로세싱되는 동안 또는 프로세싱이 완료된 후 1 회 이상 프로세스 웨이퍼의 웨이퍼 구조 파라미터 값들을 예측하도록 구성되는, 제 2 머신 러닝 모델을 트레이닝하는 동작. 일부 실시 예들에서, 제 1 웨이퍼들의 세트의 웨이퍼들은 연관된 화학적 조성 데이터를 갖지 않는다. 일부 실시 예들에서, 제 2 웨이퍼들의 세트는 연관된 엑스 시츄 계측 데이터 또는 웨이퍼 구조 파라미터 값들을 갖지 않는다.
일부 실시 예들에서, 엑스 시츄 계측 데이터는 CD-SAXS 툴, CD-SEM 툴, 또는 광학 계측 툴과 같은 하나 이상의 독립형 계측 툴들로부터 획득된다.
일부 실시 예들에서, 인 시츄 웨이퍼-레벨 광학 센서 데이터는 복수의 파장들 및 복수의 시간들에서 광학 강도 값들을 포함한다. 일부 실시 예들에서, 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 인 시츄 화학적 조성 데이터는 OES (optical emission spectrometer) 로부터 생성된다.
일부 실시 예들에서, 제 1 웨이퍼들의 세트는 파일럿 웨이퍼들을 포함한다. 일부 구현 예들에서, 제 1 웨이퍼들의 세트는 에칭 프로세스에 의해 프로세싱된다. 일부 실시 예들에서, 제 2 웨이퍼들의 세트는 생산 웨이퍼들을 포함한다. 일부 구현 예들에서, 제 1 웨이퍼들의 세트 및 제 2 웨이퍼들의 세트는 동일한 타입의 제조 툴을 사용하여 프로세싱된다. 일부 경우들에서, 제 2 머신 러닝 모델은 IC 제조 설비에서 모두 동일한 타입인 복수의 상이한 제조 툴들에 대한 웨이퍼 구조 파라미터 값들을 예측하도록 구성된다.
특정한 실시 예들에서, 제 1 머신 러닝 모델은 제 1 웨이퍼들의 세트로부터 획득된 인 시츄 웨이퍼-레벨 광학 센서 데이터의 감소된 차원 표현을 생성하고 그리고/또는 제 1 웨이퍼들의 세트로부터 획득된 인 시츄 웨이퍼-레벨 광학 센서 데이터에 대해 피처 추출을 수행하도록 구성된다.
특정한 실시 예들에서, 제 1 머신 러닝 모델은 주성분 분석 (principal component analysis; PCA) 을 수행하거나 신경망-기반 오토인코더를 활용하도록 구성된다. 특정한 실시 예들에서, 제 2 머신 러닝 모델은 인 시츄 화학적 조성 데이터의 차원을 감소시키고 그리고/또는 인 시츄 화학적 조성 데이터에 대해 피처 추출을 수행하도록 구성된다.
특정한 실시 예들에서, 제 2 머신 러닝 모델은 에칭 프로세스가 엔드포인트에 도달할 때를 나타내도록 구성된다.
특정한 실시 예들에서, 제 1 웨이퍼들의 세트의 적어도 일부 웨이퍼들은 또한 제 2 웨이퍼들의 세트 내에 있다. 특정한 실시 예들에서, 웨이퍼 구조 파라미터 값들은 에칭 깊이, 임계 치수 (critical dimension), 측벽 각도, 반복되는 피처 피치, 층 두께, 층 재료 특성, 또는 이들의 임의의 조합을 포함한다.
본 개시의 일부 양태들은 머신 러닝 모델을 생성하기 위한 컴퓨터 실행 가능 인스트럭션들이 제공된 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품들에 관한 것이다. 인스트럭션들은, (a) 제 1 웨이퍼들의 세트로부터 생성된 제 1 트레이닝 세트를 수신하고 ―제 1 트레이닝 세트는 (i) 제 1 웨이퍼들의 세트가 프로세싱된 후 제 1 웨이퍼들의 세트로부터 획득된 엑스 시츄 계측 데이터 또는 웨이퍼 구조 파라미터 값들, 및 (ii) 제 1 웨이퍼들의 세트가 프로세싱되는 동안 제 1 웨이퍼들의 세트로부터 획득된 인 시츄 웨이퍼-레벨, 광학 센서 데이터를 포함함―; (b) 제 1 트레이닝 세트를 사용하여 제 1 머신 러닝 모델을 트레이닝하고 ―제 1 머신 러닝 모델은 프로세싱을 겪는 웨이퍼로부터 생성된 인 시츄 웨이퍼-레벨 광학 센서 데이터를 수신하고 그리고 웨이퍼 구조 파라미터 값들을 예측하도록 구성됨―; (c) 제 2 웨이퍼들의 세트에 대한 예측된 웨이퍼 구조 파라미터 값들을 생성하도록 제 1 머신 러닝 모델을 사용하고 ―제 2 웨이퍼들의 세트는 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 연관된 인 시츄 화학적 조성 데이터 및 연관된 인 시츄 웨이퍼-레벨 광학 센서 데이터를 가짐―; 그리고 (d) (i) (c) 로부터 예측된 웨이퍼 구조 파라미터 값들, 및 (ii) 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 연관된 인 시츄 화학적 조성 데이터를 포함하는 제 2 트레이닝 세트를 사용하여 제 2 머신 러닝 모델을 트레이닝하도록 구성될 수도 있고, 제 2 머신 러닝 모델은 프로세싱될 프로세스 웨이퍼에 대한 인 시츄 화학적 조성 데이터를 수신하고 그리고 프로세스 웨이퍼가 프로세싱되는 동안 또는 프로세싱이 완료된 후 1 회 이상 프로세스 웨이퍼의 웨이퍼 구조 파라미터 값들을 예측하도록 구성될 수도 있다. 특정한 실시 예들에서, 제 1 웨이퍼들의 세트의 웨이퍼들은 연관된 화학적 조성 데이터를 갖지 않는다. 일부 실시 예들에서, 제 2 웨이퍼들의 세트는 연관된 엑스 시츄 계측 데이터 또는 웨이퍼 구조 파라미터 값들을 갖지 않는다. 일부 경우들에서, 제 1 웨이퍼들의 세트의 적어도 일부 웨이퍼들은 또한 제 2 웨이퍼들의 세트 내에 있다.
특정한 실시 예들에서, 엑스 시츄 계측 데이터는 하나 이상의 독립형 계측 툴들로부터 획득된다. 예들로서, 독립형 계측 툴은 CD-SAXS 툴, CD-SEM 툴, 또는 광학 계측 툴일 수도 있다.
특정한 실시 예들에서, 인 시츄 웨이퍼-레벨 광학 센서 데이터는 복수의 파장들 및 복수의 시간들에서 광학 강도 값들을 포함한다. 일부 실시 예들에서, 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 인 시츄 화학적 조성 데이터는 광학 방출 분광계로부터 생성된다.
특정한 실시 예들에서, 제 1 웨이퍼들의 세트는 파일럿 웨이퍼들이다. 일부 경우들에서, 제 1 웨이퍼들의 세트는 에칭 프로세스에 의해 프로세싱된다. 특정한 실시 예들에서, 제 2 웨이퍼들의 세트는 생산 웨이퍼들이다.
특정한 실시 예들에서, 제 1 웨이퍼들의 세트 및 제 2 웨이퍼들의 세트는 동일한 타입의 제조 툴을 사용하여 프로세싱된다. 일부 경우들에서, 제 2 머신 러닝 모델은 IC 제조 설비에서 모두 동일한 타입인 복수의 상이한 제조 툴들에 대한 웨이퍼 구조 파라미터 값들을 예측하도록 구성된다.
일부 실시 예들에서, 제 1 머신 러닝 모델은 제 1 웨이퍼들의 세트로부터 획득된 인 시츄 웨이퍼-레벨 광학 센서 데이터의 감소된 차원 표현을 생성하고 그리고/또는 제 1 웨이퍼들의 세트로부터 획득된 인 시츄 웨이퍼-레벨 광학 센서 데이터에 대해 피처 추출을 수행하도록 구성된다. 특정한 실시 예들에서, 제 1 머신 러닝 모델은 주성분 분석을 수행하거나 신경망-기반 오토인코더를 활용하도록 구성된다. 특정한 실시 예들에서, 제 2 머신 러닝 모델은 인 시츄 화학적 조성 데이터의 차원을 감소시키고 그리고/또는 인 시츄 화학적 조성 데이터에 대해 피처 추출을 수행하도록 구성된다.
일부 실시 예들에서, 제 2 머신 러닝 모델은 에칭 프로세스가 엔드포인트에 도달할 때를 나타내도록 구성된다. 특정한 실시 예들에서, 웨이퍼 구조 파라미터 값들은 에칭 깊이, 임계 치수, 측벽 각도, 반복되는 피처 피치, 층 두께, 층 재료 특성, 또는 이들의 임의의 조합을 포함한다.
본 개시의 일부 양태들은 다음의 특징들: (a) 웨이퍼 홀더, 플라즈마 소스, 및 프로세스 웨이퍼가 프로세싱되는 동안 획득된 인 시츄 화학적 조성 데이터를 결정하기 위한 센서를 포함하는 프로세스 챔버; 및 (b) 프로세싱될 프로세스 웨이퍼에 대한 인 시츄 화학적 조성 데이터를 수신하고 그리고 프로세스 웨이퍼가 프로세싱되는 동안 또는 프로세싱이 완료된 후 1 회 이상 프로세스 웨이퍼의 웨이퍼 구조 파라미터 값들을 예측하도록 구성된 머신 러닝 모델을 특징으로 할 수도 있는 시스템들에 관한 것이다.
특정한 실시 예들에서, 프로세스 웨이퍼의 웨이퍼 구조 파라미터 값들은 에칭된 피처 깊이, 피처 임계 치수, 피처 측벽 각도, 반복되는 피처 피치, 또는 이들의 임의의 조합을 포함한다.
일부 실시 예들에서, 시스템은 프로세스 웨이퍼의 웨이퍼 구조 파라미터 값들에 적어도 부분적으로 기초하여 엔드포인트 검출 결과를 출력하도록 구성된 로직을 부가적으로 포함한다.
특정한 실시 예들에서, 플라즈마 소스는 유도 커플링 플라즈마 (inductively coupled plasma) 소스 또는 용량 커플링 플라즈마 (capacitively coupled plasma) 소스이다. 특정한 실시 예들에서, 인 시츄 화학적 조성 데이터를 결정하기 위한 센서는 광학 방출 분광 센서이다.
특정한 실시 예들에서, 머신 러닝 모델은 IC 제조 설비의 복수의 프로세스 챔버들에 사용된다. 일부 이러한 실시 예들에서, 시스템은 프로세스 챔버 단위로, (i) 프로세싱이 완료된 후 프로세스 웨이퍼의 엑스 시츄 계측 데이터, 및/또는 (ii) 프로세스 웨이퍼가 프로세싱되는 동안 1 회 이상의 프로세스 웨이퍼의 하나 이상의 웨이퍼 구조 파라미터 값들의 머신 러닝 모델에 의한 예측들에 대해 오프셋들을 제공하기 위한 로직을 부가적으로 포함한다.
특정한 실시 예들에서, 머신 러닝 모델은 프로세스 웨이퍼가 프로세싱되는 동안 획득된 인 시츄 화학적 조성 데이터의 감소된 차원 표현을 생성하도록 구성된다. 특정한 실시 예들에서, 머신 러닝 모델은 주성분 분석을 수행하거나 신경망-기반 오토인코더를 활용하도록 구성된다.
일부 구현 예들에서, 머신 러닝 모델은 방법에 의해 트레이닝 되고, 방법은, (a) 제 1 웨이퍼들의 세트로부터 생성된 제 1 트레이닝 세트를 수신하는 단계로서, 제 1 트레이닝 세트는 (i) 제 1 웨이퍼들의 세트가 프로세싱된 후 제 1 웨이퍼들의 세트로부터 획득된 엑스 시츄 계측 데이터 또는 웨이퍼 구조 파라미터 값들, 및 (ii) 제 1 웨이퍼들의 세트가 프로세싱되는 동안 제 1 웨이퍼들의 세트로부터 획득된 인 시츄 웨이퍼-레벨, 광학 센서 데이터를 포함하는, 제 1 트레이닝 세트를 수신하는 단계; (b) 제 1 트레이닝 세트를 사용하여 제 1 머신 러닝 모델을 트레이닝하는 단계로서, 제 1 머신 러닝 모델은 프로세싱을 겪는 웨이퍼로부터 생성된 인 시츄 웨이퍼-레벨 광학 센서 데이터를 수신하고 그리고 웨이퍼 구조 파라미터 값들을 예측하도록 구성되는, 제 1 머신 러닝 모델을 트레이닝하는 단계; (c) 제 2 웨이퍼들의 세트에 대한 예측된 웨이퍼 구조 파라미터 값들을 생성하도록 제 1 머신 러닝 모델을 사용하는 단계로서, 제 2 웨이퍼들의 세트는 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 연관된 인 시츄 화학적 조성 데이터 및 연관된 인 시츄 웨이퍼-레벨 광학 센서 데이터를 갖는, 제 1 머신 러닝 모델을 사용하는 단계; 및 (d) (i) 단계 (c) 로부터 예측된 웨이퍼 구조 파라미터 값들, 및 (ii) 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 연관된 인 시츄 화학적 조성 데이터를 포함하는 제 2 트레이닝 세트를 사용하여 머신 러닝 모델을 트레이닝하는 단계를 포함한다.
본 개시의 이들 및 다른 특징들은 이하에 더 상세히 제시될 것이다.
도 1은 에칭 프로세스를 모니터링하고 필요하다면 조정하기 위한 프로세스 플로우 차트를 제시한다.
도 2는 프로세스 제어 피드백 (예를 들어, 하나 이상의 프로세스 챔버 노브들을 통한 엔드포인트 제어) 을 제공하도록 구성된 트레이닝된 제 2 머신 러닝 모델을 포함하는 시스템을 도시한다.
도 3a는 머신 러닝 모델을 트레이닝하고 이어서 트레이닝된 머신 러닝 모델을 사용하도록 채용될 수도 있는 다양한 데이터 소스들을 도시하는 표이다.
도 3b는 제 2 머신 러닝 모델을 개발하기 위해 데이터 소스들 및 제 1 머신 러닝 모델을 사용하기 위한 프로세스의 개략도이다.
도 3c는 특정한 실시 예들에 따른, 제 2 머신 러닝 모델을 생성하기 위한 예시적인 트레이닝 프로세스를 나타내는 플로우 차트이다.
도 4는 OES (optical emission spectrometer) 를 포함하는 프로세스 챔버의 개략적인 예시이다.
도 5는 인 시츄 (in situ) 스펙트럼 반사계 시스템의 일 예의 개략도이다.
도 6은 제조 툴의 하나 이상의 양태들을 제어하기 위한 제어 모듈을 도시한다.
도입 및 맥락
본 개시의 특정한 양태들은 웨이퍼 또는 다른 기판이 프로세싱되는 반응기의 프로세스 제어에 관한 것이다. 프로세스 제어는 반응기에서 프로세스 조건들을 조정하거나 그렇지 않으면 제어하는 것을 수반한다. 프로세스 제어는 적어도 부분적으로, 반응기 내 조건들에 관한 센싱된 정보 및/또는 반응기에서 프로세싱을 겪는 웨이퍼의 조건들에 관한 정보에 기초할 수도 있다. 이러한 프로세스 제어의 일 예는 에칭 프로세스에 대한 엔드포인트 결정이다. 센싱된 정보의 일 예는 반응기 내 및/또는 웨이퍼 상의 화학 종 검출이다. 본 개시의 특정한 양태들은 프로세스 분석, 프로세스 또는 반응기 하드웨어 진단들, 프로세스 또는 반응기 하드웨어 설계, 또는 프로세스 제어를 반드시 수반할 필요가 없는 다른 애플리케이션들에 관한 것이다.
본 개시의 특정한 양태들은 (a) 프로세싱을 겪는 웨이퍼 또는 다른 기판의 특성 또는 (b) 프로세싱을 겪은 웨이퍼의 포스트프로세싱 (postprocess) 특성 조건을 예측하도록 구성된 머신 러닝 모델들에 관한 것이다. 이러한 머신 러닝 모델들은 웨이퍼가 프로세싱을 겪는 동안 프로세스 챔버 내 웨이퍼 또는 가스(들)의 화학적 조성에 대한 센싱된 정보를 수신하도록 구성될 수도 있다. 웨이퍼가 프로세싱을 겪는 동안 화학적 조성 정보가 센싱되기 때문에, 정보는 본 명세서에서 때때로 인 시츄 (in situ) 정보로서 지칭된다. 머신 러닝 모델들은 화학적 조성 정보가 센싱된 시간에 또는 이후 시간에 웨이퍼의 특성 또는 조건 (예를 들어, 피처 깊이, 측벽 각도, 및/또는 임계 치수 (critical dimension) 와 같은 웨이퍼 구조 파라미터) 을 예측할 수도 있다. 일부 실시 예들에서, 머신 러닝 모델은 화학적 정보의 단일 판독에 기초하여 (예를 들어, 화학적 정보는 단일 순간 시간 동안 제공됨) 복수의 미래 시간들에서 웨이퍼 구조 파라미터를 예측하도록 구성된다. 일부 실시 예들에서, 머신 러닝 모델은 화학적 정보의 시변 (time varying) 판독에 기초하여 웨이퍼 구조 파라미터를 예측하도록 구성된다.
일부 실시 예들에서, 머신 러닝 모델은 제한된 양의 포스트프로세싱된 웨이퍼 계측 정보를 사용하여 트레이닝된다. 강력한 머신 러닝 모델을 트레이닝하는 것은 큰 데이터 세트를 필요로 하지만, 많은 설정들에서, 포스트프로세싱된 웨이퍼 계측 데이터의 양들은 매우 제한된다. 예를 들어, 집적 회로 제조 설비들은 파일럿 실행 또는 상업적 실행에서 프로세싱되는 웨이퍼들의 작은 서브세트 (subset) 만을 위한 포스트프로세싱 웨이퍼 계측 데이터를 수집하고 제공할 수도 있다. 그리고, 일부 상황들에서, 제조 설비를 동작시키는 엔티티는 이러한 정보를 독점인 것으로 간주할 수도 있고 그렇지 않으면 머신 러닝 모델을 트레이닝할 목적들로 정보를 공유하지 않을 수도 있다.
그러나, 자주, 대응하는 포스트프로세싱 엑스 시츄 (ex situ) 계측 결과들이 많은 웨이퍼들에 대해 이용 가능하지 않을 때에도, 상대적으로 많은 수의 웨이퍼들에 대해 인 시츄 측정 값들이 이용 가능하다. 예를 들어, 엑스 시츄 계측 결과들은 인 시츄 센싱된 데이터가 이용 가능한 웨이퍼들의 약 1 내지 20 %에 대해서만 이용 가능할 수도 있다. 즉, 인 시츄 센서 결과들을 포함하는 데이터 세트들과 포스트프로세싱 계측 데이터는 비대칭적 (asymmetric) 이다.
본 개시의 특정한 양태들은 실시간으로 프로세스들을 특징으로 하기 위해 인 시츄 화학적 조성 정보를 입력들로서 채용하고, 그리고 선택 가능하게 (optionally) 프로세스 제어 알고리즘의 일부로서 역할을 하는 (serve) 머신 러닝 모델들에 관한 것이다. 화학적 조성 정보는 OES (optical emission spectrometer) 와 같은 인 시츄 방출 분광계로부터 획득될 수도 있다.
일부 실시 예들에서, 화학적 조성 데이터를 사용하도록 트레이닝된 머신 러닝 모델은 적어도 2 개의 단계들로 트레이닝되고, 그리고 화학적 조성 데이터를 수신하도록 구성된 머신은 본 명세서에서 "제 2 머신 러닝 모델"로 지칭된다. 일부 실시 예들에서, 제 2 머신 러닝 모델들은 제한된 양들의 실제 포스트프로세스 계측 데이터를 사용하여 트레이닝된다. 일부 실시 예들에서, 제 2 머신 러닝 모델을 생성하는 것은 인 시츄, 온-웨이퍼 (on-wafer), 광학 프로세싱 정보를 에칭된 피처 특성들과 같은 웨이퍼 표면 파라미터들 및/또는 이러한 피처들에 의해 생성된 계측 결과들과 관련시키는 "제 1 머신 러닝" 모델을 개발하고 사용하는 것을 수반한다. 특정한 실시 예들에서, 제 1 머신 러닝 모델은 (a) 집적 회로 제조 동작을 겪는 웨이퍼로부터 획득된, 통상적으로 시간 시퀀스에 걸친, 인 시츄 웨이퍼 레벨 광학 측정 값들, 및 (b) 웨이퍼 구조 파라미터들 또는 웨이퍼 표면 계측 결과들을 사용하여 트레이닝된다.
제 1 머신 러닝 모델은 물리적 계측 데이터를 갖지 않지만 인 시츄 온-웨이퍼 광학 측정 값들을 갖는 웨이퍼들에 대한 예측된 웨이퍼 구조 파라미터들 또는 계측 데이터를 생성하도록 사용될 수도 있다. 발생되는 예측된 웨이퍼 구조 파라미터들 또는 계측 데이터는 제 2 머신 러닝 모델을 트레이닝하기 위한 트레이닝 데이터로서 사용될 수도 있다. 발생되는 트레이닝된 제 2 머신 러닝 모델은 인 시츄 광학 방출 분광 신호들과 같은 센싱된 인 시츄 화학적 조성 정보를 포함하는 입력 데이터로부터 1 회 이상 웨이퍼 구조 파라미터 값들 (예를 들어, 임계 치수, 측벽 각도, 또는 에칭 깊이와 같은 피처 특성들) 을 예측하도록 구성될 수도 있다.
일부 실시 예들에서, 제 2 머신 러닝 모델을 개발하는 것은, 3 개의 주요 동작들: (a) 포스트프로세싱 계측 결과들 및 인 시츄 웨이퍼 레벨 광학 측정 값들 (통상적으로 시변임) 을 포함하는 데이터 세트로부터 제 1 머신 러닝 모델을 생성하는 동작, (b) 인 시츄 웨이퍼 레벨 광학 측정 값들을 갖는 웨이퍼들에 대한 예측된 구조 파라미터 값들 또는 계측 결과들을 생성하기 위해 제 1 머신 러닝 모델을 사용하는 동작, 및 (c) 제 2 머신 러닝 모델을 생성하기 위해 인 시츄 화학적 조성 정보와 함께 예측된 구조 파라미터 값들 또는 계측 결과들을 사용하는 동작을 포함한다.
트레이닝되는 방법과 무관하게, 제 2 머신 러닝 모델은 많은 방식들로 사용될 수도 있다. 예를 들어, 웨이퍼 에칭 프로세스를 제어하도록 사용될 수도 있다. 특정한 반도체 디바이스들의 제조는 하나 이상의 재료들로 피처들을 에칭하는 것을 수반한다. 본 명세서의 다양한 실시 예들에서, 피처들이 표면 상에 유전체, 반도체, 및/또는 도전체 재료를 갖는 기판 내에 에칭된다. 재료는 재료의 단일 층 또는 재료들의 스택일 수도 있다. 일부 경우들에서 스택은 재료 (예를 들어, 실리콘 나이트라이드와 실리콘 옥사이드) 의 교번하는 층들을 포함한다.
에칭 프로세스들은 종종 플라즈마-기반 에칭 프로세스들이다. 피처는 기판 표면의 리세스일 수도 있다. 피처들은 실린더형들, 직사각형들, 정사각형들, 다른 다각형 리세스들, 트렌치들, 등을 포함하지만, 이로 제한되지 않는, 많은 상이한 형상들을 가질 수 있다. 에칭된 피처들의 예들은 다양한 갭들, 홀들 또는 비아들, 트렌치들, 등을 포함한다.
본 개시는 (1) 인 시츄로 생성된 시간-종속 광학 신호로부터 제조 프로세스 (예컨대 에칭 또는 증착 프로세스) 에서 생성된 피처들을 특징으로 하는 에칭 깊이 및/또는 또 다른 파라미터를 결정하기 위해 제 2 머신 러닝 모델들을 생성하기 위한 방법들 및 장치, 및 (2) 인 시츄 측정들에 의해 검출된 시간-종속 화학적 신호들 (광학 신호들일 수도 있음) 을 수신하고 그리고 에칭을 겪는 기판의 피처들의 깊이 및/또는 다른 파라미터 값을 결정하기 위해 이들 광학 신호들을 사용하도록 구성된 제 2 머신 러닝 모델들을 기술한다. 특정한 실시 예들에서, 웨이퍼 피처들은 메모리를 위해 일반적으로 생성된 구조체들과 같은 주기적이거나 반복되는 구조체들이다. 특정한 실시 예들에서, 제 2 머신 러닝 모델이 실행될 때, 에칭 또는 증착 장치에서 에칭 또는 증착 프로세스의 실시간 모니터링을 제공하도록 장치에서 구현된다. 일부 구현 예들에서, 모델은 에칭 프로세스의 엔드포인트를 결정하거나 결정하는 것을 보조한다.
본 명세서에 기술된 바와 같이 모니터링되거나 제어되는 프로세스는 다양한 특성들을 가질 수도 있다. 예를 들어, 프로세스는 에칭되거나 증착되는 재료 또는 기판의 타입으로 특징화될 수도 있다. 제 2 머신 러닝 모델에 입력된 센싱된 화학적 정보는 통상적으로 프로세싱될 기판의 재료들 또는 다른 피처들에 따라 가변한다. 기판 재료는 도전체, 유전체, 반도체, 또는 이들의 임의의 조합일 수도 있다. 또한, 에칭된 재료는 모놀리식 (monolithic) 이거나 레이어링될 수도 있다. 이는 메모리 및/또는 로직 디바이스들을 형성하도록 사용될 수도 있다. 에칭을 위한 유전체 재료들의 예들은 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 옥시나이트라이드들, 옥시카바이드들, 카보-나이트라이드들, 이들 재료들의 도핑된 (예를 들어, 붕소, 인, 등으로 도핑된) 버전들, 및 이들 재료들의 임의의 조합들로부터의 라미네이트들을 포함한다. 재료들의 특정한 예들은 SiO2, SiN, SiON, SiOC, SiCN, 등의 화학량론적 (stoichiometric) 제제들 및 비화학량론적 제제들 (formulations) 을 포함한다. 도전체 재료들의 예들은, 이로 제한되는 것은 아니지만, 티타늄 나이트라이드 및 탄탈륨 나이트라이드와 같은 나이트라이드들 및 코발트, 알루미늄, 루테늄, 하프늄, 티타늄, 텅스텐, 백금, 이리듐, 팔라듐, 망간, 니켈, 철, 은, 구리, 몰리브덴, 주석과 같은 금속들, 및 이들 금속들의 합금들을 포함하는 다양한 합금들을 포함한다. 반도체 재료들의 예들은 이로 제한되는 것은 아니지만, 도핑된 실리콘 및 도핑되지 않은 실리콘, 게르마늄, 갈륨 비소, 등을 포함한다. 상기 도전체들, 반도체들, 및 유전체들 중 임의의 것은 다결정 (polycrystalline), 비정질 (amorphous), 단결정 (single crystal), 및/또는 미정질 (microcrystalline) 과 같은 구별되는 모폴로지 (morphology) 를 가질 수도 있다. 에칭될 수도 있는 다른 재료들은 이로 제한되지 않지만, CoFeB, Ge2Sb2Te2, InSbTe 화합물들, Ag-Ge-S 화합물들, 및 Cu-Te-S 화합물들을 포함한다. 개념은 NiOx, SrTiOx, 페로브스카이트 (CaTiO3), PrCAMnO3, PZT (PbZr1-xTixO3), (SrBiTa)O3, 등과 같은 재료들로 확장될 수 있다.
본 명세서에 기술된 장치 및 머신 러닝 모델들은 임의의 기술 노드에서 디바이스들 또는 다른 구조체들의 피처들을 에칭하기 위한 프로세스들과 같은 임의의 다양한 프로세스들에서 채용될 수도 있다. 일부 실시 예들에서, 에칭은 20 ㎚ 미만 (sub 20 ㎚) 기술 노드들 또는 10 ㎚ 미만 기술 노드들에서 제조 동안 사용된다. 에칭은 FEOL (front end of line) 제조 절차들 및/또는 BEOL (back end of line) 제조 절차들에서 사용될 수 있다.
에칭 프로세스는 주로 물리적 (예를 들어, 비반응성 이온 충돌 (ion bombardment)), 주로 화학적 (예를 들어, 작은 지향성 충돌만을 갖는 화학적 라디칼들), 또는 이들의 임의의 조합일 수도 있다. 화학적 에칭이 포함될 때, 화학적 반응 물질은 예를 들어, 플루오로카본들, 불소, 산소, 염소, 등을 함유하는 반응 물질들을 포함하는 다양한 에천트들 중 임의의 하나 이상의 에천트들일 수도 있다. 예시적인 에천트들은 염소 (Cl2), 붕소 트리클로라이드 (BCl3), 황 헥사플루오라이드 (SF6), 질소 트리플루오라이드 (NF3), 디클로로디플루오로메탄 (CCl2F2), 인 트리플루오라이드 (PF3), 트리플루오로메탄 (CHF3), 카르보닐 플루오라이드 (COF2), 산소 (O2), 탄소 테트라클로라이드 (CCl4), 실리콘 테트라클로라이드 (SiCl4), 일산화탄소 (CO), 일산화질소 (NO), 메탄올 (CH3OH), 에탄올 (C2H5OH), 아세틸아세톤 (C5H8O2), 헥사플루오로아세틸아세톤 (C5H2F6O2), 티오닐 클로라이드 (SOCl2), 티오닐 플루오라이드 (SOF2), 아세트산 (CH3COOH), 피리딘 (C5H5N), 포름산 (HCOOH), 및 이들의 조합들을 포함한다. 다양한 실시 예들에서, 이들 에칭 반응 물질들의 조합이 사용된다.
많은 타입들의 장치가 본 명세서에 기술된 하나 이상의 방법들 및/또는 장치에 따라 제어되는 에칭 프로세스들을 수행하는 데 적합하다. 이러한 장치의 예들은 유도 커플링 플라즈마 (inductively coupled plasma) 반응기들 및 용량 커플링 플라즈마 (capacitively coupled plasma) 반응기들을 포함한다. 일부 실시 예들에서, 에칭 프로세스는 (때때로 단일 반응기에서) 증착 프로세스와 커플링된다. 이러한 커플링된 증착 및 에칭 프로세스들의 예들은 고 종횡비 피처들을 생성하기 위해 측벽 보호 층을 채용하는 프로세스들을 포함한다. 원자 층 에칭 (atomic layer etch; ALE) 프로세스들의 예들은 미국 특허 제 8,883,028 호 및 제 8,808,561 호에 기술되고, 이들 각각은 전체가 참조로서 본 명세서에 인용된다.
본 명세서에 개시된 바와 같이 제 2 머신 러닝 모델을 사용하여 에칭되는 피처들은 에칭 깊이, 임계 치수 (인접한 에칭된 피처들의 측벽들 사이의 에칭되지 않은 부분의 폭), 라인 폭 (2 개 이상의 에칭 영역들 사이의 상승된 피처의 폭), 피치 (인접한 평행한 라인들의 중심 지점들 사이의 거리), 공간 임계 치수 (피치와 라인 폭의 차), 측벽 각도, 및 종횡비와 같은 다양한 기하학적 파라미터들 중 임의의 파라미터들로 특징화될 수도 있다.
용어
본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판," 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 상부에서 집적 회로 제조의 많은 스테이지들 중 임의의 스테이지 동안의 실리콘 또는 다른 반도체 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼는 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술 (description) 은 개시된 실시 예들이 웨이퍼 상에서 구현된다고 가정한다. 그러나, 본 개시는 이렇게 제한되지 않는다. 워크피스 (work piece) 는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 본 명세서의 기술은 웨이퍼 기판의 상이한 측면들을 기술하기 위해 용어들 "전면 (front)" 및 "후면 (back)"을 사용한다. 전면은 대부분의 증착 및 프로세싱이 발생하는 곳이고, 반도체 디바이스들 자체가 제조되는 곳이라는 것이 이해된다. 후면은 웨이퍼의 반대 측면이고, 통상적으로 제조 동안 최소의 프로세싱을 경험하거나 전혀 경험하지 않는다. 반도체 웨이퍼들에 더하여, 본 명세서에 기술된 바와 같이 프로세싱될 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 픽셀화된 디스플레이들을 포함하는 광학 엘리먼트들, 마이크로-기계 디바이스들, 등을 포함한다.
본 명세서에서 사용될 때 "반도체 디바이스 제조 동작" 또는 "제조 동작"은 반도체 디바이스들의 제조 동안 수행된 동작이다. 통상적으로, 전체 제조 프로세스는 복수의 반도체 디바이스 제조 동작들을 포함하고, 각각은 플라즈마 반응기, 열적 반응기, 등과 같은 자체 반도체 제조 툴에서 수행된다. 반도체 디바이스 제조 동작들의 카테고리들은 서브트랙티브 (subtractive) 프로세스들, 예컨대 에칭 프로세스들 및 평탄화 프로세스들, 및 재료 애디티브 (additive) 프로세스들, 예컨대 증착 프로세스들 (예를 들어, 물리적 기상 증착 (physical vapor deposition; PVD), 화학적 기상 증착 (chemical vapor deposition; CVD), 및 원자 층 증착 (atomic layer deposition; ALD)) 을 포함한다. 기판 에칭 프로세스는 마스크 층을 에칭하는 프로세스들, 또는 더 일반적으로 기판 표면 상에 이전에 증착된 그리고/또는 달리 존재하는 (reside) 재료의 임의의 층을 에칭하는 프로세스들을 포함할 수도 있다. 이러한 에칭 프로세스는 기판의 층들의 스택을 에칭할 수도 있다.
용어들 "제작 장비 (manufacturing equipment)", 및 "제조 툴 (fabrication tool)"은 제작 프로세스가 발생하는 장비를 지칭한다. 제작 장비는 프로세싱 동안 웨이퍼 또는 다른 워크피스가 존재하는 프로세싱 챔버를 포함할 수도 있다. 통상적으로, 사용 시, 제작 장비는 하나 이상의 반도체 디바이스 제조 동작들을 수행한다. 반도체 디바이스 제조를 위한 제작 장비의 예들은 서브트랙티브 프로세스 반응기들 및 애디티브 프로세스 반응기들을 포함한다. 서브트랙티브 프로세스 반응기들의 예들은 건식 에칭 반응기들 (예를 들어, 화학적 에칭 반응기 및/또는 물리적 에칭 반응기) 및 애셔들 (ashers) 을 포함한다. 애디티브 프로세스 반응기들의 예들은 화학적 기상 증착 반응기들, 및 원자 층 증착 반응기들, 물리적 기상 증착 반응기들, 및 전기 도금 셀들을 포함한다.
다양한 실시 예들에서, 프로세스 반응기 또는 다른 제작 장비는 프로세싱 동안 기판을 홀딩하기 위한 툴을 포함한다. 이러한 툴은 종종 페데스탈 또는 척이고, 그리고 이들 용어들은 때때로 제작 장비에 포함된 모든 타입들의 기판 홀딩 또는 지지 툴들을 지칭하기 위한 약칭으로서 본 명세서에서 사용된다. 다양한 실시 예들에서, 프로세스 반응기 또는 다른 제작 장비는 샤워헤드와 같은 가스 전달 엘리먼트 및 선택 가능하게 무선 주파수 (radio frequency; RF) 코일 또는 커패시터 플레이트와 같은 플라즈마 생성기를 포함한다.
고려 중인 프로세스 챔버 또는 다른 제작 장비에서 아직 프로세싱되지 않은 웨이퍼들 또는 다른 워크피스들은 "프리프로세싱된 (preprocess)" 웨이퍼들로 지칭될 수도 있다. 고려 중인 프로세스 챔버 또는 다른 제작 장비에서 이전에 프로세싱된 웨이퍼들 또는 다른 워크피스들은 "포스트프로세싱된 (postprocess)" 웨이퍼들로 지칭될 수도 있다. 프리프로세싱된 웨이퍼는 반응 챔버 또는 다른 제조 툴에서 프로세싱을 겪음으로써 포스트프로세싱된 웨이퍼가 된다. 일부 실시 예들에서, 프로세싱을 겪는 웨이퍼들 상에서 획득된 인 시츄 화학적 조성 정보는 미래의 포스트프로세싱된 웨이퍼의 표면에 대해 타깃 구조 파라미터 값 (예를 들어, 피처 깊이, CD, 측벽 각도, 또는 피치) 을 생성하도록 대응하는 제작 장비 상의 프로세스 제어 설정을 결정하도록 사용된다.
웨이퍼 구조 파라미터들은 웨이퍼를 특징으로 하는 관심 있는 파라미터들을 지칭한다. 이들은 본 명세서에 기술된 것과 같은 제 2 머신 러닝 모델로부터 (직접적으로 또는 간접적으로) 예측될 수 있다. 이들은 계측을 사용하여 평가될 수 있는 파라미터들이다. 관심 있는 웨이퍼 구조 파라미터 값들의 공간적 변동들은 포스트프로세싱된 웨이퍼들에서 하나 이상의 웨이퍼 구조 파라미터들의 타깃 값을 달성하도록 프로세스를 조정하거나, 튜닝하거나 (tune), 또는 최적화하도록 활용될 수도 있다. 웨이퍼 구조 파라미터들의 예들은 피처 깊이, 폭, 측벽 각도, 및 오버레이와 같은 기하학적 피처 파라미터들뿐만 아니라 임계 치수 (critical dimension; CD) 및 피치와 같은 반복되는 구조체들을 특징으로 하는 파라미터들을 포함한다. 웨이퍼 구조 파라미터들의 예들은 웨이퍼 상의 하나 이상의 층들의 두께와 같은 물리적 특성 파라미터들 및 웨이퍼 상의 하나 이상의 층들의 흡광 계수 및 굴절률과 같은 분산 특성들을 포함한다.
본 명세서에 사용된 바와 같은 "계측 데이터"는 부분적으로 제조된 집적 회로들을 포함하는 반도체 웨이퍼와 같은, 프로세싱되거나 부분적으로 프로세싱된 기판의 피처들을 측정함으로써, 적어도 부분적으로 생성된 데이터를 지칭한다. 측정은 프로세스 챔버에서 반도체 디바이스 제조 동작을 수행하기 전, 수행하는 동안 또는 수행한 후에 이루어질 수도 있다. 특정한 실시 예들에서, 계측 데이터는 디바이스 제조 플로우에서 인라인으로 구현될 수도 있는 비파괴 (non-destructive) 계측 기법에 의해 생성된다. 특정한 실시 예들에서, 계측 데이터는 에칭된 기판 상에서 (선택 가능하게 스펙트럼의 UV, 가시광선, 및/또는 IR 부분들에서) 광학 계측, X-선 계측 (예를 들어, CD-SAXS), 또는 전자 빔 계측 (예를 들어, SEM 및 CD-SEM) 을 사용하여 생성된다. 특정한 실시 예들에서, 광학 계측 데이터는 프로세싱되거나 부분적으로 프로세싱된 기판 상에서 반사계 (reflectometry), 돔 산란계측, 각도-분해 산란계측 (angle-resolved scatterometry), 및/또는 타원편광법을 수행함으로써 생성된다.
광학 계측 신호들의 타입들의 예들은 기판 표면과 상호 작용한 광에 대한 광학 강도의 값들을 포함한다. 이러한 광은 기판 표면에 의해 (예를 들어, 정반사에 의해) 반사, 산란, 회절, 굴절, 투과, 등이 될 수도 있다. 광학 강도 값들은 기판 및/또는 입사광에 대한 위치, (예를 들어, 스펙트럼 데이터에 대한) 광 파장, 광 편광 상태, 시간, 등의 함수로서 제공될 수도 있다.
계측 신호들은 기판 피처 구성 (composition) 및/또는 기하 구조에 대한 정보를 포함할 수도 있다. 기하 구조 정보의 예들은 피처들의 위치, 형상, 및/또는 치수들을 포함한다. 이러한 정보는 종종 널리 사용되는 광학 임계 치수 (optical critical dimension; OCD) 기법들과 같은 복잡한 연산들 (computations) 에 의해 측정된 광학 계측 신호들로부터 획득된다.
일부 실시 예들에서, 계측 시스템은 기판 피처들에 대한 구성 및/또는 기하학적 정보를 결정하기 위해 통합된 컴퓨터를 사용한 (computational) 프로세싱 능력을 채용하지 않는다. 오히려, 이러한 계측 시스템들은 단순히 미가공 (raw) 또는 최소로 프로세싱된 광학 신호들을 생성할 수도 있다. 예를 들어, 일부 이러한 실시 예들은 후속하는 제조 동작을 위한 프로세싱 파라미터들을 결정하기 위해 신호들을 분석하는 하나 이상의 머신 러닝 모델들에 광학 신호들을 직접 피딩한다 (feed).
특정한 실시 예들에서, 계측 툴은 포스트프로세싱된 웨이퍼의 구조 파라미터 값들에 대한 고-해상도 및/또는 고 정확도 정보를 결정할 수 있다. 이러한 계측 툴들은 엑스 시츄로 사용될 수도 있고 그리고 때때로 독립형 툴들로서 배치된다. 다양한 구현 예들에서, 엑스 시츄 계측 툴은 고 해상도를 사용하여, 웨이퍼의 면 (face) 에 걸친 웨이퍼 구조 파라미터들의 값들을 결정할 수 있다. 엑스 시츄 광학 계측 툴들은 마이크로미터 스케일 (예를 들어, 40 마이크로미터 스케일과 같은 수십 마이크로미터) 의 빔 스폿 (beam spot) 을 채용할 수도 있다. 엑스 시츄 계측 툴들의 예들은 캘리포니아, Milpitas 소재의 KLA Corporation 및 캘리포니아, Milpitas 소재의 Onto Innovation과 같은 계측 툴 회사들로부터 입수 가능한 다양한 툴들을 포함한다.
웨이퍼의 프로세싱 동안 수행된 계측은 때때로 인 시츄 계측 또는 웨이퍼-레벨 계측으로 지칭된다. 인 시츄 계측은 반응 챔버 내에서 프로세싱될 웨이퍼로부터 광학 정보를 수집하도록 구성된 광학 기구 (instrument) 를 사용하여 수행될 수도 있다. 인 시츄 수집된 광학 강도 값들은 시간의 함수로서 제공될 수도 있다. 광대역 인 시츄 반사계의 일 예는 플래시 램프 반사계 (Lam Spectral Reflectometer?? (LSR) 일 수도 있음) 이다. 인 시츄 계측 시스템들에 대한 더 많은 관련 정보에 대해, Lam Research Corporation의 미국 특허 번호 제 6,400,458 호, 및 제 6,160,621 호가 참조될 수도 있고, 이는 전체가 참조로서 본 명세서에 인용된다.
화학적 조성 정보는 프로세싱될 웨이퍼로부터 그리고/또는 프로세싱이 발생하는 반응 챔버로부터 인 시츄로 획득될 수도 있다. 다양한 타입들의 인 시츄 화학적 조성 센서들이 채용될 수도 있다. 일부 화학적 조성 센서들은 화학 종 또는 원자 종으로부터 방출 신호들을 검출하고 그리고 일부는 화학 종 또는 원자 종에 의한 흡수를 측정한다. 예들은 OES, 잔류 가스 분석기들, (FTIR 센서들을 포함하는) IR 센서들, Raman 분광계들, 및 광학 흡수 기반 분광계들을 포함한다. 센싱된 정보는 광대역 정보 (스펙트럼 정보) 또는 단일 파장 데이터를 포함할 수도 있다. OES는 광대역 방출 신호 기법인 한편, Lam Research 기법 ("Lam Control System" (LCS)) 이 단일 파장 흡수 기법의 일 예이다.
일부 실시 예들에서, 인 시츄 조건들의 더 완전한 표현을 제공하기 위해, 하나 이상의 이러한 화학적 조성 센서들은 V/I 프로브들 및/또는 RF 센서들과 같은 하나 이상의 다른 센서들과 함께 사용된다. 예를 들어, OES 및/또는 하나 이상의 다른 센서들이 플라즈마 밀도, 프로세스 가스 농도, 및/또는 부산물 및 다른 가스 농도들을 특징으로 하도록 채용될 수도 있다. OES 센서들은 프로세스 챔버 내에 존재하는 플라즈마 및/또는 가스들로부터 방출 스펙트럼들을 측정할 수도 있다.
OES에서, 반응 챔버에서 생성된 플라즈마와 같은 여기 (excitation) 소스는 챔버 내에서 특징적인 광을 방출하는 원자들, 또는 광학 방출 라인들을 여기시킨다. 방출 분광계들에서, 광학 시스템은 원자들로부터 광을 캡처하고 그리고 광을 회절 격자 (diffraction grating) 일 수도 있는 분광계로 전달한다. 대응하는 검출기는 파장 각각에 대한 광의 강도를 측정한다. 측정된 강도는 샘플의 농도 오프셋 엘리먼트에 비례한다.
챔버 내의 특정한 원자들, 및 방출 신호들에 대한 이들의 연관된 기여는 프로세싱을 겪는 웨이퍼의 상태에 종속된다. 예를 들어, 웨이퍼가 에칭될 때, 웨이퍼로부터 구동된 화학 종 또는 원자 종의 조성 및 플럭스는 변화할 수도 있고, 그리고 그 변화는 OES 센서에 의해 검출될 수도 있는 방출 신호의 대응하는 변화를 유발한다. 특정한 종의 방출 신호의 상승은 웨이퍼의 상이한 깊이들에 존재하는 상이한 재료들로 인한 것일 수도 있는, 웨이퍼의 표면 상의 특정한 에칭 깊이와 적어도 대략적으로 대응할 수도 있다.
"머신 러닝 모델"은 트레이닝된 컴퓨터를 사용한 모델이다. 머신 러닝 모델은 지도된 학습, 반-지도된 (semi-supervised) 트레이닝, 또는 지도되지 않은 트레이닝을 사용하여 트레이닝될 수도 있다. 본 명세서의 일부 실시 예들에서, 머신 러닝 모델은 피처 기하 구조들과 같은 웨이퍼 표면 파라미터들의 특성들인 출력 정보를 생성하기 위해 (시계열로서 제공될 수도 있는) 인 시츄 센서 데이터를 입력들로서 수신하도록 구성된다. 이 출력은 디바이스 제조 툴의 실시간 프로세스 제어를 달성하도록 채용될 수도 있다. 머신 러닝 모델들의 예들은 정규화된 선형 모델들, 서포트 벡터 머신들, 결정 트리들, 랜덤 포레스트 모델들, 그래디언트 부스팅된 트리들 (gradient boosted trees), 신경망들, 및 오토인코더들을 포함한다. 머신 러닝 모델들은 모델이 예를 들어, 디바이스 제조 툴을 정확하게 제어 (예를 들어, 에칭 엔드포인트가 발생하는 시간을 식별) 할 수 있어야 하는 조건들의 범위를 반영하는 트레이닝 세트를 사용하여 트레이닝된다.
프로세서들, 메모리, 인스트럭션들, 루틴들, 모델들, 또는 다른 컴포넌트들을 포함하는 다양한 컴퓨터를 사용한 엘리먼트들은 태스크 또는 태스크들을 수행"하도록 구성된 (configured to)" 것으로 기술되거나 청구될 수도 있다. 이러한 맥락들에서, 어구 "~하도록 구성된"은 컴포넌트가 동작 동안 태스크 또는 태스크들을 수행하는 구조 (예를 들어, 저장된 인스트럭션들, 회로, 등) 를 포함한다는 것을 나타냄으로써 구조를 암시하도록 사용된다. 이와 같이, 유닛/회로/컴포넌트는 명시된 컴포넌트가 반드시 현재 동작할 필요가 없을 때 (예를 들어, 온 (on) 이 아니거나 실행되지 않을 때) 에도 태스크를 수행하도록 구성된다고 할 수 있다.
"~하도록 구성된" 이라는 표현과 함께 사용된 컴포넌트들은 하드웨어―예를 들어, 회로들, 동작을 구현하도록 실행 가능한 프로그램 인스트럭션들을 저장하는 메모리, 등―를 지칭할 수도 있다. 부가적으로, "~하도록 구성된"은 언급된 태스크(들)를 수행할 수 있는 방식으로 동작하도록 소프트웨어 및/또는 펌웨어 (예를 들어, FPGA 또는 범용 프로세서 실행 소프트웨어) 에 의해 조작되는 일반 (generic) 구조 (예를 들어, 일반 회로) 를 지칭할 수 있다 . 부가적으로, "~하도록 구성된"은 언급된 태스크(들)를 수행하기 위한 컴퓨터 실행 가능 인스트럭션들을 저장하는 하나 이상의 메모리들 또는 메모리 엘리먼트들을 지칭할 수 있다. 이러한 메모리 엘리먼트들은 프로세싱 로직을 갖는 컴퓨터 칩 상의 메모리, 뿐만 아니라 메인 메모리, 시스템 메모리, 등을 포함할 수도 있다.
개시된 모델 트레이닝 및 프로세스 제어 실시 예들은 프로세스, 장치, 시스템, 컴퓨터 판독 가능 저장 매체 상에 구현된 컴퓨터 프로그램 제품, 프로세서, 예컨대 프로세서 상에 저장되고 그리고/또는 프로세서에 커플링된 메모리에 의해 제공되는 인스트럭션들을 실행하도록 구성된 프로세서, 및 이들의 임의의 조합을 포함하는 다양한 방식들로 구현될 수 있다. 일반적으로, 개시된 프로세스들의 단계들의 순서는 본 개시의 범위 내에서 변경될 수도 있다. 달리 언급되지 않는 한, 태스크를 수행하도록 구성되는 것으로 기술된 프로세서 또는 메모리와 같은 컴포넌트는 미리 결정된 시간에 태스크를 수행하도록 일시적으로 구성된 일반 컴포넌트 또는 태스크를 수행하도록 제작된 특정한 컴포넌트로서 구현될 수도 있다. 본 명세서에 사용된 바와 같이, 용어 '프로세서'는 컴퓨터 프로그램 인스트럭션들과 같은 데이터를 프로세싱하도록 구성된 하나 이상의 디바이스들, 회로들, 및/또는 프로세싱 코어들을 지칭한다.
프로세스 제어
도 1은 제조 동작을 모니터링하고 필요하다면 조정하기 위한 프로세스 (101) 를 제시한다. 인 시츄 모니터링 툴 (예를 들어, OES 툴) 및/또는 모니터링 데이터에 작용하는 프로세서들은 본 명세서에 기술된 바와 같이 제 2 머신 러닝 모델에 대한 입력으로서 직접적으로 또는 간접적으로 사용될 수도 있는 데이터를 제공하도록 구성될 수도 있다.
선택 가능한 셋업이 완료된 후, 도시된 프로세스는 동작 (105) 에 의해 나타낸 바와 같이 제조 툴에서 제조 동작을 개시한다. 당업자에 의해 이해되는 바와 같이, 이는 제조 툴 내에 기판을 포지셔닝하고, 제조 툴 챔버를 배기하고, 제조 툴 챔버 내로 프로세스 가스를 흘리고, 플라즈마를 스트라이킹하는 (strike) 것, 등을 수반할 수도 있다. 처음에, 예를 들어 에칭 프로세스에서, 기판은 에칭 패턴을 규정하기 위한 마스크 또는 다른 구조체만을 포함할 수도 있다. 에칭될 하부 재료는 제조 동작이 동작 (105) 에서 개시되기 전에 어떠한 실질적인 방식으로도 에칭되지 않거나 달리 영향을 받지 않는다.
제조 동작이 전개됨에 따라, 프로세스 환경은 기판 또는 챔버 내부 환경으로부터 센싱된 화학적 정보 (예를 들어, 광학 방출 또는 흡수 신호) 를 사용하여 실시간으로 모니터링된다. 기판으로부터의 실시간 화학적 신호들의 연속적인 측정을 나타내는 프로세스 블록 (107) 을 참조하라. 화학적 신호들은 또한 현재 시간 및 선택 가능하게 이전 시간들에 적절한 인 시츄 광학 신호들 (예를 들어, 일 세트의 파장들에서 강도 값들 또는 다른 광학 파라미터(들)) 로서 제공될 수도 있다. 제 2 머신 러닝 모델은 관심 있는 웨이퍼 구조 파라미터 및/또는 계측 값을 예측하기 위해 이들 신호들을 사용한다. 프로세스 블록 (109) 을 참조하라. 특정한 실시 예들에서, 제 2 머신 러닝 모델은 에칭 프로세스 동안 임의의 미리 결정된 시간 또는 시간들의 범위에서 광학 파라미터들의 특정한 범위 또는 다른 서브세트 (예를 들어, 특정한 파장들에서의 강도 값들) 만을 프로세싱하도록 구성된다. 동작 (109) 는 모델이 현재 시간에 대해 적절하게 수집된 파라미터들을 수신하는 것을 보장할 수도 있다.
다음에, 현재 시간 단계에 대해, 제 2 머신 러닝 모델은 입력된 센싱된 화학적 신호들을 사용하여 실행되고 그리고 예측된 웨이퍼 구조 파라미터 또는 예측된 계측 값을 제공한다. 이는 블록 (111) 에 예시된다. 제 2 머신 러닝 모델은 실시간으로 파라미터들을 계산하는 것에 더하여, 파라미터들이 예상된 범위 내에 있는지 또는 이들이 프로세스의 엔드포인트를 시그널링하는지 여부를 결정할 수도 있다. 이 체크는 결정 블록 (113) 에서 예시된다.
프로세스 제어는 인 시츄 모니터링 시스템이 실시간으로 센싱된 화학적 정보 신호들을 계속해서 수집하는 블록 (107) 으로 돌아간다. 상기 기술된 바와 같이, 이것이 발생하는 동안, 제 2 머신 러닝 모델은 계속해서 (i) 센싱된 화학적 신호들을 수신하고 (동작 (109)) 그리고 (ii) 현재 시간 단계에 대해 예측된 웨이퍼 구조 파라미터 또는 예측된 계측 파라미터를 제공한다 (동작 (111)). 부가적으로, 제 2 머신 러닝 모델은 계속해서 동작 (113) 에서 예측된 구조 파라미터가 예상된 범위 내에 있는지 여부를 결정한다.
일부 지점에서, 결정 동작 (113) 에서 수행된 평가는 네거티브 판정을 발생시키고, 즉, 예측된 구조 파라미터 또는 계측 결과는 예상된 범위 밖에 있거나 파라미터가 엔드포인트에 도달한다. 이 때, 프로세스 플로우는 현재 제조 동작을 수정하거나 종료하는 프로세스 동작 (115) 으로 지향되거나, 제조 동작에서 자동 또는 수동 개입을 유발할 수 있는 시스템으로 알림을 전송한다. 이러한 개입은 코스 조정이 필요한지 여부 및/또는 프로세스가 종료되어야 하는지 여부를 결정하기 위한 추가 평가를 수반할 수도 있다. 프로세스 종료는 예를 들어 엔드포인트 제어의 경우에 적절할 수도 있다.
시스템의 관점으로부터, 관련 동작들은 (109, 111, 113, 및 115) 이다. 순전히 해당 관점으로부터 프로세스 플로우를 고려하면, 동작 (109) 은 "광학 신호의 적어도 일부를 수신하는" 단계를 수반하고 그리고 결정 동작 (113) 으로부터 다시 루핑되는 (loop) 화살표는 블록 (107) 이 아니라 블록 (109) 으로 간다.
도 2는 제조 툴들 (예를 들어, 리소그래피 툴들, 세정 툴들, 및/또는 에칭/증착 툴들), 웨이퍼 핸들링 또는 저장 엘리먼트들, 및/또는 계측 툴들과 같은 하나 이상의 업스트림 프로세싱 엘리먼트들 (200) 과 제조 연통하는 (in fabrication communication) 프로세스 챔버 (210) 를 포함하는 프로세스 시스템 (201) 을 개략적으로 나타낸다. 웨이퍼가 업스트림 프로세싱 엘리먼트들 (200) 에 의해 프로세싱되거나 핸들링된 후, 웨이퍼는 증착 또는 에칭과 같은 프로세싱을 겪는 프로세스 챔버 (210) 로 전달된다. 프로세스 챔버 (210) 내에서 프로세싱을 겪는 동안, 웨이퍼는 부분적으로 프로세싱된 웨이퍼 (202) 로 보일 수도 있다. 그리고 부분적으로 프로세싱된 웨이퍼 (202) 가 프로세스 챔버 (210) 내에서 프로세싱을 겪는 동안, OES 센서와 같은 인 시츄 화학적 정보 센싱 툴 (204) 은 챔버 내의 환경 또는 웨이퍼로부터 신호들을 수신한다. 다양한 실시 예들에서, 신호들은 챔버 (210) 내에서 발생하는 에칭 또는 증착과 같은 제조 동작의 생성물들 또는 부산물들에 의해 방출된 스펙트럼들과 같은 화학적 조성 정보를 나타내거나 포함한다. 예시된 바와 같이, 툴 (204) 은 프로세스 챔버 (210) 내에 배치된다 (dispose) (또는 그렇지 않으면 프로세스 챔버 (210) 로의 액세스를 갖는다).
시스템 (201) 은 툴 (204) 로부터 신호들을 웨이퍼 구조 파라미터 값 (예를 들어, 에칭 깊이) 및/또는 부분적으로 프로세싱된 웨이퍼가 이제 포스트프로세싱된 웨이퍼라면 생성될 계측 결과의 표현으로 변환하거나 해석하도록 구성되는 머신 러닝 모델 (206) (예를 들어, 본 명세서에 기술된 바와 같은 제 2 머신 러닝 모델) 로 신호들을 송신하도록 구성된다. 시스템 (201) 은 또한 모델 (206) 의 출력에 (적어도 부분적으로) 기초하여 프로세스 챔버 (210) 의 동작을 제어하도록 구성되는, 프로세스 제어 로직 (208) 으로 모델 (206) 로부터 출력을 송신하도록 구성된다. 예를 들어, 로직 (208) 은 부분적으로 프로세싱된 웨이퍼 (202) 의 피처들이 문턱 값 깊이로 에칭되었다는 것을 나타내는 정보의 수신 시 에칭 동작을 종료하기 위한 인스트럭션들을 제공할 수도 있다.
일부 실시 예들에서, 머신 러닝 모델 (206) 및 프로세스 제어 로직 (208) 은 단일 로직 블록으로 결합된다. 본 명세서에 사용된 바와 같이, "제 2 머신 러닝 모델"은 적어도 현재 프로세싱을 겪고 있는 웨이퍼의 현재 상태 (및/또는 미래 상태) 와 관련된 화학적 조성 정보 (예를 들어, OES 신호들) 및 출력 정보를 수신하도록 구성된 로직을 포함한다.
프로세싱이 프로세스 제어 로직 (208) 제어 하에 수행되는, 부분적으로 프로세싱된 웨이퍼 (202) 의 프로세싱의 완료 시, 웨이퍼는 포스트프로세싱된 웨이퍼 (212) 로서 프로세스 챔버 (210) 를 떠난다.
도 2에 도시되지 않았지만, 머신 러닝 모델 (206) 및/또는 프로세스 제어 로직 (208) 은 입력으로서, 업스트림 프로세스 엘리먼트들 (200) 로부터 정보를 수신하도록 구성될 수도 있다. 이러한 정보는 예를 들어, 업스트림 계측 정보 (예를 들어, 프리프로세싱된 웨이퍼 정보), 하나 이상의 업스트림 제조 툴들에 대한 업스트림 프로세스 조건들/설정들 정보, 등을 포함할 수도 있다. 이러한 경우들에서, 머신 러닝 모델 (206) 및/또는 프로세스 제어 로직 (208) 은 인 시츄 센서로부터의 화학적 조성 정보와 같은 이미 언급된 입력에 더하여, 이러한 입력들을 해석하도록 트레이닝되거나 달리 구성된다.
머신 러닝 모델들 트레이닝
다양한 실시 예들에서, 트레이닝 절차는 (a) 반응 챔버 내에서 웨이퍼의 프로세싱 동안 인 시츄로 획득된 화학적 조성 정보를 입력으로서 수용하고 그리고 (b) 프로세싱을 겪는 웨이퍼의 전류 또는 포스트프로세싱된 상태를 예측하는 정보를 출력하도록 구성된 머신 러닝 모델을 생성한다. 일 예로서, 상태는 에칭된 피처의 깊이 또는 임계 치수와 같은 웨이퍼 구조 파라미터 값과 연관될 수도 있다. 상태는 또한 포스트프로세싱 계측 값으로서 표현될 수도 있다. 화학적 조성 정보는 시계열의 센싱된 화학적 신호들 (예를 들어, 방출 또는 흡수 신호들) 일 수도 있다. 화학적 조성 정보는 스펙트럼 또는 광대역 정보일 수도 있다.
많은 반응 챔버들은 인 시츄 화학적 조성 정보를 수집하도록 구성된 센서들 (예를 들어, OES 센서들) 을 갖지만, 인 시츄 웨이퍼-레벨, 광학 정보 (예를 들어, 반사계 데이터) 를 수집하기 위한 센서들을 갖지 않는다. 그러나, 인 시츄 웨이퍼-레벨, 광학 정보는 포스트프로세싱된 웨이퍼 특성들의 우수한 예측 변수일 수 있다.
일부 실시 예들에서, 트레이닝 모듈 또는 프로세스는 머신 러닝 모델을 준비하기 위해 이용 가능한 인 시츄 웨이퍼-레벨 광학 센서 정보를 활용하는 (leverage) 방식으로 머신 러닝 모델 (종종 제 2 머신 러닝 모델로 지칭됨) 을 트레이닝하도록 구성된다. 나타낸 바와 같이, 머신 러닝 모델은 인 시츄 화학적 조성 정보를 수신하고 그리고 웨이퍼의 표면의 기하학적 특성들 또는 재료 특성들로서 표현될 수도 있는 웨이퍼 구조 파라미터 값들을 출력하도록 구성될 수도 있다. 트레이닝을 위해 인 시츄 웨이퍼-레벨 광학 센서 정보를 활용하는 것은 포스트프로세싱된 웨이퍼들로부터 필요한 엑스 시츄 계측 데이터의 양을 크게 감소시킬 수도 있다. 인 시츄 웨이퍼-레벨 광학 센서 정보를 활용하는 것은 강력한 제 2 머신 러닝 모델을 트레이닝하기 위해 이용 가능한 트레이닝 데이터의 양을 확장하도록 사용되는 제 1 머신 러닝 모델을 생성하는 것을 수반할 수도 있다.
특정한 실시 예들에서, 제 2 머신 러닝 모델의 트레이닝은 복수의 동작들을 통해 수행된다. 일 예로서, 다음 동작들을 고려한다.
첫째, 엑스 시츄 포스트프로세싱된 계측 정보를 갖는 웨이퍼들로부터의 데이터를 사용하여, 트레이닝 프로세스는 인 시츄 웨이퍼-레벨 광학 센서 신호들과 포스트프로세싱된 계측 정보 사이의 관계를 제공하는 제 1 머신 러닝 모델을 트레이닝한다. 인 시츄 웨이퍼-레벨 광학 센서 신호들은 시계열의 광학 신호들로서 제공될 수도 있다. 트레이닝은 인 시츄 웨이퍼-레벨, 광학 센서 신호들 (예를 들어, 시계열의 인 시츄 반사계 데이터) 과 함께 엑스 시츄 포스트프로세싱된 계측 정보의 조합을 포함하는 제 1 트레이닝 세트를 채용한다. 제 1 트레이닝 세트는 엑스 시츄 포스트프로세싱된 계측 정보 및 인 시츄 웨이퍼-레벨, 광학 센서 신호들 모두가 존재하는 웨이퍼들인 제 1 웨이퍼들의 세트로부터 획득된다. (이하에 기술된) 도 3c의 비제한적인 맥락에서, 이 제 1 트레이닝 페이즈는 동작들 (303 및 305) 에 대응할 수도 있다.
둘째, 인 시츄 온-웨이퍼 반사계 신호들 및 인 시츄 화학적 조성 정보 모두를 포함하는 ―그러나 통상적으로 엑스 시츄 포스트프로세싱된 계측 정보를 갖지 않는― 프로세싱을 겪는 웨이퍼들로부터의 데이터를 사용하여 컴퓨터를 사용한 프로세스는 프로세싱을 겪는 웨이퍼들 또는 포스트프로세싱된 웨이퍼들의 엑스 시츄 계측 정보 및/또는 웨이퍼 구조 파라미터 값들을 예측하기 위해 제 1 머신 러닝 모델을 사용한다. 어떤 의미에서, 제 1 머신 러닝 모델은 실제 엑스 시츄 계측 데이터가 이용 가능하지 않은 웨이퍼들에 대한 웨이퍼 구조 파라미터 값들 및/또는 계측 데이터를 생성하기 위한 툴로서 역할을 한다. 제 1 머신 러닝 모델은 인 시츄 온-웨이퍼 광학 신호들을 갖지만 실제 포스트프로세싱된 엑스 시츄 계측 결과들을 갖지 않는 많은 웨이퍼들에 대해 예측된 계측 결과들을 생성할 수 있다. 이 방식으로, 추론된 엑스 시츄 계측 결과들이 많은 웨이퍼들에 대해 생성될 수 있다. 본질적으로, 실제 "계측 결과"는 "추론된 계측 결과"로 대체될 수 있다. 결과는 인 시츄 화학적 조성 정보 및 웨이퍼 구조 파라미터 값들 또는 엑스 시츄 계측 결과들을 갖는 큰 데이터 세트이다. 도 3c의 비제한적인 맥락에서, 이 제 2 트레이닝 페이즈는 동작들 (307 및 309) 에 대응할 수도 있다. 일부 경우들에서, 인 시츄 수집된 반사계 신호들 및 인 시츄 화학적 조성 신호들 모두는 복수의 시간 단계들에서 반사계 신호들과 화학적 조성 신호들의 쌍 (pairing) 과 함께 시계열로서 제공된다.
셋째, 트레이닝 방법은 인 시츄 화학적 조성 정보 (제 2 트레이닝 페이즈에서 사용된 웨이퍼들로부터 제공됨) 와 실제 포스트프로세싱 웨이퍼 계측 정보를 갖지 않은 웨이퍼들에 대한 컴퓨터를 사용하여 생성된 (추론된) 웨이퍼 구조 파라미터 값들 또는 계측 정보의 조합을 포함하는, 제 2 트레이닝 세트를 사용하여 제 2 머신 러닝 모델을 트레이닝한다. 제 2 트레이닝 세트는 또한 선택 가능하게 인 시츄 화학적 조성 정보와 실제 포스트프로세싱 웨이퍼 계측 정보를 갖는 웨이퍼들에 대한 포스트프로세싱 계측 정보의 조합을 포함한다. 도 3c의 비제한적인 맥락에서, 이 제 2 트레이닝 페이즈는 동작 (311) 에 대응할 수도 있다.
제 1 동작과 관련하여, 상대적으로 적은 수의 웨이퍼들이 엑스 시츄 포스트프로세싱 계측 정보 및 인 시츄 웨이퍼-레벨, 광학 센서 신호들 정보 모두를 갖는다. 그러나, 인 시츄 웨이퍼-레벨 광학 정보의 품질 및 웨이퍼 표면 특성들에 대한 직접적인 관계는 웨이퍼 구조 파라미터 값들 및/또는 엑스 시츄 포스트프로세싱 계측 정보를 예측하는 모델들에 유용한 트레이닝 정보를 제공한다. 온-웨이퍼, 광학 센싱은 통상적으로 포스트프로세싱된 웨이퍼 표면 특성들 또는 계측 값들의 우수한 예측 변수를 제공한다. 일부 맥락들에서, 이는 화학적 조성 센싱보다 더 우수한 예측 변수이다.
인 시츄 웨이퍼-레벨, 광학 센서 정보는 복수의 파장들에서 그리고/또는 복수의 시간 단계들에 걸쳐 검출된 강도 값들 (예를 들어, 강도 대 파장 대 시간) 을 포함할 수도 있다는 것을 주의한다. 인 시츄 웨이퍼 레벨 광학 센서 정보는 프로세스 챔버 내에 배치되거나 하나 이상의 윈도우들을 통해 프로세스 챔버로의 액세스를 갖는 반사계를 사용하여 수집될 수도 있다. 반사계들의 예들은 레이저 및 광대역 인 시츄 반사계들, 예컨대 본 명세서에 기술된 반사계들을 포함한다.
특정한 실시 예들에서, 인 시츄 웨이퍼-레벨, 광학 센서 데이터는 적어도 약 2 초의 기간 및/또는 최대 약 2000 초의 기간에 걸쳐 수집된다. 특정한 실시 예들에서, 인 시츄 웨이퍼-레벨 광학 센서 데이터는 적어도 약 1 ㎐의 주파수 및/또는 최대 약 20 ㎐의 주파수에서 수집된다. 빠른 샘플링은 프로세스들이 약 5 초 이하와 같은 짧은 프로세스 세그먼트들을 가질 때 적절할 수도 있다. 이는 ALD 또는 ALE와 같은 특정한 순환적 프로세스들의 경우일 수도 있다.
특정한 실시 예들에서, 인 시츄 웨이퍼-레벨, 광학 센서 데이터의 스펙트럼 범위는 적어도 가시광선 범위의 분율 (fraction), 적어도 적외선 범위의 분율, 적어도 자외선 범위의 분율, 또는 이들의 임의의 조합을 포함한다.
특정한 실시 예들에서, 엑스 시츄 계측 데이터는 포스트프로세싱된 웨이퍼의 구조 파라미터 값들에 대한 고-해상도 및/또는 고 정확도 정보를 결정하도록 구성된 계측 툴을 사용하여 획득된다. 이러한 계측 툴들은 때때로 독립형 툴들이지만, 반응 챔버와 통합될 수도 있다. 다양한 구현 예들에서, 엑스 시츄 계측 툴은 고 해상도를 사용하여, 웨이퍼의 면에 걸친 웨이퍼 구조 파라미터들의 값들을 결정할 수 있다. 엑스 시츄 계측 툴들은 마이크로미터 스케일의 빔 스폿 사이즈 (예를 들어, 약 40 마이크로미터와 같은 수십 마이크로미터) 를 채용할 수도 있다.
특정한 실시 예들에서, 트레이닝 프로세스는 최대 약 10 개의 웨이퍼들 또는 최대 약 1000 개의 웨이퍼들로부터의 엑스 시츄 계측 데이터를 사용하여 제 1 머신 러닝 모델을 트레이닝한다.
특정한 실시 예들에서, 제 1 트레이닝 세트의 인 시츄엑스 시츄 데이터는 웨이퍼들 상의 공통 또는 오버랩하는 (overlap) 위치에서 수집된다. 웨이퍼가 프로세싱되는 동안 인 시츄 광학, 웨이퍼 레벨 데이터는 웨이퍼 상의 제 1 위치에 제공될 수도 있고, 그리고 엑스 시츄 계측은 포스트프로세싱된 웨이퍼 상의 제 1 위치 (또는 오버랩하는 위치) 에서 수집될 수도 있다.
일부 실시 예들에서, 제 1 트레이닝 세트에 사용된 엑스 시츄 데이터는 상이한 길이들의 프로세싱을 겪은 웨이퍼들에 대해 생성된다. 이러한 방식으로, 상이한 시간들 및/또는 상이한 시간들에서의 상이한 웨이퍼 조건들이 제 1 트레이닝 세트에 표현된다. 예를 들어, 상이한 길이들의 시간으로 실행되는 미리 결정된 에칭 프로세스는 상이한 에칭 깊이들을 생성할 수도 있고, 이는 엑스 시츄 계측에 의해 검출될 수 있다. 이러한 트레이닝 데이터를 사용하여, 발생되는 제 1 머신 러닝 모델은 선택 가능하게 제조 프로세스의 상이한 스테이지들 (시간들) 로부터, 이용 가능한 인 시츄 계측 정보를 사용하여 시간 시퀀스 웨이퍼 구조 파라미터 값들 및/또는 엑스 시츄 계측 값들을 예측할 수 있다. 인 시츄 데이터는 고려 중인 프로세스의 거의 종료 시까지를 포함하여, 프로세싱 동안 많은 시간들에서 수집될 수도 있다는 것을 또한 주의한다. 따라서, 모델은 측정된 엑스 시츄 계측 결과에 시간상 매우 가까울 수 있지만, 이전에 획득된 입력 또는 단일 인 시츄 측정 값으로서 시계열의 인 시츄 측정 값들을 채용할 수도 있다.
실행 동안, 제 1 동작에서 생성된 제 1 머신 러닝 모델은 인 시츄 웨이퍼-레벨, 광학 센서 데이터의 감소된 차원 표현에 대해 생성하거나 동작할 수도 있다. 감소된 차원 표현은 주성분 분석 (principal component analysis; PCA), 오토인코더, 인 시츄 웨이퍼-레벨 광학 센서 데이터의 다항식 표현/피팅 (fit), 등을 포함하는 임의의 다양한 기법들에 의해 생성될 수도 있다.
제 1 머신 러닝 모델에 제공된 미가공 데이터는 적어도 3 차원 정보: 예를 들어, 복수의 파장들에서 그리고 복수의 시간 단계들에 걸친 복사 강도 값들을 포함할 수도 있다. 제 1 머신 러닝 모델에서, 특정한 주성분들 또는 잠재 차원들이 추출될 수도 있고 그리고 인 시츄 웨이퍼-레벨, 광학 센서 데이터의 관련 피처들을 나타낼 수도 있다. 제 1 머신 러닝 모델을 트레이닝 및/또는 사용하는 것과 연관된 피처 감소 또는 추출은 상관되거나 고도로 상관된 파라미터들로부터 파라미터들 또는 기여들을 감소시키거나 제거할 수도 있다.
제 2 동작과 관련하여, 제 1 머신 러닝 모델은 제 2 머신 러닝 모델을 트레이닝하기 위해 이용 가능한 데이터를 크게 확장하도록 반복적으로 실행될 수도 있다. 특정한 실시 예들에서, 제 1 머신 러닝 모델은 적어도 약 20 개의 웨이퍼들에 대해 또는 적어도 약 1000 개의 웨이퍼들에 대해 예측된 웨이퍼 구조 파라미터 값들 및/또는 엑스 시츄 계측 데이터를 생성한다. 이들 웨이퍼들은 광학 방출 분광학 데이터와 같은 인 시츄 화학적 조성 정보를 각각 포함할 수도 있다. 일부 실시 예들에서, 트레이닝 동작은 물리적으로 생성된 엑스 시츄 포스트프로세싱된 계측 정보를 갖지 않는 웨이퍼들에 대해서만 예측된 웨이퍼 구조 또는 계측 데이터를 생성한다. 예측된 웨이퍼 구조 또는 계측 데이터는 때때로 가상 또는 추론된 데이터로 지칭된다. 이는 제 2 머신 러닝 모델을 트레이닝하기 위해 제 2 트레이닝 세트에 채용될 수도 있다.
제 3 동작과 관련하여, 제 2 머신 러닝 모델은 인 시츄 화학적 조성 정보 및 대응하는 (통상적으로 동일한 웨이퍼로부터의) 웨이퍼 구조 파라미터 값들 및/또는 엑스 시츄 계측 데이터를 사용하여 트레이닝된다. 제 2 동작에 대해 기술된 바와 같이, 일부 또는 모든 웨이퍼 구조 파라미터 값들 및/또는 엑스 시츄 계측 트레이닝 데이터는 제 1 머신 러닝 모델을 사용하여 추론된다. 선택 가능하게, 일부 실시 예들에서, 트레이닝 데이터는 대응하는 인 시츄 화학적 조성 정보와 함께 물리적으로 생성된 엑스 시츄 계측 데이터를 부가적으로 포함한다. 그럼에도 불구하고, OES 센서들 또는 다른 인 시츄 화학적 조성 센서들을 사용하는 제 2 머신 러닝 모델은 인 시츄 웨이퍼 레벨 광학 센서가 학습할 수 있는 것을 상관시키기 위해 효과적으로 "학습"하고, 이는엑스 시츄 (ex situ), 포스트프로세싱 계측 결과들에 대해 추적 가능하다.
상대적으로 적은 수의 웨이퍼들은 물리적으로 생성된 엑스 시츄 포스트프로세싱 계측 정보 및 인 시츄 화학적 조성 정보 모두를 갖는다. 따라서, 이용 가능한 물리적 데이터는 제 2 머신 러닝 모델을 트레이닝시키기에 불충분할 수도 있다. 그러나, 제 1 머신 러닝 모델은 2 개의 타입들 모두의 정보를 갖는 데이터의 양을 확장하고, 이에 따라 제 2 트레이닝 세트를 제공한다. 그 결과, 더 강력한 제 2 머신 러닝 모델이 트레이닝될 수도 있다. 특정한 실시 예들에서, 트레이닝 프로세스는 적어도 약 20 개의 웨이퍼들 또는 적어도 약 1000 개의 웨이퍼들로부터 가상으로 생성되거나 추론된 엑스 시츄 계측 데이터를 사용하여 제 2 머신 러닝 모델을 트레이닝한다. 예들로서, 제 2 머신 러닝 모델을 트레이닝하는 것은 약 20 내지 10,000 개의 웨이퍼들을 사용하여 수행될 수도 있다.
제 2 머신 러닝 모델은 프로세스 웨이퍼가 프로세싱되는 동안 획득된 인 시츄 화학적 조성 데이터를 수신하고 그리고 프로세싱이 완료된 후 프로세스 웨이퍼의 예측된 엑스 시츄 계측 데이터 및/또는 웨이퍼 파라미터 값을 생성하도록 구성될 수도 있다. 프로세스 웨이퍼는 집적 회로 제조 동작을 겪는 웨이퍼와 같은 상업적 설정에서 사용되는 웨이퍼일 수도 있다. 발생되는 집적 회로들은 상업적 적용 예 및/또는 정부 적용 예에서 사용될 수도 있다.
인 시츄 화학적 조성 정보는 복수의 파장들에 대해 검출된 강도 값들을 포함할 수도 있다는 것을 주의한다. 특정한 실시 예들에서, 스펙트럼 범위는 적어도 가시광선 범위의 분율, 적어도 적외선 범위의 분율, 적어도 자외선 범위의 분율, 또는 이들의 임의의 조합을 포함한다. 일부 실시 예들에서, 인 시츄 화학적 조성 정보는 스펙트럼이 아니다; 즉, 이는 단지 하나 또는 적은 수의 파장들 (예를 들어, 관심 있는 원자 종에 대한 방출 파장들) 에 대한 강도 정보를 포함한다.
일부 경우들에서, 인 시츄 화학적 조성 정보는 시간이 흐름에 따라 수집된 데이터 (예를 들어, 강도 대 시간) 를 포함한다. 특정한 실시 예들에서, 인 시츄 화학적 조성 데이터는 적어도 약 1 초의 기간에 걸쳐 그리고/또는 최대 약 50 초의 기간에 걸쳐 수집된다. 특정한 실시 예들에서, 인 시츄 화학적 조성 정보 데이터는 적어도 약 1 ㎐의 주파수 및/또는 최대 약 50 ㎐의 주파수에서 수집된다.
인 시츄 화학적 조성 정보는 프로세스 챔버 내에 배치되거나 하나 이상의 윈도우들을 통해 프로세스 챔버로의 액세스를 갖는 광학 방출 분광계 또는 다른 화학적 검출 유닛을 사용하여 수집될 수도 있다.
일부 구현 예들에서, 제 2 머신 러닝 모델을 트레이닝하는 것은 주성분 분석 (시계열) 또는 오토인코더의 생성과 같은 지도되지 않거나 반-지도된 학습 기법을 수행하는 것을 포함한다. 트레이닝 프로세스는 다량의 인 시츄 화학적 조성 센서 데이터를 감소시킬 수도 있다. 감소는 미가공 인 시츄 데이터를 감소된 차원 잠재 공간으로 변환하는 것을 수반할 수도 있다. 다양한 실시 예들에서, 제 2 머신 러닝 모델은 예측된 계측 결과들이 라벨들 또는 태그들로서 역할을 하는 지도된 학습 프로세스에 의해 트레이닝된다.
특정한 실시 예들에서, 제 2 머신 러닝 모델은 단지 인 시츄 화학적 조성 정보와 웨이퍼 구조 파라미터 및/또는 엑스 시츄 계측 정보의 조합을 넘어서는 부가적인 데이터를 사용하여 트레이닝된다. 이러한 보조 데이터는 평가될 웨이퍼의 프리프로세싱 상태에 대한 정보를 포함할 수도 있다. 일 예로서, 부가적인 정보는 계측 데이터 (예를 들어, 문제의 프로세스 챔버의 업스트림에 위치된 통합된 또는 독립형 계측 시스템으로부터의 광학 데이터) 를 포함할 수도 있다. 또 다른 예로서, 부가적인 정보는 평가될 웨이퍼 상에서 수행된 하나 이상의 업스트림 프로세스들에 대한 정보를 포함할 수도 있다. 이러한 정보는 업스트림 프로세스에 대한 프로세스 조건들 및/또는 다른 프로세스 제약들을 포함할 수도 있다. 또 다른 예로서, 부가적인 정보는 인 시츄 웨이퍼 레벨, 광학 센서와 병렬로 센서 판독 값들을 획득하도록 구성된 플라즈마 전압/전류 센서 또는 온도 센서와 같은 인 시츄 센서로부터 올 수도 있다.
일부 실시 예들에서, 제 2 머신 러닝 모델의 트레이닝은 제 2 머신 러닝 모델의 잠재 공간으로부터 특정한 차원들을 선택하고 선택되지 않은 차원들을 제거하는 방식으로 수행된다. 잠재 공간이 물리적 공간으로 다시 직접 변환되지 않을 수도 있는 추상적인 방식으로 파장, 강도, 및 시간과 같은 물리적 치수들을 나타낼 수도 있다는 것을 이해한다. 잠재 공간의 차원들은 모델의 출력 (예를 들어, CD, 측벽 각도, 및/또는 에칭 깊이와 같은 웨이퍼 구조 파라미터들) 에 대한 영향에 기초하여 트레이닝 동작에서 "선택"될 수도 있다. 트레이닝은 예측된 결과들 (예를 들어, 웨이퍼 구조 파라미터들) 과 트레이닝 세트의 실제 물리적 값들 사이의 변동 또는 에러를 감소시키는 비용 함수를 사용하여 수행될 수도 있다. 일부 실시 예들에서, 비용 함수는 잠재 차원들을 가우스 분포 (Gaussian distribution) 또는 다른 분포로 정규화함으로써 잠재 손실을 감소시킬 수도 있다. 트레이닝 프로세스의 목표는 예를 들어, 가우스 분포의 평균 및 표준 편차 벡터들의 형태로 표현될 수도 있는 모델의 잠재 공간에서 작지만 효과적인 차원들의 세트를 찾는 것일 수도 있다. 프로세스는 특정한 차원들 또는 차원들의 그룹들을 제거하고 모델이 여전히 인입 계측 데이터의 충분히 정확한 표현들을 출력하는지 여부를 결정함으로써 반복적으로 수행될 수도 있다.
도 3a는 2 개의 모델들을 트레이닝하기 위한 데이터 소스들 및 이들의 용도의 일 예를 제시하는 표이다. 표는 또한 트레이닝된 제 2 머신 러닝 모델에 정보가 적용되는 방법을 도시한다. 도 3a에 도시된 트레이닝은 상기 기술된 트레이닝 프로세스를 상이한 데이터 소스와 각각 연관된 3 개의 "단계들"로 압축한다. "단계 1"에 대한 데이터 소스는 제 1 머신 러닝 모델을 생성하도록 사용되고 그리고 "단계 2"에 대한 데이터 소스는 제 2 머신 러닝 모델을 생성하도록 사용된다. "단계 3"은 생산 웨이퍼들과 같이 프로세싱될 웨이퍼들의 조건들을 추론하도록 ("단계 2"의 데이터 소스를 사용하여 트레이닝된) 트레이닝된 제 2 머신 러닝 모델을 적용하는 것을 예시한다.
표에서, 열들은 3 개의 상이한 데이터 소스들 및 이들의 용도 (단계 1 내지 단계 3) 를 나타내고 그리고 행들은 데이터의 타입들 또는 데이터를 획득하기 위해 사용된 센서들을 나타낸다. 하단 행은 트레이닝에 통상적으로 사용되는 데이터 세트 사이즈들의 예들을 제공한다.
예시된 실시 예에서, 제 1 머신 러닝 모델을 트레이닝하기 위해 사용된 제 1 데이터 소스는 엑스 시츄 (ex situ) 계측 데이터 및 인 시츄 온-웨이퍼 (on wafer) 광학 계측 데이터 (예를 들어, "LSR" 계측 데이터) 를 포함한다. 엑스 시츄 계측 데이터는 완전히 또는 부분적으로 프로세싱된 (예를 들어, 에칭된) 포스트프로세싱된 웨이퍼들로부터 획득된다. 온-웨이퍼 광학 계측 데이터는 엑스 시츄 계측 데이터가 획득된 웨이퍼들의 프로세싱 동안 획득된다. 광학 계측 데이터는 (예를 들어, 시계열로서) 시간의 함수 및 파장의 함수로서 제공된다. 즉, 적어도 3 개의 차원들: 강도, 시간 및 파장 (또는 다른 스펙트럼 정보) 을 갖는다. 엑스 시츄 데이터는 통상적으로 단일 시간 (포스트프로세싱) 에 제공된다. 이는 통상적인 계측 출력 (예를 들어, 강도, 파장의 함수, 웨이퍼 상의 포지션, 등) 의 형태로 제공될 수도 있다. 대안적으로, 이는 하나 이상의 웨이퍼 구조 파라미터들 (예를 들어, CD, 에칭 깊이, 측벽 각도, 등) 의 형태로 해석되고 제공될 수도 있다. 제 1 머신 러닝 모델을 트레이닝하는 목적들을 위해, 엑스 시츄 정보는 태그들 또는 타깃들로서 역할을 한다.
예시된 실시 예에서, 제 2 머신 러닝 모델을 트레이닝하기 위해 사용된 제 2 데이터 소스는 (a) (시간 및 파장의 함수로서) 온-웨이퍼 광학 계측 데이터로부터 도출된 추론된 값들, 및 (b) 데이터 소스를 포함하는 웨이퍼들의 프로세싱 동안 획득된 인 시츄 OES 신호들을 포함한다. OES 신호들은 (예를 들어, 시계열로서) 시간의 함수 및 선택 가능하게 파장의 함수로서 제공될 수도 있다. OES 신호들은 프로세스 챔버 내에서 수평으로 그리고/또는 수직으로 배향된 센서들로부터 획득될 수도 있다는 것을 주의한다. 제 2 데이터 세트는 제 2 데이터 소스의 웨이퍼들이 프로세싱되는 동안 하나 이상의 다른 센서들로부터 획득된 정보를 부가적으로 포함할 수도 있다는 것을 또한 주의한다. 이러한 정보가 트레이닝에 포함된다면, 제 2 머신 러닝 모델은 OES 및 다른 센서 정보 모두를 입력들로서 수신하도록 구성될 수도 있다. 다른 센서들로부터의 정보의 예들은 압력 데이터, 온도 데이터, 플라즈마 특성들 데이터 (V 및/또는 I 데이터를 포함함), 및 프로세스 가스 플로우 데이터를 포함한다. OES 신호들과 같이, 다른 센서들로부터의 정보는 시간의 함수로서 제공될 수도 있다 (즉, 시계열로서 제공될 수도 있다).
추론된 값들은 피처 CD, 깊이, 측벽 각도, 등과 같은 웨이퍼 구조적 파라미터들을 나타낼 수도 있거나, 엑스 시츄 계측 값들을 나타낼 수도 있다. 이들 값들은 (제 1 트레이닝 데이터 소스를 사용하여 생성된) 제 1 머신 러닝 모델에 웨이퍼 광학 계측에 대한 시간 시퀀스를 제공함으로써 생성된다. 제 2 데이터 소스는 (제 1 트레이닝 데이터 소스를 위한 웨이퍼들의 세트와 오버랩할 필요가 없는) 제 2 웨이퍼들의 세트로부터 유도된다는 것을 주의한다. 제 2 웨이퍼들의 세트를 프로세싱하는 것은 (a) 시계열의 온-웨이퍼 광학 계측 값들, (b) 시계열의 OES 신호들, 및 선택 가능하게, (c) (OES 센서들 및 광학 계측 센서들 외에) 하나 이상의 다른 센서들로부터의 정보를 생성한다. OES 신호들 및 광학 계측 신호들은 쌍들로 제공될 수도 있고, 쌍 각각은 동시에 캡처된다. 그러나, 광학 계측 신호들은 제 1 머신 러닝 모델에 의해 제 2 머신 러닝 모델을 트레이닝하도록 사용되는 제 2 트레이닝 데이터 세트에 대한 온-웨이퍼 구조 파라미터 값들 (또는 엑스 시츄 계측 값들) 로 변환된다. 이들 웨이퍼 구조 파라미터 값들은 제 2 트레이닝 데이터 세트에서 태그들 또는 타깃들로서 역할을 한다.
일부 실시 예들에서, 제 1 데이터 소스를 생성하도록 사용된 웨이퍼들은 파일럿 웨이퍼들이다. 일부 실시 예들에서, 제 2 데이터 소스를 생성하도록 사용된 웨이퍼들은 파일럿 웨이퍼들 또는 생산 웨이퍼들이다. 일부 구현 예들에서, 제 2 데이터 소스를 생성하도록 사용된 프로세스 챔버는 OES 센서 및 온-웨이퍼 광학 계측 센서 모두를 갖는다. 일부 구현 예들에서, 이들 센서들은 리소스들을 공유한다. 예를 들어, 단일 센서는 반사계 광원이 오프 (off) 일 때 플라즈마 프로세스에서 OES 신호들을 캡처할 수도 있고 광원이 온일 때 광학 계측 신호들을 캡처할 수도 있다.
도 3a의 표의 세 번째 열 (제 3 데이터 소스) 은 제 2 머신 러닝 모델이 생산 디바이스 제조 툴과 같은 동작하는 프로세스 챔버에서 트레이닝되고 배치될 때 캡처된 데이터를 나타낸다. 제 2 머신 러닝 모델은 프로세싱될 임의의 수의 웨이퍼들로부터 데이터를 분석할 수도 있다. 설명된 바와 같이, 제 2 머신 러닝 모델은 피처 깊이, CD, 측벽 각도, 등과 같은 웨이퍼 구조 파라미터들에 대한 정보를 제공할 수도 있다.
도 3b는 모델들 및 제 1 머신 러닝 모델 및 제 2 머신 러닝 모델에 대한 트레이닝 동작들을 도시하는 하이브리드 도면이다. 도시된 바와 같이, 제 1 머신 러닝 모델을 트레이닝하기 위해 트레이닝 프로세스 (325) 에 의해 (웨이퍼의 세트 각각에 대해 쌍들로 제공된) 엑스 시츄 계측 데이터 (323) 및 인 시츄, 온-웨이퍼, 시계열 광학 계측 데이터 (321) 가 사용된다. 이 트레이닝 프로세스의 결과는 제 1 머신 러닝 모델 (327) 이다. 도시된 바와 같이, 후속하여, 프로세스 (329) 에서 (계측 데이터 (321) 를 생성하는 것과 상이한 소스로부터의) 인 시츄, 온-웨이퍼, 시계열 광학 계측 데이터 (331) 가 제 1 머신 러닝 모델에 제공된다. 이 프로세스는 추론된 시계열의 엑스 시츄 계측 값들 및/또는 웨이퍼 파라미터 값들 (333) 을 생성한다. 즉, 제 1 머신 러닝 모델은 복수의 인 시츄, 온-웨이퍼, 계측 데이터 판독들로부터 복수의 엑스 시츄 계측 값들 및/또는 웨이퍼 파라미터 값들을 생성한다. 이는 제 2 머신 러닝 모델을 트레이닝하기 위해 이용 가능한 데이터의 양을 크게 확장한다.
도시된 바와 같이, 추론된 데이터 (333) 는 프로세스 (337) 를 통해 제 2 머신 러닝 모델을 트레이닝하기 위해 OES 시계열 데이터 (335) 와 함께 사용된다. 이 트레이닝의 결과는 제 2 머신 러닝 모델 (339) 이다. OES 데이터 및 추론된 계측 값 또는 구조 데이터 (333) 는 트레이닝 프로세스 (337) 에 시간 단계적으로 쌍들로 제공될 수도 있다.
도 3c는 제 2 머신 러닝 모델을 트레이닝하기 위해 트레이닝 로직에 의해 구현된 트레이닝 방법의 일 예를 예시한다. 도시된 바와 같이, 트레이닝 프로세스 (301) 는 웨이퍼 세트 A로부터 트레이닝 데이터를 수신하는 동작 (303) 으로 시작된다. 웨이퍼 세트 A의 웨이퍼 각각은 (i) (예를 들어, 제 2 머신 러닝 모델이 개발되는 하나 이상의 프로세스 챔버들 내의 반사계로부터) 인 시츄 수집된 웨이퍼 레벨 광학 정보 및 (ii) 고려 중인 웨이퍼 (포스트프로세싱된 웨이퍼) 로부터 획득된 엑스 시츄 계측 데이터를 가질 수도 있다. 집합적으로, 웨이퍼 세트 A의 다양한 웨이퍼들에 대한 인 시츄 웨이퍼 레벨 광학 정보 및 엑스 시츄 계측 데이터의 쌍들은 제 1 트레이닝 세트를 포함한다. 이용 가능한 엑스 시츄 계측 데이터를 갖는 웨이퍼들이 상대적으로 적을 수도 있기 때문에, 웨이퍼 세트 A 및 대응하여 제 1 트레이닝 세트는 상대적으로 작거나 빈약할 수도 있다. 특정한 실시 예들에서, 웨이퍼 세트 A의 웨이퍼들은 이하에 기술된 바와 같이, 웨이퍼 세트 B에서 이용 가능한 타입의 인 시츄 수집된 화학적 조성 정보를 갖지 않는다.
동작 (305) 에서, 제 1 트레이닝 세트는 제 1 머신 러닝 모델을 트레이닝하도록 채용된다. 발생되는 제 1 머신 러닝 모델은 입력으로서, 인 시츄 웨이퍼 레벨 광학 정보를 수신하고 그리고 출력으로서, 예측된 웨이퍼 구조 파라미터 값들 및/또는 엑스 시츄 계측 데이터를 제공하도록 구성된다.
동작 (307) 에서, 트레이닝 로직은 웨이퍼 세트 B로부터 정보를 수신한다. 웨이퍼 세트 B의 웨이퍼 각각은 (i) (예를 들어, 제 2 머신 러닝 모델이 개발되는 프로세스 챔버 내의 반사계로부터) 인 시츄 수집된 웨이퍼 레벨 광학 정보 및 (ii) 웨이퍼 세트 B의 웨이퍼들을 프로세싱하도록 채용된 하나 이상의 프로세스 챔버들 내 환경으로부터 수집된 인 시츄 화학적 조성 데이터를 가질 수도 있다. 웨이퍼 세트 A로부터의 정보에서와 같이, 웨이퍼 세트 B로부터의 정보는 제 2 머신 러닝 모델이 개발되는 하나 이상의 프로세스 챔버들 내의 하나 이상의 센서들 (예를 들어, 반사계 및 OES 센서) 로부터 수집될 수도 있다. 특정한 실시 예들에서, 웨이퍼 세트 B의 웨이퍼들은 웨이퍼 세트 A에서 이용 가능한 타입의 엑스 시츄 계측 데이터를 갖지 않는다. 특정한 실시 예들에서, 웨이퍼 세트 B의 웨이퍼들의 수는 웨이퍼 세트 A의 웨이퍼들의 수보다 실질적으로 더 많다; 예를 들어, 적어도 약 5 배 이상의 웨이퍼들 또는 적어도 약 10 배 이상의 웨이퍼들. 일부 실시 예들에서, 웨이퍼 세트 B로부터의 적어도 일부 웨이퍼들이 또한 웨이퍼 세트 A에 존재한다.
동작 (309) 에서, 트레이닝 로직은 (동작 (305) 에서 트레이닝된) 제 1 머신 러닝 모델을 웨이퍼 세트 B의 웨이퍼들의 인 시츄 웨이퍼 레벨 광학 정보에 적용한다. 이는 웨이퍼 세트 B의 웨이퍼들에 대한 예측된 웨이퍼 구조 파라미터 값들 및/또는 계측 결과들을 생성한다. 집합적으로, (i) 인 시츄 화학적 조성 데이터 및 (ii) 웨이퍼 세트 B의 다양한 웨이퍼들에 대한 예측된 웨이퍼 구조 및/또는 계측 결과들의 쌍들은 제 2 트레이닝 세트를 포함한다.
동작 (311) 에서, 트레이닝 로직은 제 2 머신 러닝 모델을 트레이닝하기 위해 제 2 트레이닝 세트를 사용한다. 나타낸 바와 같이, 제 2 머신 러닝 모델은 입력으로서, 고려 중인 웨이퍼를 프로세싱하는 프로세스 챔버로부터 인 시츄 화학적 조성 정보를 수신하고 그리고 출력으로서, 예측된 웨이퍼 계측 데이터 및/또는 웨이퍼 구조적 정보를 제공하도록 구성될 수도 있다.
제 2 머신 러닝 모델 설계 및 동작
특정한 실시 예들에서, 제 2 머신 러닝 모델은 입력 정보: 인 시츄 화학적 센서 데이터, 및 선택 가능하게, 부가적인 인 시츄 센서 데이터, 프리프로세싱 웨이퍼 계측 정보, 및/또는 프리프로세싱 프로세스 조건들을 수신하도록 구성된다. 모델은 시계열의 인 시츄 화학적 센서 데이터를 수신하도록 구성될 수도 있다.
특정한 실시 예들에서, 화학적 조성 정보는 반응 챔버 내 환경 (예를 들어, 샤워헤드와 같은 프로세스 가스 전달 엘리먼트와 웨이퍼 사이의 공간) 으로부터 획득된다. 특정한 실시 예들에서, 환경은 플라즈마, 예컨대 챔버 내에서 반응들을 용이하게 하는 플라즈마를 포함한다. 화학적 조성 정보는 웨이퍼의 하나 이상의 화학 종, 예컨대 웨이퍼의 하나 이상의 층들의 화학 종의 조성에 대한 적어도 일부 정보를 나타내거나 반영할 수도 있다. 화학적 조성 정보는 웨이퍼 표면에서 또는 프로세싱 동안 웨이퍼가 존재하는 환경에서 반응의 하나 이상의 부산물들을 나타낼 수도 있다. 특정한 실시 예들에서, 화학적 조성 정보는 분광 신호, 예컨대 반응 챔버 환경 내 또는 웨이퍼 표면 상의 하나 이상의 종의 방출 스펙트럼이다. 화학적 조성 정보의 소스들의 예들은 화학 종의 방출들을 검출하도록 구성된 센서들 (예를 들어, OES 센서들), 화학 종의 산란 스펙트럼들을 검출하도록 구성된 센서들 (예를 들어, 라만 분광법 (Raman spectroscopy) 또는 특정한 x-선 분광법들) 및 화학 종에 의해 투과되거나 흡수되는 방사선을 검출하도록 구성된 센서들이다.
인 시츄 데이터는 고려 중인 프로세스의 거의 종료 시까지를 포함하여, 복수의 시간들에서 수집될 수도 있다는 것을 주의한다. 따라서, 모델은 예측된 웨이퍼 구조 파라미터 결과에 시간상 매우 가까울 수 있지만, 이전에 획득된 입력 또는 단일 측정 값으로서 시계열 측정 값들 (인 시츄 측정 값들일 수도 있음) 을 채용할 수도 있다.
특정한 실시 예들에서, 제 2 머신 러닝 모델은 "타깃" 피처 값 (예를 들어, 에칭 깊이, 피치, 측벽 각도, 또는 임계 치수) 또는 이러한 웨이퍼 표면 특성들로부터 생성된 계측 신호로서 제공될 수도 있는, 예측된 웨이퍼 표면 특성들을 출력하도록 구성된다. 일부 실시 예들에서, 제 2 머신 러닝 모델은 프로세싱을 겪는 웨이퍼에 대한 화학적 정보를 출력하도록 구성된다. 예를 들어, 제 2 머신 러닝 모델은 에칭 프로세스가 특정한 화학적 조성을 갖는 웨이퍼 층에 도달할 때에 대한 정보를 출력할 수도 있다. 일부 실시 예들에서, 제 2 머신 러닝 모델은 프로세싱 동작의 시간 지속 기간 또는 정지 시간과 같은, 본 명세서에 기술된 바와 같이 하나 이상의 프로세싱 파라미터들을 출력하도록 구성된다. 특정한 실시 예들에서, 제 2 머신 러닝 모델은 인 시츄 화학적 센서 데이터가 수집된 시간에 또는 이후 시간에 웨이퍼 표면 파라미터 값들을 출력하도록 구성된다. 특정한 실시 예들에서, 제 2 머신 러닝 모델은 예측된 시계열의 웨이퍼 구조 파라미터 값들을 출력하도록 구성된다.
일부 실시 예들에서, 고려 중인 웨이퍼 또는 프로세스로부터 화학적 조성 정보를 판독하는 데 지연이 있다. 이러한 지연을 갖는 프로세스의 일 예는 흡수-기반 검출 방법이다. 지연이 존재할 때, 모델은 지연을 설명하는 방식으로 트레이닝될 수도 있다. 예를 들어, 예측된 웨이퍼 조건은 센싱된 화학적 조성 정보의 판독 전의 시간 동안일 수도 있다. 또는 모델에 제공된 데이터는 센싱된 정보와 연관된 시간을 조정하도록 프리프로세싱될 수도 있다.
일부 실시 예들에서, 제 2 머신 러닝 모델은 미가공 (센싱된) 화학적 조성 정보의 특정한 피처들만을 사용함으로써 입력 데이터의 차원을 감소시키도록 구성된다. 미가공 데이터는 통상적으로 적어도 3 차원 정보: 복수의 파장들에서 그리고 복수의 시간 단계들에 걸친 복사 강도 값들을 포함한다. 제 2 머신 러닝 모델에서, 특정한 주성분들 또는 잠재 차원들은 미가공 화학적 조성 정보의 관련 피처들을 나타낼 수도 있다. 제 2 머신 러닝 모델을 트레이닝 또는 사용하는 것과 연관된 피처 감소 또는 추출은 상관되거나 고도로 상관된 파라미터들로부터 파라미터들 또는 기여들을 감소시키거나 제거할 수도 있다.
특정한 실시 예들에서, 제 2 머신 러닝 모델은 고려 중인 프로세스 챔버 내에서 하나 이상의 노브들 또는 프로세스 조건들을 제어하도록 구성된 (통합되거나 분리된) 로직을 포함한다. 이러한 노브들 또는 프로세스 조건들의 예들은 챔버 압력, 챔버 컴포넌트 온도, 챔버 플라즈마 조건 (예를 들어, 플라즈마 전력, 플라즈마 주파수(들), 플라즈마 펄스 특성들, 플라즈마 밀도, 등), (예를 들어, 엔드포인트에 대한) 프로세스 시간, 프로세스 가스 플로우 레이트 및/또는 조성, 등을 제어하기 위한 제어 메커니즘들을 포함한다.
나타낸 바와 같이, 제 2 머신 러닝 모델은 많은 상이한 형태들로 구현될 수도 있다. 예들은 정규화된 선형 모델들, 서포트 벡터 머신들, 결정 트리들, 랜덤 포레스트 모델들, 그래디언트 부스팅된 트리들, 신경망들, 오토인코더들, 선형 조합들 (예를 들어, 입력 파라미터 값들의 가중된 기여들의 합), 비선형 표현들 (예를 들어, 입력 파라미터 값들을 포함하는 2차 또는 고차 다항식 표현들), 룩업 테이블들, 분류 트리들, 동적 시간 워핑 (dynamic time warping), 유사성 메트릭 구동 알고리즘들, 패턴 매칭 및 분류, 및 다변수 통계들의 변형들 (예를 들어, PCA, 부분 최소 제곱 (partial least squares; PLS)) 을 포함한다.
일부 구현 예들에서, 모델은 인 시츄 정보로부터 프로세스 조건 (예를 들어, 에칭 프로세스의 종료) 을 결정하기 위해 실시간으로 인 시츄 화학적 조성 신호들을 프로세싱할 수 있도록 컴퓨터를 사용하여 효율적이다. 특정한 실시 예들에서, 제 2 머신 러닝 모델은 (광학 방출 측정 값들과 같은 입력 값들을 수신하는 시간으로부터) 약 100 ㎳ 이하로 (예를 들어, 엔드포인트 평가를 위한) 스펙트럼 정보를 분석한다. 특정한 실시 예들에서, 프로세스 제어는 약 20 ㎳ 이하로 프로세싱을 완료한다. 이러한 신속 프로세싱은 예를 들어, 중요한 단계 변화 요건들을 사용하는 애플리케이션들에서 또는 고 에칭 레이트 프로세스들 (예를 들어, 약 1 분 미만으로 완료되는 에칭 프로세스들) 에서 채용될 수도 있다. (RF 펄싱 또는 가스 펄싱과 같은) 프로세싱 레짐에 의해 유도된 많은 변동들을 갖는 프로세스들에서 또는 웨이퍼 구조 자체가 (예컨대 교번하는 재료들의 스택들로) 복잡한 구조를 가질 때, 이러한 신속한 프로세싱은 본 명세서에 기술된 바와 같은 효율적인 알고리즘들을 필요로 할 수도 있다. 제 2 머신 러닝 모델의 실행 시간은 또한 사용된 알고리즘의 타입에 종속된다. 일부 구현 예들에서, 모델은 에칭 프로세스의 시작으로부터 현재 시간까지 스펙트럼 정보의 시간 진전의 모두 또는 대부분을 프로세싱한다. 이는 PCA (principal component analysis) 또는 PLS (partial least squares) 와 같은 차원 감소 프로세스 또는 오토인코더에 의한 프로세싱을 필요로 할 수도 있다. 일부 경우들에서, 제 2 머신 러닝 모델을 구현하는 프로세싱 시스템은 많은 양들의 버퍼 공간, 멀티스레딩, 및/또는 복수의 코어들을 갖는 프로세서들과 같은 프로세싱 능력들로 구성될 수도 있다.
특정한 실시 예들에서, 제 2 머신 러닝 모델은 엔드포인트 (또는 다른 조건) 가 충족될 때 미래 시간을 예측하도록 구성된다. 따라서, 예를 들어, 제 2 머신 러닝 모델은 현재 스펙트럼 데이터 (또는 시계열의 최근 스펙트럼 데이터) 를 입력하고 그리고 조건이 충족될 때 미래의 시간을 예측하도록 구성될 수도 있다. 이러한 구현 예들에서, 모델은 조건이 충족된다고 순간적으로 결정하기보다는 조건이 충족될 때 미래의 시간을 미리 내다본다 (look ahead).
일부 구현 예들에서, 제 2 머신 러닝 모델의 결과 (에칭 엔드포인트에 대응하는 에칭 깊이와 같은 기하학적 파라미터의 출력) 에 "신뢰도"가 제공된다. 모델이 모델을 생성하거나 검증하기 위해 사용된 기하 구조들의 범위 밖의 기하 구조를 예측한다면 출력에 낮은 신뢰도가 주어질 수도 있다. 예를 들어, 모델이 에칭될 피처가 모델을 생성하도록 사용된 임의의 기하 구조들의 임계 치수보다 더 좁은 임계 치수를 갖는다고 결정하면, 예측된 에칭 깊이 엔드포인트에 낮은 신뢰도가 주어질 수도 있다. 부가적으로, 입력들로서 사용된 광학 신호들이 예상된 범위 밖에 있다면 예측에 낮은 신뢰도가 주어질 수도 있다. 특정한 타입들의 에칭 프로세스에서, 모델링되지 않은 인자들로부터의 신호 변동들은 모델의 피팅에 영향을 주고 그리고 신뢰도를 감소시킬 수 있다. 이러한 신호 변동들의 예들은 조명 변동들 (램프 잡음 또는 레이저 잡음) 으로부터의 "잡음", 모델에서 가정된 것들과 관련된 하드웨어 셋업의 변동들, 등을 포함한다. 확률론적 모델들에서, 요구되는 신뢰도는 이러한 모델들을 개발하기 위해 사용된 데이터로부터의 기여도 (예를 들어, 이러한 데이터의 양 및 데이터의 변동들) 를 포함할 수도 있다.
특정한 실시 예들에서, 모델은 제한된 범위의 파장들 (또는 광학 신호의 다른 양태) 에만 걸친 광학 출력 신호를 사용한다. 에칭 피처의 기하 구조를 결정하기 위해, 모델 입력으로서 선택된 범위를 사용하는 것은, 더 적은 연산 따라서 더 빠른 계산을 필요로 할 수 있다. 이는 또한 결과로 하여금 상관된 기하학적 파라미터들을 간섭하지 않고 계산될 수 있게 하고; 예를 들어, 에칭 깊이가 임계 치수와 강하게 상관하는 입력 신호들로부터 상당한 간섭 없이 계산될 수 있다. 예를 들어, 제 1 파장 범위는 에칭 깊이와 강하게 상관할 수도 있는 한편, 상이한 파장 범위는 임계 치수와 강하게 상관하지만, 에칭 깊이와는 약하게만 상관할 수도 있다. 에칭 깊이에 포커싱하는 프로세스는 신호를 모호하게 하는 것을 방지하기 위해, 제 1 파장 범위의 광학 신호들만을 사용한다.
사용된 화학적 조성 센싱 툴에 따라, 사용 가능한 출력 신호는 파장 이외의 좁은 범위의 특성으로 제한될 수도 있다. 예를 들어, 사용된 출력 신호는 특정한 편광 상태로 제한될 수도 있다.
일부 예들에서, 선택된 파장 범위 또는 다른 선택된 광학 파라미터 범위는 에칭 프로세스 동안 시간의 함수로서 가변한다. 즉, 광학 파라미터들의 선택된 범위 또는 범위들은 일 시간 증분으로부터 또 다른 증분으로 가변한다. 이는 관심 있는 광학 신호의 스펙트럼 구조가 일 시간 단계에서 다음 시간 단계로 가변할 때 문제를 해결하기 위한 적절한 방식을 제공할 수도 있다. 예를 들어, 에칭 깊이와 연관된 반사된 강도 피크의 중심은 에칭 프로세스 기간 동안 파장이 변화할 수도 있다.
애플리케이션들
나타낸 바와 같이, 제 2 머신 러닝 모델은 예컨대 예를 들어, 에칭 또는 증착 엔드포인트를 결정함으로써 실시간으로 제조 동작을 제어하도록 사용될 수도 있다. 제 2 머신 러닝 모델은 또한 챔버 조건들이 웨이퍼 구조 파라미터들 또는 포스트프로세스 계측 결과들과 같은 계측 결과들에 영향을 주는 방법의 이해를 향상시키도록 채용될 수도 있다. 이는 엔드포인트 시간 및 프로세스 노브들과 같은 프로세스 파라미터들을 제어하기 위해 실시간으로 인 시츄 계측을 인에이블한다 (enable).
제 2 머신 러닝 모델이 챔버-투-챔버 (chamber-to-chamber) 매칭을 제어하도록 사용될 수도 있다. 예를 들어, 2 개의 챔버들은 상이한 포스트프로세싱된 웨이퍼 결과들을 예측하는 동일한 제 2 머신 러닝 모델에 입력되는 상이한 측정된 인 시츄 파라미터들을 가질 수도 있다. 이들 결과들의 차이를 인식하면, 이들의 동작을 정렬시키기 위해 (예를 들어, 챔버 제어 파라미터들을 통해) 프로세스 조건들에 대한 수동 또는 자동 조정이 챔버들 중 하나 또는 모두에 대해 이루어질 수 있다.
일부 실시 예들에서, 제 2 머신 러닝 모델이 프로세스 제어 이외의 애플리케이션들에서 채용될 수도 있다. 예들로서, 제 2 머신 러닝 모델은 새로운 프로세스들을 설계하고, 레시피 및/또는 제조 툴 컴포넌트를 사용하여 실제 또는 잠재적인 이슈들을 진단하고, 또는 컴포넌트 고장들의 분석들을 제공하도록 채용될 수도 있다.
종종 특정한 타입의 디바이스 제조 툴들 (예를 들어, 유도 커플링 플라즈마 에칭기의 특정한 모델) 이 IC 제조 설비 (때때로 "팹 (fab)"으로 지칭됨) 에서 그룹 또는 플릿 (fleet) 으로서 배치된다. 일부 실시 예들에서, 본 명세서에 기술된 제 1 머신 러닝 모델 또는 제 2 머신 러닝 모델과 같은 머신 러닝 모델이 제조 툴들의 플릿에 대해 제공된다. 일부 실시 예들에서, 이러한 머신 러닝 모델은 플릿으로부터의 데이터를 사용하여 트레이닝된다. 트레이닝되는 방법과 무관하게, 머신 러닝 모델은 플릿 내의 모든 제조 툴들에 대한 웨이퍼 구조 파라미터 정보를 신뢰성 있게 예측할 수도 있다. 그러나, 시간이 흐름에 따라, 머신 러닝 모델이 더 이상 드리프팅하는 제조 툴들에 대한 웨이퍼 구조 파라미터 정보를 정확하게 예측하지 않도록 플릿 내의 동작 가능한 제조 툴들 중 하나 이상이 드리프팅될 수도 있다. 드리프팅은 정상 동작에 의한 하드웨어 변화들을 포함하여 다양한 영향들에 의해 유발될 수도 있다. 모델 성능 모니터링 및/또는 캘리브레이션은 미리 결정된 제조 툴에 대한 웨이퍼 구조 파라미터 정보를 예측하는 머신 러닝 모델의 능력에 대한 드리프팅 또는 그 영향을 검출할 수도 있다. 일 예로서, 드리프팅은 모델의 예측된 웨이퍼 구조 파라미터 정보를 포스트프로세싱된 웨이퍼들로부터의 엑스 시츄 데이터와 비교함으로써 검출될 수도 있다. 이 캘리브레이션을 사용하여, 오프셋 또는 다른 보정이 머신 러닝 모델에 대해 결정될 수도 있다. 이러한 오프셋은 툴 단위로 드리프팅을 처리하도록 적용될 수도 있다; 즉, 플릿 내의 제조 툴 각각에 대해 별도의 보정이 적용될 수도 있다. 일부 실시 예들에서, 머신 러닝 모델은 하나 이상의 툴들이 드리프팅된 후 플릿으로부터의 데이터를 사용하여 재트레이닝될 수도 있다.
특정한 실시 예들에서, 플릿-레벨 제어 또는 모니터링 시스템은 프로세스 챔버 단위로, (i) 프로세싱이 완료된 후 프로세스 웨이퍼의 엑스 시츄 계측 데이터, 및/또는 (ii) 프로세스 웨이퍼가 프로세싱되는 동안 1 회 이상의 프로세스 웨이퍼의 하나 이상의 웨이퍼 구조 파라미터 값들의 머신 러닝 모델에 의한 예측들에 대해 오프셋들을 제공하도록 구성된 로직 (예를 들어, 소프트웨어 및/또는 하드웨어) 을 포함한다.
장치
본 개시의 제 2 머신 러닝 모델을 구현하기 위해 많은 상이한 반응기 구성들이 이용 가능하다. 도 4는 인 시츄 반사계 성능 및 인 시츄 광학 방출 분광 성능 모두를 갖는 제조 툴 (400) (예를 들어, 플라즈마 프로세싱 시스템) 의 일 예를 개략적으로 예시한다.
제조 툴 (400) 은 플라즈마 프로세싱 한정 (confinement) 챔버 (404) 를 갖는 플라즈마 반응기 (402) 를 포함한다. 매칭 네트워크 (408) 에 의해 튜닝된 플라즈마 전력 공급부 (406) 가, 유도 커플링 전력을 제공함으로써 플라즈마 프로세싱 한정 챔버 (404) 에서 플라즈마 (414) 를 생성하도록 전력 송신 윈도우 (412) 근방에 위치된 변압기 커플링 플라즈마 (transformer-coupled-plasma; TCP) 코일 (410) 에 전력을 공급한다. TCP 코일 (상부 전력 소스) (410) 은 플라즈마 프로세싱 한정 챔버 (404) 내에 균일한 확산 프로파일을 생성하도록 구성될 수도 있다. 예를 들어, TCP 코일 (410) 은 플라즈마 (414) 내에 토로이달 (toroidal) 전력 분배를 생성하도록 구성될 수도 있다. 전력 송신 윈도우 (412) 는 에너지로 하여금 TCP 코일 (410) 로부터 플라즈마 프로세싱 한정 챔버 (404) 로 통과하게 하는 동안, 플라즈마 프로세싱 한정 챔버 (404) 로부터 TCP 코일 (410) 을 분리하도록 제공된다. 매칭 네트워크 (418) 에 의해 튜닝된 웨이퍼 바이어스 (bias) 전압 전력 공급부 (416) 는 기판 지지부 (420) 에 의해 지지되는 기판 (432) 상의 바이어스 전압을 설정하기 위해 기판 지지부 (420) 의 형태로 전극에 전력을 제공하도록 구성된다. 제어기 (424) 는 플라즈마 전력 공급부 (406), 가스 소스/가스 공급 메커니즘 (430), 및 웨이퍼 바이어스 전압 전력 공급부 (416) 에 대한 지점들을 설정하도록 구성된다.
플라즈마 전력 공급부 (406) 및 웨이퍼 바이어스 전압 전력 공급부 (416) 는 예를 들어, 13.56 ㎒, 27 ㎒, 2 ㎒, 60 ㎒, 100 ㎑, 2.54 ㎓ 또는 이들의 조합들과 같은 특정한 무선 주파수들에서 동작하도록 구성될 수도 있다. 플라즈마 전력 공급부 (406) 및 웨이퍼 바이어스 전압 전력 공급부 (416) 는 목표된 프로세스 성능을 달성하기 위해 다양한 전력들을 공급하도록 적절하게 사이징될 (size) 수도 있다. 이에 더하여, TCP 코일 (410) 및/또는 기판 지지부 (420) 는 단일 전력 공급부에 의해 전력 공급되거나 복수의 전력 공급부들에 의해 전력 공급될 수도 있는 2 개 이상의 서브-코일들 또는 서브-전극들로 구성될 수도 있다.
가스 소스 (430) 는 샤워헤드 (442) 의 가스 유입구들 (482) 을 통해 플라즈마 프로세싱 한정 챔버 (404) 와 유체로 연통한다 (in fluid connection). 가스 유입구들 (482) 은 플라즈마 프로세싱 한정 챔버 (404) 의 임의의 위치에 위치될 수도 있고 그리고 가스를 주입하기 위해 임의의 형태를 취할 수도 있다. 특정한 실시 예들에서, 가스 유입구는 플라즈마 프로세싱 한정 챔버 (404) 내 복수의 존들로 가스들의 각각의 플로우의 독립적인 조정을 허용하는, "튜닝 가능" 가스 주입 프로파일을 생성하도록 구성된다. 프로세스 가스들 및 부산물들은 또한 플라즈마 프로세싱 한정 챔버 (404) 내에서 특정한 압력을 유지하도록 역할을 하는 압력 제어 밸브 (443) 및 펌프 (444) 를 통해 플라즈마 프로세싱 한정 챔버 (404) 로부터 제거된다. 가스 소스/가스 공급 메커니즘 (430) 은 제어기 (424) 에 의해 제어된다. 시준기 (collimator) 하우징 (484) 은 적어도 하나의 가스 유입구 (482) 에 연결된다.
툴 (400) 은 하나 이상의 인 시츄 계측 디바이스들을 포함한다. 계측 디바이스(들)는 예들로서, 스펙트럼 반사계 디바이스 (455) 및 센서들 (436) 을 포함할 수도 있다. 센서들 (436) 은 예들로서, 하나 이상의 전압 센서 및/또는 전류 센서들 (예를 들어, VI 프로브들), 하나 이상의 OES 센서들, 챔버 (404) 내 존재하는 플라즈마 및/또는 가스들의 흡수 스펙트럼을 측정하기 위한 하나 이상의 센서들, 플라즈마 밀도를 측정하기 위한 하나 이상의 센서들, 챔버 (404) 내 프로세스 가스, 부산물, 및/또는 다른 가스 농도들을 측정하기 위한 하나 이상의 센서들, 및 웨이퍼 특성들의 다양한 지표들 및/또는 프로세스 조건들을 모니터링하기 위한 다른 적합한 센서들을 포함할 수도 있다.
특정한 실시 예들에서, 제어기 (424) 는, 챔버 정보를 프로세싱하기 위해, 스펙트럼 반사계 (455) 에 의해 수집된 스펙트럼 데이터 및/또는 인 시츄 모니터링 센서들 (436) 과 같은 센서들에 의해 수집된 웨이퍼 (432) 및/또는 챔버 환경에 대한 프로세스 조건들 또는 정보를 반영하는 다른 데이터를 활용하는 프로세싱 동작들을 실행하도록 구성된다. 디바이스 (455) 에 의해 수집된 스펙트럼 데이터는 미리 규정된 간격들, 예컨대 미리 규정된 수의 밀리초, 초, 또는 일부 커스텀 시간 설정에서 수집될 수도 있다.
스펙트럼 반사계 디바이스 (455) 는, 일 예로서, 챔버 (404) 내에 장착된 컴포넌트들 및 챔버 (404) 외부에 장착된 컴포넌트들을 포함할 수도 있다. 일부 실시 예들에서, 스펙트럼 반사계 디바이스 (455) 는 챔버 (404) 내부의 광학 헤드, 챔버 (404) 외부의 하나 이상의 광학 검출기들 및 광원들, 및 광학 헤드를 광원(들) 및 검출기(들)에 광학적으로 연결하는 광학 케이블 (440) 또는 다른 컴포넌트를 포함한다. 일 양태에서, 스펙트럼 반사계 디바이스 (455) 는 적어도 하나의 가스 유입구 (482) 에 연결된 시준기 하우징 (484) 을 갖는다. 부가적으로, 시준기 하우징은 광학 케이블 (440) 을 통해 스펙트럼 반사계 디바이스 (455) 의 광원(들) 및/또는 검출기(들)에 광학적으로 커플링될 수도 있다. 이 양태에서, 광학 케이블 (440) 은 송신 광섬유들 및 수신 광섬유들을 포함할 수도 있다. 다른 양태들에서, 광학 케이블 (440) 은 스펙트럼 반사계 디바이스 (455) 내의 광원으로부터 광을 전달하고 그리고 또한 기판 (432) 으로부터 반사된 광을 전달하는 적어도 하나의 광섬유를 포함할 수도 있다. 일 구체적인 예에서, 스펙트럼 반사계 디바이스 (455) 는 웨이퍼 (432) 의 표면 상에 투사되는 (project) 광대역 광을 생성하도록 구성되는 한편, 디바이스 (455) 내의 검출기는 기판의 표면으로부터 반사된 광과 연관된 스펙트럼 데이터를 수집한다.
나타낸 바와 같이, 인 시츄 반사계 또는 유사한 장치로부터의 데이터는 제 1 머신 러닝 모델을 트레이닝하도록 사용될 수도 있는 인 시츄, 웨이퍼 레벨, 광학 정보를 수집하도록 채용될 수도 있다. 도 5는 인 시츄 스펙트럼 반사계 시스템 (555) 의 일 예의 개략도이다. 스펙트럼 반사계 디바이스 (536) 는 광원 (508) 및 광학 검출기 (512) 를 포함한다. 광학 검출기 (512) 는 하나 이상의 광 검출기들 (photodetectors) (514) 을 포함할 수도 있다. 광섬유 케이블 (540) 은 스펙트럼 반사계 디바이스에 연결된다. 이 예에서, 광학 케이블 (540) 은 송신 광섬유들 (520) 및 수신 광섬유들 (524) 을 포함한다. 이 예에서, 수신 광섬유 (524) 각각은 개별 광 검출기 (514) 에 연결된다. 다른 실시 예들에서, 복수의 수신 광섬유들 (524) 은 동일한 광 검출기 (514) 에 연결될 수도 있다. 이 예에서, 광학 검출기 (512) 는 수신 광섬유 (524) 각각으로부터의 출력이 2 차원 전하 커플링 디바이스 (two dimensional charge couple device; 2-D CCD) 의 상이한 영역들에 의해 검출되는 2-D CCD 어레이이다. 스펙트럼 반사계 시스템에 대해, 광학 검출기 (512) 는 파장의 함수로서 강도의 출력을 제공한다. 이는 반사된 광으로부터 하나 이상의 파장들을 분리할 수 있는 프리즘 또는 필터를 사용함으로써 달성될 수도 있다. 광은 신호를 보정하고 그리고 신호-대-잡음 비 (signal-to-noise ratio; SNR) 를 개선하기 위해 시간이 흐름에 따른 광원 (508) 변동들의 모니터링을 허용하도록 섬유 (564) 를 통해 광원 (508) 으로부터 광학 검출기 (512) 로 지향될 수도 있다.
시준기 하우징 (584) 은 마이크로렌즈 어레이를 포함한다. 마이크로렌즈 어레이는 복수의 인접한 렌즈들을 포함한다. 일 예로서, 10 ㎜ x 10 ㎜ 마이크로렌즈 어레이는 적어도 81 개의 마이크로렌즈들을 가질 수도 있다. 시준기 하우징 (584) 은 이 실시 예에서 시준기 하우징 (584) 내의 보어를 가로 질러 연장하는 단일 렌즈인, 시준기 렌즈를 지지한다. 광학 경로는 단일 시준기 렌즈가 광학 경로를 완전히 가로 질러 연장하도록, 광학 케이블 (540) 의 단부로부터 마이크로렌즈 어레이 및 시준기 렌즈를 통해 시준기 하우징 (584) 의 길이를 따라 연장한다.
도 6은 상기 기술된 시스템들을 제어하기 위한 제어 모듈 (600) 을 도시한다. 예를 들어, 제어 모듈 (600) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (600) 은 부분적으로 센싱된 (sense) 값들에 기초하여 시스템의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (600) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (602), 히터들 (604), 펌프들 (606), 및 다른 디바이스들 (608) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (600) 은 단지 예를 들면, 압력 마노미터들 (610), 플로우 미터들 (612), 온도 센서들 (614), 및/또는 광학 센서들 (616) (예를 들어, OES 센서) 로부터 센싱된 값들을 수신한다. 제어 모듈 (600) 은 또한 전구체 전달 및 막의 증착 동안 그리고/또는 에칭 프로세스들 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (600) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (600) 은 전구체 전달 시스템 및 증착 및/또는 에칭 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (600) 은 프로세스 타이밍, 전달 시스템 온도, 필터들에 걸친 압력 차들, 밸브 포지션들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 포지션, 및 특정 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (600) 은 또한 압력 차를 모니터링할 수도 있고 그리고 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 증기 전구체 전달을 자동으로 스위칭할 수도 있다. 제어 모듈 (600) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시 예들에서 채용될 수도 있다.
제어 모듈 (600) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (618) (예를 들어, 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들), 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (620) 을 포함할 수도 있다.
프로세스 시퀀스에서 전구체의 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 언어들로 작성될 수 있다. 컴파일링된 (compiled) 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저 주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관련된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계될 수도 있거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램이 기판을 페데스탈 또는 척 상으로 로딩하고 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 챔버 내 압력을 안정화시키기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차(들)를 미리 결정된 값(들)과 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 (throttle) 밸브를 조절함으로써 챔버 내 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전구체 전달 시스템, 기판 및/또는 시스템의 다른 부분들 내의 컴포넌트들을 가열하기 위해 가열 유닛들로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은 이로 제한되는 것은 아니지만, 질량 유량 (mass flow) 제어 모듈들, 압력 마노미터들 (610) 과 같은 압력 센서들, 및 전달 시스템 내에 위치된 열전대들 (thermocouples), 페데스탈 또는 척 (예를 들어, 온도 센서들 (614)) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들 (예를 들어, 본 명세서에 기술된 바와 같은 제 2 머신 러닝 모델) 이 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 구현될 수도 있다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압 센서들, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광학 방출 분광법 (OES) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정 값들에 기초하여 프로그램적으로 (programmatically) 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프 (feedback loop) 내에서 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들 (acoustic monitors), 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
임의의 적합한 챔버가 개시된 실시 예들을 구현하는 데 사용될 수도 있다. 예시적인 증착 장치들은 이로 제한되는 것은 아니지만, 캘리포니아, Fremont 소재의 Lam Research Corp.로부터 각각 입수 가능한 ALTUS® 제품군 및 VECTOR® 제품군의 프로세스 챔버들을 포함한다. 예시적인 에칭 장치들은 이로 제한되는 것은 아니지만, Lam Research Corp.로부터 입수 가능한 KIYO® 제품군의 프로세스 챔버들을 포함한다. 프로세싱 동안 웨이퍼를 홀딩하도록 각각 구성된 2 개 이상의 스테이션들은 증착 또는 에칭 시스템에서 동일한 기능들을 수행할 수도 있다. 유사하게, 2 개 이상의 스테이션들은 상이한 기능들을 수행할 수도 있다. 스테이션 각각은 목표된 바와 같이 특정한 기능/방법을 수행하도록 구성되거나 설계될 수 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩될 (hard code) 수도 있고 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들 (digital signal processors; DSPs), 응용 주문형 집적 회로들 (application-specific integrated circuits; ASICs) 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스에서 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 언어들로 작성될 수 있다. 컴파일링된 (compiled) 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예컨대, 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있고, 레시피의 형태로 사용자에게 제공된다. 프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어는 많은 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 개시된 실시 예들에 따른 증착 프로세스들 (및 일부 경우들에서, 다른 프로세스들) 을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 히터 제어 코드를 포함한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치와 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들 (subparts) 을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 일부 시스템들에서 RF 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정 값들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DPSs), 주문형 반도체들 (application specific integrated circuits; ASICs) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 증착 또는 수정 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한 없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (PVD) 챔버 또는 모듈, 화학적 기상 증착 (CVD) 챔버 또는 모듈, 원자 층 증착 (ALD) 챔버 또는 모듈, 원자 층 에칭 (ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래픽 패터닝 (lithographic pattern) 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로, (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 핫 플레이트 또는 퍼니스 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 동작; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하고 이에 따라 레지스트를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스 내로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함하고, 동작 각각은 다수의 가능한 툴들로 인에이블된다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (46)

  1. 머신 러닝 모델을 생성하는 방법에 있어서,
    (a) 제 1 웨이퍼들의 세트로부터 생성된 제 1 트레이닝 세트를 수신하는 단계로서, 상기 제 1 트레이닝 세트는 (i) 상기 제 1 웨이퍼들의 세트가 프로세싱된 후 상기 제 1 웨이퍼들의 세트로부터 획득된 엑스 시츄 (ex situ) 계측 데이터 또는 웨이퍼 구조 파라미터 값들, 및 (ii) 상기 제 1 웨이퍼들의 세트가 프로세싱되는 동안 상기 제 1 웨이퍼들의 세트로부터 획득된 인 시츄 (in situ) 웨이퍼-레벨, 광학 센서 데이터를 포함하는, 상기 제 1 트레이닝 세트를 수신하는 단계;
    (b) 상기 제 1 트레이닝 세트를 사용하여 제 1 머신 러닝 모델을 트레이닝하는 단계로서, 상기 제 1 머신 러닝 모델은 프로세싱을 겪는 웨이퍼로부터 생성된 인 시츄 웨이퍼-레벨 광학 센서 데이터를 수신하고 그리고 웨이퍼 구조 파라미터 값들을 예측하도록 구성되는, 상기 제 1 머신 러닝 모델을 트레이닝하는 단계;
    (c) 제 2 웨이퍼들의 세트에 대한 예측된 웨이퍼 구조 파라미터 값들을 생성하도록 상기 제 1 머신 러닝 모델을 사용하는 단계로서, 상기 제 2 웨이퍼들의 세트는 상기 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 연관된 인 시츄 화학적 조성 데이터 및 연관된 인 시츄 웨이퍼-레벨 광학 센서 데이터를 갖는, 상기 제 1 머신 러닝 모델을 사용하는 단계; 및
    (d) (i) 상기 단계 (c) 로부터 상기 예측된 웨이퍼 구조 파라미터 값들, 및 (ii) 상기 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 상기 연관된 인 시츄 화학적 조성 데이터를 포함하는 제 2 트레이닝 세트를 사용하여 제 2 머신 러닝 모델을 트레이닝하는 단계로서, 상기 제 2 머신 러닝 모델은 프로세싱될 프로세스 웨이퍼에 대한 인 시츄 화학적 조성 데이터를 수신하고 그리고 상기 프로세스 웨이퍼가 프로세싱되는 동안 또는 프로세싱이 완료된 후 1 회 이상 상기 프로세스 웨이퍼의 웨이퍼 구조 파라미터 값들을 예측하도록 구성되는, 상기 제 2 머신 러닝 모델을 트레이닝하는 단계를 포함하는, 머신 러닝 모델 생성 방법.
  2. 제 1 항에 있어서,
    상기 제 1 웨이퍼들의 세트의 웨이퍼들은 연관된 화학적 조성 데이터를 갖지 않는, 머신 러닝 모델 생성 방법.
  3. 제 1 항에 있어서,
    상기 엑스 시츄 계측 데이터는 하나 이상의 독립형 계측 툴들로부터 획득되는, 머신 러닝 모델 생성 방법.
  4. 제 3 항에 있어서,
    상기 독립형 계측 툴은 CD-SAXS 툴, CD-SEM 툴, 또는 광학 계측 툴인, 머신 러닝 모델 생성 방법.
  5. 제 1 항에 있어서,
    상기 인 시츄 웨이퍼-레벨 광학 센서 데이터는 복수의 파장들 및 복수의 시간들에서 광학 강도 값들을 포함하는, 머신 러닝 모델 생성 방법.
  6. 제 1 항에 있어서,
    상기 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 상기 인 시츄 화학적 조성 데이터는 OES (optical emission spectrometer) 로부터 생성되는, 머신 러닝 모델 생성 방법.
  7. 제 1 항에 있어서,
    상기 제 2 웨이퍼들의 세트는 연관된 엑스 시츄 계측 데이터 또는 웨이퍼 구조 파라미터 값들을 갖지 않는, 머신 러닝 모델 생성 방법.
  8. 제 1 항에 있어서,
    상기 제 1 웨이퍼들의 세트는 파일럿 웨이퍼들인, 머신 러닝 모델 생성 방법.
  9. 제 1 항에 있어서,
    상기 제 1 웨이퍼들의 세트는 에칭 프로세스에 의해 프로세싱되는, 머신 러닝 모델 생성 방법.
  10. 제 1 항에 있어서,
    상기 제 2 웨이퍼들의 세트는 생산 웨이퍼들인, 머신 러닝 모델 생성 방법.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 제 1 웨이퍼들의 세트 및 상기 제 2 웨이퍼들의 세트는 동일한 타입의 제조 툴을 사용하여 프로세싱되는, 머신 러닝 모델 생성 방법.
  12. 제 11 항에 있어서,
    상기 제 2 머신 러닝 모델은 IC 제조 설비에서 모두 동일한 타입인 복수의 상이한 제조 툴들에 대한 상기 웨이퍼 구조 파라미터 값들을 예측하도록 구성되는, 머신 러닝 모델 생성 방법.
  13. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 제 1 머신 러닝 모델은 상기 제 1 웨이퍼들의 세트로부터 획득된 상기 인 시츄 웨이퍼-레벨 광학 센서 데이터의 감소된 차원 표현을 생성하고 그리고/또는 상기 제 1 웨이퍼들의 세트로부터 획득된 상기 인 시츄 웨이퍼-레벨 광학 센서 데이터에 대해 피처 추출을 수행하도록 구성되는, 머신 러닝 모델 생성 방법.
  14. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 제 1 머신 러닝 모델은 주성분 분석 (principal component analysis; PCA) 을 수행하거나 신경망-기반 오토인코더를 활용하도록 구성되는, 머신 러닝 모델 생성 방법.
  15. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 제 2 머신 러닝 모델은 상기 인 시츄 화학적 조성 데이터의 차원을 감소시키고 그리고/또는 상기 인 시츄 화학적 조성 데이터에 대해 피처 추출을 수행하도록 구성되는, 머신 러닝 모델 생성 방법.
  16. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 제 2 머신 러닝 모델은 에칭 프로세스가 엔드포인트에 도달할 때를 나타내도록 구성되는, 머신 러닝 모델 생성 방법.
  17. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 제 1 웨이퍼들의 세트의 적어도 일부 웨이퍼들은 또한 상기 제 2 웨이퍼들의 세트 내에 있는, 머신 러닝 모델 생성 방법.
  18. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 웨이퍼 구조 파라미터 값들은 에칭 깊이, 임계 치수 (critical dimension), 측벽 각도, 반복되는 피처 피치, 층 두께, 층 재료 특성, 또는 이들의 임의의 조합을 포함하는, 머신 러닝 모델 생성 방법.
  19. 머신 러닝 모델을 생성하기 위한 컴퓨터 실행 가능 인스트럭션들이 제공된 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품에 있어서,
    상기 인스트럭션들은,
    (a) 제 1 웨이퍼들의 세트로부터 생성된 제 1 트레이닝 세트를 수신하고 ―상기 제 1 트레이닝 세트는 (i) 상기 제 1 웨이퍼들의 세트가 프로세싱된 후 상기 제 1 웨이퍼들의 세트로부터 획득된 엑스 시츄 계측 데이터 또는 웨이퍼 구조 파라미터 값들, 및 (ii) 상기 제 1 웨이퍼들의 세트가 프로세싱되는 동안 상기 제 1 웨이퍼들의 세트로부터 획득된 인 시츄 웨이퍼-레벨, 광학 센서 데이터를 포함함―;
    (b) 상기 제 1 트레이닝 세트를 사용하여 제 1 머신 러닝 모델을 트레이닝하고 ―상기 제 1 머신 러닝 모델은 프로세싱을 겪는 웨이퍼로부터 생성된 상기 인 시츄 웨이퍼-레벨 광학 센서 데이터를 수신하고 그리고 웨이퍼 구조 파라미터 값들을 예측하도록 구성됨―;
    (c) 제 2 웨이퍼들의 세트에 대한 예측된 웨이퍼 구조 파라미터 값들을 생성하도록 상기 제 1 머신 러닝 모델을 사용하고 ―상기 제 2 웨이퍼들의 세트는 상기 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 연관된 인 시츄 화학적 조성 데이터 및 연관된 상기 인 시츄 웨이퍼-레벨 광학 센서 데이터를 가짐―; 그리고
    (d) (i) 상기 (c) 로부터 상기 예측된 웨이퍼 구조 파라미터 값들, 및 (ii) 상기 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 상기 연관된 인 시츄 화학적 조성 데이터를 포함하는 제 2 트레이닝 세트를 사용하여 제 2 머신 러닝 모델을 트레이닝하도록 구성된 인스트럭션을 포함하고, 상기 제 2 머신 러닝 모델은 프로세싱될 프로세스 웨이퍼에 대한 인 시츄 화학적 조성 데이터를 수신하고 그리고 상기 프로세스 웨이퍼가 프로세싱되는 동안 또는 프로세싱이 완료된 후 1 회 이상 상기 프로세스 웨이퍼의 웨이퍼 구조 파라미터 값들을 예측하도록 구성되는, 컴퓨터 프로그램 제품.
  20. 제 19 항에 있어서,
    상기 제 1 웨이퍼들의 세트의 웨이퍼들은 연관된 화학적 조성 데이터를 갖지 않는, 컴퓨터 프로그램 제품.
  21. 제 19 항에 있어서,
    상기 엑스 시츄 계측 데이터는 하나 이상의 독립형 계측 툴들로부터 획득되는, 컴퓨터 프로그램 제품.
  22. 제 21 항에 있어서,
    상기 독립형 계측 툴은 CD-SAXS 툴, CD-SEM 툴, 또는 광학 계측 툴인, 컴퓨터 프로그램 제품.
  23. 제 19 항에 있어서,
    상기 인 시츄 웨이퍼-레벨 광학 센서 데이터는 복수의 파장들 및 복수의 시간들에서 광학 강도 값들을 포함하는, 컴퓨터 프로그램 제품.
  24. 제 19 항에 있어서,
    상기 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 상기 인 시츄 화학적 조성 데이터는 광학 방출 분광계로부터 생성되는, 컴퓨터 프로그램 제품.
  25. 제 19 항에 있어서,
    상기 제 2 웨이퍼들의 세트는 연관된 엑스 시츄 계측 데이터 또는 웨이퍼 구조 파라미터 값들을 갖지 않는, 컴퓨터 프로그램 제품.
  26. 제 19 항에 있어서,
    상기 제 1 웨이퍼들의 세트는 파일럿 웨이퍼들인, 컴퓨터 프로그램 제품.
  27. 제 19 항에 있어서,
    상기 제 1 웨이퍼들의 세트는 에칭 프로세스에 의해 프로세싱되는, 컴퓨터 프로그램 제품.
  28. 제 19 항에 있어서,
    상기 제 2 웨이퍼들의 세트는 생산 웨이퍼들인, 컴퓨터 프로그램 제품.
  29. 제 19 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 제 1 웨이퍼들의 세트 및 제 2 웨이퍼들의 세트는 동일한 타입의 제조 툴을 사용하여 프로세싱되는, 컴퓨터 프로그램 제품.
  30. 제 29 항에 있어서,
    상기 제 2 머신 러닝 모델은 IC 제조 설비에서 모두 동일한 타입인 복수의 상이한 제조 툴들에 대한 상기 웨이퍼 구조 파라미터 값들을 예측하도록 구성되는, 컴퓨터 프로그램 제품.
  31. 제 19 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 제 1 머신 러닝 모델은 상기 제 1 웨이퍼들의 세트로부터 획득된 상기 인 시츄 웨이퍼-레벨 광학 센서 데이터의 감소된 차원 표현을 생성하고 그리고/또는 상기 제 1 웨이퍼들의 세트로부터 획득된 상기 인 시츄 웨이퍼-레벨 광학 센서 데이터에 대해 피처 추출을 수행하도록 구성되는, 컴퓨터 프로그램 제품.
  32. 제 19 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 제 1 머신 러닝 모델은 주성분 분석을 수행하거나 신경망-기반 오토인코더를 활용하도록 구성되는, 컴퓨터 프로그램 제품.
  33. 제 19 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 제 2 머신 러닝 모델은 상기 인 시츄 화학적 조성 데이터의 차원을 감소시키고 그리고/또는 상기 인 시츄 화학적 조성 데이터에 대해 피처 추출을 수행하도록 구성되는, 컴퓨터 프로그램 제품.
  34. 제 19 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 제 2 머신 러닝 모델은 에칭 프로세스가 엔드포인트에 도달할 때를 나타내도록 구성되는, 컴퓨터 프로그램 제품.
  35. 제 19 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 제 1 웨이퍼들의 세트의 적어도 일부 웨이퍼들은 또한 상기 제 2 웨이퍼들의 세트 내에 있는, 컴퓨터 프로그램 제품.
  36. 제 19 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 웨이퍼 구조 파라미터 값들은 에칭 깊이, 임계 치수, 측벽 각도, 반복되는 피처 피치, 층 두께, 층 재료 특성, 또는 이들의 임의의 조합을 포함하는, 컴퓨터 프로그램 제품.
  37. 웨이퍼 홀더, 플라즈마 소스, 및 프로세스 웨이퍼가 프로세싱되는 동안 획득된 인 시츄 화학적 조성 데이터를 결정하기 위한 센서를 포함하는 프로세스 챔버; 및
    프로세싱될 프로세스 웨이퍼에 대한 인 시츄 화학적 조성 데이터를 수신하고 그리고 상기 프로세스 웨이퍼가 프로세싱되는 동안 또는 프로세싱이 완료된 후 1 회 이상 상기 프로세스 웨이퍼의 웨이퍼 구조 파라미터 값들을 예측하도록 구성된 머신 러닝 모델을 포함하는, 시스템.
  38. 제 37 항에 있어서,
    상기 프로세스 웨이퍼의 상기 웨이퍼 구조 파라미터 값들은 에칭된 피처 깊이, 피처 임계 치수, 피처 측벽 각도, 반복되는 피처 피치, 또는 이들의 임의의 조합을 포함하는, 시스템.
  39. 제 37 항에 있어서,
    상기 프로세스 웨이퍼의 상기 웨이퍼 구조 파라미터 값들에 적어도 부분적으로 기초하여 엔드포인트 검출 결과를 출력하도록 구성된 로직을 더 포함하는, 시스템.
  40. 제 37 항에 있어서,
    상기 플라즈마 소스는 유도 커플링 플라즈마 (inductively coupled plasma) 소스 또는 용량 커플링 플라즈마 (capacitively coupled plasma) 소스인, 시스템.
  41. 제 37 항에 있어서,
    상기 인 시츄 화학적 조성 데이터를 결정하기 위한 상기 센서는 광학 방출 분광 센서인, 시스템.
  42. 제 37 항 내지 제 41 항 중 어느 한 항에 있어서,
    상기 머신 러닝 모델은 IC 제조 설비의 복수의 프로세스 챔버들에 사용되는, 시스템.
  43. 제 42 항에 있어서,
    프로세스 챔버 단위로, (i) 프로세싱이 완료된 후 상기 프로세스 웨이퍼의 엑스 시츄 계측 데이터, 및/또는 (ii) 상기 프로세스 웨이퍼가 프로세싱되는 동안 1 회 이상의 상기 프로세스 웨이퍼의 하나 이상의 웨이퍼 구조 파라미터 값들의 상기 머신 러닝 모델에 의한 예측들에 대해 오프셋들을 제공하기 위한 로직을 더 포함하는, 시스템.
  44. 제 37 항 내지 제 41 항 중 어느 한 항에 있어서,
    상기 머신 러닝 모델은 상기 프로세스 웨이퍼가 프로세싱되는 동안 획득된 상기 인 시츄 화학적 조성 데이터의 감소된 차원 표현을 생성하도록 구성되는, 시스템.
  45. 제 37 항 내지 제 41 항 중 어느 한 항에 있어서,
    상기 머신 러닝 모델은 주성분 분석을 수행하거나 신경망-기반 오토인코더를 활용하도록 구성되는, 시스템.
  46. 제 37 항 내지 제 41 항 중 어느 한 항에 있어서,
    상기 머신 러닝 모델은 방법에 의해 트레이닝되고, 상기 방법은,
    (a) 제 1 웨이퍼들의 세트로부터 생성된 제 1 트레이닝 세트를 수신하는 단계로서, 상기 제 1 트레이닝 세트는 (i) 상기 제 1 웨이퍼들의 세트가 프로세싱된 후 상기 제 1 웨이퍼들의 세트로부터 획득된 엑스 시츄 계측 데이터 또는 웨이퍼 구조 파라미터 값들, 및 (ii) 상기 제 1 웨이퍼들의 세트가 프로세싱되는 동안 상기 제 1 웨이퍼들의 세트로부터 획득된 인 시츄 웨이퍼-레벨, 광학 센서 데이터를 포함하는, 상기 제 1 트레이닝 세트를 수신하는 단계;
    (b) 상기 제 1 트레이닝 세트를 사용하여 제 1 머신 러닝 모델을 트레이닝하는 단계로서, 상기 제 1 머신 러닝 모델은 프로세싱을 겪는 웨이퍼로부터 생성된 인 시츄 웨이퍼-레벨 광학 센서 데이터를 수신하고 그리고 웨이퍼 구조 파라미터 값들을 예측하도록 구성되는, 상기 제 1 머신 러닝 모델을 트레이닝하는 단계;
    (c) 제 2 웨이퍼들의 세트에 대한 예측된 웨이퍼 구조 파라미터 값들을 생성하도록 상기 제 1 머신 러닝 모델을 사용하는 단계로서, 상기 제 2 웨이퍼들의 세트는 상기 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 연관된 인 시츄 화학적 조성 데이터 및 연관된 상기 인 시츄 웨이퍼-레벨 광학 센서 데이터를 갖는, 상기 제 1 머신 러닝 모델을 사용하는 단계; 및
    (d) (i) 상기 단계 (c) 로부터 상기 예측된 웨이퍼 구조 파라미터 값들, 및 (ii) 상기 제 2 웨이퍼들의 세트가 프로세싱되는 동안 획득된 상기 연관된 인 시츄 화학적 조성 데이터를 포함하는 제 2 트레이닝 세트를 사용하여 상기 머신 러닝 모델을 트레이닝하는 단계를 포함하는, 시스템.
KR1020237045314A 2021-06-01 2022-05-23 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직 KR20240016345A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163202214P 2021-06-01 2021-06-01
US63/202,214 2021-06-01
PCT/US2022/030527 WO2022256194A1 (en) 2021-06-01 2022-05-23 In situ sensor and logic for process control

Publications (1)

Publication Number Publication Date
KR20240016345A true KR20240016345A (ko) 2024-02-06

Family

ID=84323501

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237045314A KR20240016345A (ko) 2021-06-01 2022-05-23 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직

Country Status (2)

Country Link
KR (1) KR20240016345A (ko)
WO (1) WO2022256194A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779986B (zh) * 2016-11-30 2022-10-01 美商應用材料股份有限公司 使用神經網路的光譜監測
WO2019200015A1 (en) * 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
JP7184547B2 (ja) * 2018-06-27 2022-12-06 株式会社Screenホールディングス 補正方法、基板処理装置、及び基板処理システム
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
KR102033136B1 (ko) * 2019-04-03 2019-10-16 주식회사 루닛 준지도 학습 기반의 기계학습 방법 및 그 장치

Also Published As

Publication number Publication date
WO2022256194A1 (en) 2022-12-08

Similar Documents

Publication Publication Date Title
KR102577904B1 (ko) 엔드포인트 검출을 위한 에칭 계측 민감도
US10847430B2 (en) Method of feature exaction from time-series of spectra to control endpoint of process
KR100904110B1 (ko) 기판 처리를 모니터링하기 위한 신경망 방법들 및 장치들
JP6019043B2 (ja) 光学計測及びセンサ装置を用いるエッチングプロセス制御
US11056322B2 (en) Method and apparatus for determining process rate
US10784174B2 (en) Method and apparatus for determining etch process parameters
EP1733325A2 (en) Method and system for run-to-run control
TW201724247A (zh) 處理速率決定設備
KR20220047281A (ko) 플라즈마 에칭시 종료점 검출을 위한 합성 파장
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
US20220334554A1 (en) Large spot spectral sensing to control spatial setpoints
KR20240016345A (ko) 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习
Barna et al. In Situ Metrology