KR20220047281A - 플라즈마 에칭시 종료점 검출을 위한 합성 파장 - Google Patents

플라즈마 에칭시 종료점 검출을 위한 합성 파장 Download PDF

Info

Publication number
KR20220047281A
KR20220047281A KR1020227005276A KR20227005276A KR20220047281A KR 20220047281 A KR20220047281 A KR 20220047281A KR 1020227005276 A KR1020227005276 A KR 1020227005276A KR 20227005276 A KR20227005276 A KR 20227005276A KR 20220047281 A KR20220047281 A KR 20220047281A
Authority
KR
South Korea
Prior art keywords
oes
oes data
data
matrix
plasma
Prior art date
Application number
KR1020227005276A
Other languages
English (en)
Inventor
얀 첸
신캉 티안
비 부옹
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220047281A publication Critical patent/KR20220047281A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/16Matrix or vector computation, e.g. matrix-matrix or matrix-vector multiplication, matrix factorization
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Plasma & Fusion (AREA)
  • Data Mining & Analysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computational Mathematics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Computing Systems (AREA)
  • Algebra (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

광학 발광 분광(OES) 데이터를 입력으로서 사용하여 에칭 공정의 종료점을 결정하는 방법을 설명한다. OES 데이터는 플라즈마 에칭 처리 챔버의 분광계에 의해 획득된다. 획득된 시간-변화형 스펙트럼 데이터는, 먼저 필터링되고 평균감산된 후, 이전에 계산된 주성분 가중치가 변환을 수행하는 데 사용되는 주성분 분석과 같은 다변수 분석을 사용하여, 변환된 스펙트럼 데이터 또는 트렌드로 변환된다. 주성분 가중치를 양수 및 음수 자연 파장들에 대응하는 두 개의 개별 그룹으로 그룹화함으로써, 별도의 부호 있는 트렌드(합성 파장)를 생성한다.

Description

플라즈마 에칭시 종료점 검출을 위한 합성 파장
관련 출원에 대한 상호 참조
본원은, "Synthetic Wavelengths for Endpoint Detection in Plasma Etching"이라는 명칭으로 2019년 8월 22일에 출원된 미국 특허출원번호 제16/548,333호의 우선권의 이점에 관한 것이며, 이러한 우선권의 이점을 주장하며, 이의 전문은 본원에 참고로 원용된다.
본원은, 예를 들어, 반도체 제조시 기판 상의 구조를 에칭하는 공정을 제어하는 방법 및 시스템에 관한 것이다. 더욱 구체적으로, 본원은 기판의 에칭 공정의 종료점을 결정하는 방법에 관한 것이다.
관련 출원
본원은, "Method of endpoint detection of plasma etching process using multivariate analysis"라는 명칭의 미국 특허번호 제9,330,990호('990) 및 "Method of endpoint detection of plasma etching process using multivariate analysis"라는 명칭의 미국 특허번호 제10,002,804호에 관한 것이다.
플라즈마 에칭 공정은, 반도체 장치, 액정 디스플레이(LCD), 발광 다이오드(LED), 및 일부 광전지(PV)의 제조 공정에서 포토리소그래피와 함께 흔히 사용된다. 일반적으로, 포토레지스트와 같은 방사선 민감성 재료의 층을 먼저 기판 상에 코팅하고 패턴화된 광에 노출하여 이러한 층에 잠상을 부여한다. 그 후, 노출된 방사선 민감성 재료를 현상하여 노출된 방사선 민감성 재료(또는 네거티브 톤 포토레지스트가 사용되는 경우에는, 노출되지 않은 방사선 민감성 재료)를 제거하여, 후속 에칭될 영역을 노출하고 에칭이 필요하지 않은 영역을 덮는 방사선 민감성 재료의 패턴을 남긴다. 에칭 공정 동안, 예를 들어, 플라즈마 에칭 공정 동안, 기판 및 방사선 민감성 재료 패턴은, 플라즈마 처리 챔버에서 에너지 이온에 노출되어, 비아, 트렌치 등과 같은 에칭된 기능부(feature)를 형성하기 위해 방사선 민감성 재료의 하부 재료를 효과적으로 제거한다. 하부 재료의 피처를 에칭한 후, 스트립핑 공정을 이용하여 기판으로부터 방사선 민감성 재료의 나머지를 제거하여, 추가 처리를 위해 준비되어 있는 형성된 에칭된 구조를 노출한다.
반도체 장치와 같은 많은 유형의 장치에서, 플라즈마 에칭 공정은 제2 재료의 상부의 제1 재료층에서 수행되며, 일단 에칭 공정이 제1 재료층에 개구 또는 패턴을 형성하였다면 하부의 제2 재료층을 계속 에칭하지 않고 에칭 공정을 정확하게 중단하는 것이 중요하다.
에칭 공정을 제어하기 위해, 다양한 유형의 종료점 제어가 이용되며, 그 중 일부는, 에칭 공정이 예를 들어 에칭되는 층의 화학적 조성과는 상이한 화학적 조성을 갖는 하부 층으로 진행되었는지 여부를 추론하기 위해 플라즈마 처리 챔버의 가스의 화학적 성질 분석에 의존한다. 다른 공정들은 에칭되는 구조들로 이루어진 직접 현장 측정에 의존할 수 있다. 전자의 그룹에서, 광학 발광 분광(optical emission spectroscopy; OES)은 플라즈마 처리 챔버의 가스의 화학적 성질을 모니터링하는 데 자주 사용된다. 플라즈마 처리 챔버에 있는 가스의 화학종은 사용되는 플라즈마 여기 메커니즘에 의해 여기되고, 여기된 화학종은 플라즈마의 광학 방출 스펙트럼에서 뚜렷한 스펙트럼 신호를 생성한다. 예를 들어, 하부 층의 에칭 또는 언더컷 등과 같은 기타 수율 감소 결함의 형성을 피하기 위해, 에칭되는 층의 클리어링(clearing) 및 기판 상의 하부 층의 노출로 인한 광학 방출 스펙트럼의 변화를 모니터링하고 이용하여 에칭 공정을 정확하게 종료할 수 있으며, 즉, 종료점에 도달할 수 있다.
에칭되는 구조의 유형과 에칭 공정 매개변수에 따라, 에칭 공정의 종료점에서 플라즈마의 광학 방출 스펙트럼의 변화는, 매우 뚜렷하고 검출하기 쉬울 수 있으며, 또는 반대로, 미묘하고 검출하기 매우 어려울 수 있다. 예를 들어, 개방비가 매우 낮은 구조의 에칭은, OES 데이터 처리를 위한 현재 알고리즘을 사용하여 종료점 검출을 어렵게 할 수 있다. 따라서, 이러한 어려운 에칭 공정 조건에서 OES 데이터를 기반으로 하는 에칭 종료점 검출을 더욱 강력하게 하기 위해서는 개선이 필요하다.
본 출원의 특징은 에칭 공정에서 에칭 공정 종료점을 결정하는 방법에 관한 것으로서, 종료점에서, 일단 에칭 공정이 제1 재료 층에 개구 또는 패턴을 형성하였다면 하부의 제2 재료 층을 계속해서 에칭하지 않고 에칭 공정이 정확하게 중단된다.
비제한적인 일 실시예에서, OES 데이터는, OES 데이터 매트릭스, 산술평균(average) OES 데이터 매트릭스, 및 평균(mean) OES 데이터 매트릭스를 취득하도록 상이한 에칭 처리 실행(run)에 대해 획득된다. 이 데이터는, 획득된 OES 데이터에 대한 다변수 모델을 확립할 수 있도록 사용된다. 일단 OES 데이터의 다변수 모델이 확립되었다면, 이는 후속하여 현장 에칭 종료점 검출에 사용된다.
유사한 거동의 파장들을 그룹화하는 분석을 이용하여, OES 데이터 벡터를 트렌드 도메인으로 변환하기 위한 가중치 벡터(P)를 결정한다. 우선적으로, 주성분 가중치들을 양수 자연 파장과 음수 자연 파장에 해당하는 두 개의 개별 그룹으로 그룹화함으로써, 별도의 부호 있는 트렌드(합성 파장)가 생성된다.
합성 파장을 결정한 후, 현장 에칭 종료점 검출 동안, 에칭 공정의 종료점을 결정하기 위해 합성 파장의 시간 변화값(time evolving value)의 함수 형태를 시간에 대하여 그린다.
예를 들어, 일 실시예에서, 합성 파장들의 비의 시간 변화 또는 합성 파장들의 비의 시간 도함수의 시간 변화가 계산된다. 그러나, 다른 실시예에서는, 합성 파장들의 비의 제곱 또는 단순히 단일 부호 합성 파장 또는 단순히 자연 파장 트렌드 등의 다른 임의의 함수 형태가 계산될 수 있다.
비제한적인 추가 실시예에서, 상이한 웨이퍼들 간의 OES 드리프트를 보상하기 위해, 정규화된 OES 스펙트럼이 주성분 분석(principal components analysis; PCA) 방법에 사용된다.
시간 변화 트렌드 변수가 계산된 후, 종료점에 도달했는지 여부에 대한 결정을 내린다. 실제로 종료점에 도달하였다면, 에칭 공정이 종료되고, 그렇지 않으면 에칭 공정이, 계속되고, 에칭 종료점에 대해 계속 모니터링된다.
합성 파장의 생성은, 종료점 검출을 위한 자연 파장과 유사한 트렌드를 가능하게 하지만, 종료점 신호의 신호대 잡음비(signal to noise ratio; SNR)는 더 높다.
본원은 다음과 같은 첨부된 도면과 함께 비제한적인 방식으로 제공된 설명에 비추어 더 잘 이해될 것이다.
도 1은 OES 데이터의 획득에 사용되는 분광계를 포함하는 광 검출 장치 및 본원에 설명된 에칭 종료점 검출 방법을 구현하는 제어기를 갖는 예시적인 플라즈마 에칭 처리 시스템의 개략도이다.
도 2는 다변수 분석을 이용하여 추후 현장 에칭점 검출을 위해 에칭 종료점 데이터를 준비하는 방법의 예시적인 흐름도이다.
도 3은 PCA 분석을 이용하여 추후 현장 에칭점 검출을 위해 에칭 종료점 데이터를 준비하는 방법의 예시적인 흐름도이다.
도 4는 현장 에칭 종료점 검출 방법의 예시적인 흐름도이다.
도 5는 합성 파장 트렌드와 단일 파장 트렌드의 비를 포함하는 트렌드 변수 함수 형태의 시간 도함수의 시간 변화의 예시적인 그래프를 도시한다.
도 6a는 합성 파장 트렌드들의 비를 포함하는 트렌드 변수 함수 형태의 시간 변화의 예시적인 그래프를 도시한다.
도 6b는 합성 파장 트렌드들의 비를 포함하는 도 5a의 트렌드 변수 함수 형태의 시간 도함수의 시간 변화의 예시적인 그래프를 도시한다.
도 7a는 단일 파장을 포함하는 트렌드 변수 함수 형태의 시간 변화의 예시적인 그래프를 도시한다.
도 7b는 단일 파장을 포함하는 도 6a의 트렌드 변수 함수 형태의 시간 도함수의 시간 변화의 예시적인 그래프를 도시한다.
도 8a는 단일 파장을 포함하는 트렌드 변수 함수 형태의 시간 도함수의 시간 변화의 예시적인 그래프를 도시한다.
도 8b는 합성 파장 트렌드들의 비를 포함하는 트렌드 변수 함수 형태의 시간 도함수의 시간 변화의 예시적인 그래프를 도시한다.
도 8c는 정규화와 함께 단일 합성 파장을 포함하는 트렌드 변수 함수 형태의 시간 도함수의 시간 변화의 예시적인 그래프를 도시한다.
본 명세서 전체에서 "일 실시예" 또는 "하나의 실시예"에 대한 언급은, 해당 실시예와 관련하여 설명된 특정 기능부, 구조, 재료 또는 특성이 본원의 적어도 일 실시예에 포함되지만 이들이 모든 실시예에 존재함을 나타내는 것은 아니라는 점을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 곳에서 "일 실시예에서" 또는 "하나의 실시예에서"라는 문구의 출현은 반드시 본원의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 기능부, 구조, 재료, 또는 특성은 하나 이상의 실시예에서 임의의 적절한 방식으로 조합될 수 있다.
본원의 실시예에 따르면, 도 1에는 플라즈마 에칭 처리 시스템(10) 및 제어기(55)가 도시되어 있으며, 여기서 제어기(55)는 플라즈마 에칭 처리 시스템(10)에 결합된다. 제어기(55)는, 플라즈마 에칭 처리 시스템(10)에 배치된 다양한 센서로부터 취득된 데이터를 사용하여 플라즈마 에칭 처리 시스템(10)의 성능을 모니터링하도록 구성된다. 예를 들어, 제어기(55)는, 플라즈마 에칭 처리 시스템(10)의 다양한 구성요소를 제어하고, 결함을 검출하고, 에칭 공정의 종료점을 검출하는 데 사용될 수 있다.
도 1에 도시된 본원의 예시적인 실시예에 따르면, 플라즈마 에칭 처리 시스템(10)은, 공정 챔버(15), 처리될 기판(25)이 위에 부착되는 기판 홀더(20), 가스 주입 시스템(40), 및 진공 펌핑 시스템(58)을 포함한다. 기판(25)은, 예를 들어, 반도체 기판, 웨이퍼 또는 LCD일 수 있다. 플라즈마 에칭 처리 시스템(10)은, 예를 들어, 기판(25)의 표면에 인접한 처리 영역(45)에서 플라즈마의 생성을 용이하게 하도록 구성될 수 있으며, 여기서 플라즈마는 가열된 전자와 이온화가능 가스 간의 충돌을 통해 형성된다. 이온화가능 가스 또는 가스들의 혼합물은 가스 주입 시스템(40)을 통해 도입되고, 공정 압력이 조정된다. 바람직하게, 플라즈마는, 미리 결정된 재료 공정에 대하여 특정한 재료를 생성하고 기판(25)의 노출면으로부터 재료의 제거를 돕도록 이용된다. 예를 들어, 제어기(55)는 진공 펌핑 시스템(58) 및 가스 주입 시스템(40)을 제어하는 데 사용될 수 있다.
기판(25)은, 예를 들어, 기판 홀더(20) 내에 수용되고 그 내부에 수용된 장치에 의해 기계적으로 병진되는 기판 리프트 핀(도시되지 않음)에 의해 수용되는 로봇 기판 이송 시스템을 통해 챔버 피드-스루(도시되지 않음) 및 슬롯 밸브(도시되지 않음)를 통해 플라즈마 에칭 처리 시스템(10)의 내외로 이송될 수 있다. 일단 기판(25)이 기판 이송 시스템으로부터 수용되면, 기판은 기판 홀더(20)의 상부 표면으로 하강된다.
예를 들어, 기판(25)은 정전기 클램핑 시스템(28)을 통해 기판 홀더(20)에 부착될 수 있다. 또한, 기판 홀더(20)는, 기판 홀더(20)로부터 열을 수신하고 열을 열 교환기 시스템(도시되지 않음)으로 전달하거나 가열시 열 교환기로부터 열을 전달하는 재순환 냉각제 흐름을 포함하는 냉각 시스템을 더 포함할 수 있다. 또한, 가스는, 기판(25)과 기판 홀더(20) 간의 가스-갭 열 전도율을 개선하기 위해 후면 가스 전달 시스템(26)을 통해 기판의 후면으로 전달될 수 있다. 이러한 시스템은, 기판의 온도 제어가 승온된 또는 감온된 온도에서 요구될 때 이용될 수 있다. 예를 들어, 기판의 온도 제어는, 플라즈마로부터 기판(25)으로 전달된 열속과 기판 홀더(20)로의 전도에 의해 기판(25)으로부터 제거된 열속의 균형으로 인해 달성된 정상 상태 온도를 초과하는 온도에서 유용할 수 있다. 다른 실시예에서, 저항성 가열 요소 또는 열전 히터/쿨러와 같은 가열 요소가 포함될 수 있다.
계속해서 도 1을 참조하면, 공정 가스는, 예를 들어 가스 주입 시스템(40)을 통해 처리 영역(45)에 도입될 수 있다. 공정 가스는, 예를 들어, 산화물 에칭 응용분야를 위해 Ar, CF4, 및 O2, 또는 Ar, C4F8 및 O2 등의 가스들의 혼합물, 혹은 예를 들어 O2/CO/Ar /C4F8, O2/CO/Ar/C5F8, O2/CO/Ar/C4F6, O2/Ar/C4F6, N2/H2 등의 기타 화학물을 포함할 수 있다. 가스 주입 시스템(40)은, 공정 가스가 가스 전달 시스템(도시되지 않음)으로부터 가스 주입 플레넘(도시되지 않음) 및 멀티-오리피스 샤워헤드 가스 주입 판(도시되지 않음)을 통해 처리 영역(45)으로 공급되는 샤워헤드를 포함한다.
도 1에 추가로 도시된 바와 같이, 플라즈마 에칭 처리 시스템(10)은 플라즈마 소스(80)를 포함한다. 예를 들어, RF 또는 마이크로파 전력은 임피던스 정합 네트워크 또는 튜너(84)를 통해 발생기(82)로부터 플라즈마 소스(80)로 결합될 수 있다. 플라즈마 소스에 RF 전력을 인가하기 위한 주파수는, 10 MHz 내지 200 MHz 범위이고, 용량 결합(CCP), 유도 결합(ICP) 및 변압기 결합(TCP) 플라즈마 소스에 대해서는 바람직하게 60 MHz이다. 전자 사이클로트론(ECR) 및 표면파 플라즈마(SWP) 소스와 같은 마이크로파 플라즈마 소스(80)의 경우, 발생기(82)의 통상적인 동작 주파수는 1 GHz 내지 5 GHz 범위이고, 바람직하게는 약 2.45 GHz이다. SWP 소스(80)의 일례는 방사형 라인 슬롯식 안테나(radial line slotted antenna; RLSA) 플라즈마 소스이다. 또한, 제어기(55)는, 플라즈마 소스(80)에 대한 RF 또는 마이크로파 전력의 인가를 제어하기 위해 발생기(82) 및 임피던스 정합 네트워크 또는 튜너(84)에 결합될 수 있다.
도 1에 도시된 바와 같이, 기판 홀더(20)는, RF 발생기(30)로부터 임피던스 정합 네트워크(32)를 통해 기판 홀더(20)로의 RF 전력 송신을 통해 RF 전압에서 전기적으로 바이어싱될 수 있다. RF 바이어스는 에칭 공정을 용이하게 하기 위해 처리 영역(45)에 형성된 플라즈마로부터 이온을 끌어당기는 역할을 할 수 있다. 기판 홀더(20)에 전력을 인가하기 위한 주파수는 0.1 MHz 내지 30 MHz의 범위일 수 있고, 바람직하게는 2 MHz이다. 대안으로, RF 전력은 다중 주파수에서 기판 홀더(20)에 인가될 수 있다. 또한, 임피던스 정합 네트워크(32)는, 반사되는 전력을 최소화함으로써 공정 챔버(15)의 플라즈마로의 RF 전력의 전달을 최대화하는 역할을 한다. 다양한 정합 네트워크 토폴로지(예를 들어, L형, π형, T형 등) 및 자동 제어 방법을 이용할 수 있다.
다양한 센서는 플라즈마 에칭 처리 시스템(10)으로부터 도구 데이터를 수신하도록 구성된다. 센서는, 플라즈마 에칭 처리 시스템(10)에 대한 고유 센서 및 플라즈마 에칭 처리 시스템(10)에 대한 외부 센서 모두를 포함할 수 있다. 고유 센서는, 헬륨 후면 가스 압력, 헬륨 후면 흐름, 정전 척(ESC) 전압, ESC 전류, 기판 홀더(20) 온도(또는 하부 전극(LEL) 온도),냉각수 온도, 상부 전극(UEL) 온도, 순방향 RF 전력, 반사된 RF 전력, RF 자체 유도 DC 바이어스, RF 피크-대-피크 전압, 챔버 벽 온도, 공정 가스 유량, 공정 가스 부분 압력, 챔버 압력, 커패시터 설정(즉, C1 및 C2 위치),포커스 링 두께, RF 시간, 포커스 링 RF 시간의 측정 및 이들의 임의의 통계 등의 플라즈마 에칭 처리 시스템(10)의 기능에 관한 그러한 센서들을 포함할 수 있다. 대안으로, 외부 센서는, 도 1에 도시된 바와 같이 처리 영역(45)에서 플라즈마로부터 방출된 광을 모니터링하기 위한 광 검출 장치(34) 등의 플라즈마 에칭 처리 시스템(10)의 기능과 직접적으로 관련되지 않은 것들을 포함할 수 있다.
광 검출 장치(34)는 플라즈마로부터 방출되는 총 광 강도를 측정하기 위한 (실리콘) 포토다이오드 또는 광전자 증배관(PMT)과 같은 검출기를 포함할 수 있다. 광 검출 장치(34)는 협대역 간섭 필터와 같은 광학 필터를 더 포함할 수 있다. 대체 실시예에서, 광 검출 장치(34)는 라인 CCD(전하 결합 장치) 또는 CID(전하 주입 장치) 어레이 및 격자 또는 프리즘과 같은 광 분산 장치를 포함할 수 있다. 또한, 광 검출 장치(34)는, 주어진 파장에서 광을 측정하기 위한 모노크로메이터(예를 들어, 격자/검출기 시스템),또는 광 스펙트럼을 측정하기 위한 (예를 들어, 회전 또는 고정된 격자를 갖는) 분광계를 포함할 수 있다. 광 검출 장치(34)는 피크 센서 시스템(Peak Sensor Systems)의 고해상도 OES 센서를 포함할 수 있다. 이러한 OES 센서는 자외선(UV), 가시광선(VIS), 및 근적외선(NIR) 광 스펙트럼에 걸쳐 있는 넓은 스펙트럼을 갖는다. 피크 센서 시스템에서, 해상도는 약 1.4 Å이며, 즉, 센서는 240 nm 내지 1000 nm에서 5550개의 파장을 수집할 수 있다. 피크 시스템 센서에서, 센서에는 이제 2048 픽셀 선형 CCD 어레이와 통합되어 있는 고감도 소형 광섬유 UV-VIS-NIR 분광기가 장착된다.
본원의 일 실시예에서의 분광계는, 단일 및 번들형 광섬유를 통해 투과되는 광을 수신하며, 여기서 광섬유로부터의 광 출력이 고정 격자를 사용하여 라인 CCD 어레이를 가로질러 분산된다. 전술한 구성과 유사하게, 광학 진공 창을 통해 투과되는 광은 렌즈나 미러를 통해 광섬유의 입력단에 포커싱된다. 각각 소정의 스펙트럼 범위(UV, VIS, 및 NIR)에 맞게 특정하게 조정된 상이한 분광계들 또는 UV, VIS, 및 NIR을 포괄하는 광대역 분광계들은 공정 챔버용 센서를 형성한다. 각 분광계는 독립적인 아날로그-디지털(A/D) 변환기를 포함한다. 마지막으로, 센서 이용에 따라, 0.01초 내지 1.0초 또는 그보다 빠른 속도로 전체 방출 스펙트럼을 기록할 수 있다.
대안으로, 일 실시예에서, 모든 반사 광학계를 갖는 분광계가 광 검출 장치(34)에 의해 사용될 수 있다. 또한, 일 실시예에서, 검출되는 광 파장의 전체 범위에 대해 단일 격자와 단일 검출기를 포함하는 단일 분광계가 사용될 수 있다. 예를 들어, 광 검출 장치(34)를 사용하여 광학 OES 데이터를 획득하기 위한 광학 방출 분광기 하드웨어의 설계 및 사용은 광학 플라즈마 진단 분야의 통상의 기술자에게 잘 알려져 있다.
제어기(55)는, 마이크로프로세서, 메모리, 및 플라즈마 에칭 처리 시스템(10)에 대한 입력을 통신하고 활성화하고 플라즈마 에칭 처리 시스템(10)으로부터의 출력을 모니터링하는 데 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트(잠재적으로 D/A 및/또는 A/D 변환기를 포함함)를 포함한다. 도 1에 도시된 바와 같이, 제어기(55)는, RF 발생기(30), 임피던스 정합 네트워크(32), 가스 주입 시스템(40), 진공 펌핑 시스템(58), 후면 가스 전달 시스템(26), 정전 클램핑 시스템(28), 및 광 검출 장치(34)에 결합될 수 있고, 이들과 정보를 교환할 수 있다. 메모리에 저장된 프로그램은 저장된 공정 명령에 따라 플라즈마 에칭 처리 시스템(10)의 전술한 구성요소들과 상호작용하는 데 이용된다. 제어기(55)의 일례는, 텍사스 오스틴에 있는 Dell Corporation으로부터 입수가능한 DELL PRECISION WORKSTATION 53QTM이다. 제어기(55)는, 플라즈마 에칭 처리 시스템(10)에 대해 국부적으로 위치할 수 있거나, 플라즈마 에칭 처리 시스템(10)에 대해 원격으로 위치할 수 있다. 예를 들어, 제어기(55)는 직접 연결, 인트라넷, 인터넷 중 적어도 하나를 이용하여 플라즈마 에칭 처리 시스템(10)과 데이터를 교환할 수 있다. 제어기(55)는, 예를 들어 고객 사이트(즉, 장치 제조업체 등)에서 인트라넷에 연결될 수 있거나, 예를 들어 공급업체 사이트(즉, 장비 제조업체)에서 인트라넷에 연결될 수 있다. 또한, 예를 들어, 제어기(55)는 인터넷에 연결될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)는, 예를 들어, 제어기(55)에 액세스하여 직접 연결, 인트라넷, 및 인터넷 중 적어도 하나를 통해 데이터를 교환할 수 있다. 제어기(55)는, 또한, 본원에 추가로 설명되는 바와 같이 광 검출 장치(34)로부터 제공되는 입력 데이터에 기초하여 플라즈마 에칭 처리 시스템(10)에서 수행되는 에칭 공정의 종료점을 검출하기 위한 알고리즘을 구현한다.
플라즈마 에칭 공정에서는, 광학 방출 분광을 이용한 종료점 검출(EPD)이 웨이퍼들 간의 에칭 일관성을 제어하는 중요한 기술이다. 한 개 또는 두 개의 선택된 광학 방출 파장에서 생성된 시간 변화 트렌드를 모니터링함으로써, 종료점을 밝혀 에칭 공정을 일시 중단하거나 중단한다. 합성 파장을 사용하는 다변수 데이터 분석은 SNR과 EPD의 견고성을 개선하는 데 도움이 된다. 그러나, 다변수 데이터 분석에서 생성된 합성 파장은 일반적으로 물리적으로 의미가 있는 것과 같은 자연 파장의 일부 고유 속성을 유지할 수 없다.
다변수 모델(PCA의 비제한적인 일례)을 사용하여 자연 파장들을 그룹화함으로써, EPD에 대한 자연 파장과 유사한 트렌드를 가능하게 하지만 종료점 신호의 SNR이 더 높은 합성 파장을 생성할 수 있다. 일례로, 본원의 비제한적인 실시예에서, 그룹화는 보강적 또는 파괴적 기여를 나타내는 자연 파장을 선택하는 것을 포함하며, 파장들에 대한 개별적인 양수 가중치와 음수 가중치는 OES 데이터를 PCA 도메인으로 변환하도록 파장들의 그룹화에 사용된다. 그러나, 파장들을 그룹화하는 다른 방법이 합성 OES 데이터의 생성에 사용될 수 있다.
일 실시예에 따른 종료점 결정의 공정은 두 개의 단계로 진행된다. 제1 단계에서, 플라즈마 에칭 공정 실행(run)은 플라즈마 처리 챔버(15)에서 수행되고(도 2의 단계(110)), OES 데이터는 플라즈마 에칭 처리 시스템(10)에서 수행되는 하나 이상의 에칭 처리 실행 동안 광 검출 장치(34)를 사용하여 획득되어(단계(120)), 획득된 OES 데이터의 다변수 모델이 확립될 수 있다(단계(130)).
일단 OES 데이터의 다변수 모델이 확립되었다면, 제2 단계 동안 실행되고 있는 에칭 공정이 에칭되는 구조, 에칭 공정 조건, 사용되는 에칭 처리 시스템 등의 측면에서 제1 단계에서 수행되는 하나 이상의 에칭 처리 실행에서 사용되는 것과 상당히 유사하다면, 다변수 모델이 현장 에칭 종료점 검출을 위한 제2 단계에서 사용될 수 있다(단계(140). 이는 다변수 모델의 유효성을 보장하기 위한 것이다.
PCA 분석 다변수 모델이 자연 파장의 특정 그룹화(즉, 양수 가중치 및 음수 가중치를 이용함)와 함께 사용되는 종료점 결정의 비제한적인 일 실시예(도 3에 도시됨)에서, 종료점 검출(200)은, 예를 들어, 광 검출 장치(34)를 사용하여, 에칭 공정 실행이 수행되고 OES 데이터가 획득되는 상태로 시작한다. 각각의 플라즈마 에칭 공정 실행 동안, 스펙트럼은 n번 획득되며(도 3의 단계(210)), 여기서 n은 1보다 큰 정수이다. 연속적인 OES 데이터 획득, 즉 스펙트럼 획득 사이의 샘플링 간격은 0.01 초 내지 1.0초 또는 더 빠르게 다양할 수 있다. 각각의 획득된 OES 데이터 세트, 즉, 스펙트럼은 CCD 검출기의 m개 픽셀에 해당하는 m개의 측정된 광 강도를 포함하며, 각 픽셀은 통상적으로 광 검출 장치(34)의 광 분산 장치로서 사용되는 회절 격자에 의해 해당 픽셀에 투영된 소정의 광 파장에 해당한다. CCD 검출기는 원하는 스펙트럼 해상도에 따라 256개 내지 8192개의 픽셀을 가질 수 있지만, 2048개 또는 4096개의 픽셀 수가 가장 일반적으로 사용된다. 예를 들어, 4k×4k 픽셀을 갖는 2차원 검출기가 또한 사용될 수 있다.
다음으로, OES 데이터 매트릭스([X][i])는 모든 플라즈마 에칭 공정 실행(i = 1, 2,... k)에 대해 설정된다(단계(215)). 각 매트릭스([X][i])는 n×m 매트릭스이며, 여기서 획득된 스펙트럼은 매트릭스의 행들로 배열되어, 행들은 OES 데이터가 취해진 n개의 시각에 해당하고, 열들은 픽셀 수(m)에 해당한다. 후속하여, n×m 산술평균 OES 데이터 매트릭스([X]avg)는, 모든 i = 1, 2,... k의 플라즈마 에칭 공정 실행에 걸쳐 모든 획득된 매트릭스([X][i])의 각 요소를 산술평균화함으로써 선택적으로 계산된다(단계(220)). 산술평균을 계산하기 전에 선택적 OES 스펙트럼 정규화를 수행할 수 있다. k = 1일 때, 단일 웨이퍼 OES 측정만이 있으며, 이 경우 산술평균 OES 매트릭스는 연산되지 않는다.
일 실시예에서, OES 데이터 매트릭스([X][i])는 다음과 같이 선택적으로 정규화될 수 있다. OES 데이터 매트릭스([X][i])는 구성요소(xij)를 갖는 n×m 매트릭스이며, 여기서 i=1,2,... n이고, 각 행은 시각(t)에서의 OES 스냅샷에 해당하고, j=1,2,... m이고, 각 열은 파장(λ)에서의 트렌드에 해당하므로, 각 열은 단일 파장 트렌드이다. OES 데이터 정규화는 두 가지 방식으로 적용될 수 있다. 제1 방식에서, 이 방법은 시각(R)(즉, R-throw)에서 참조 스냅샷(SR=xR,j)을 선택한 다음, 모든 OES 데이터를 참조 스냅샷으로 나눈다(xi,j=xi,j/xR,j). 단일 시각 스냅샷 또는 일정 기간 동안 산술평균화된 스냅샷이 있을 수 있다. 제2 방식에서, 이 방법은 참조 파장(λR)(즉, 제R 열)을 선택한 다음, 모든 파장을 참조 파장의 강도로 나눈다(xi,j=xi,j/xi,R). 유사하게, 단일 파장 또는 파장들의 소정의 대역의 산술평균이 있을 수 있다. 본 발명자들은, 정규화가 상이한 웨이퍼들 간에 OES가 실행되는 동안 발생하는 강도 드리프트를 해결한다는 것을 발견하였다.
후속하여 그리고 '990 문헌에 상세히 설명된 바와 같이, 산술평균 OES 데이터 매트릭스([X]avg)로부터 잡음을 필터링하고(단계(225)), 매트릭스([X][i] 및 [X]avg)를 절단하여(단계(230)) 플라즈마 시작 동안 그리고 선택적으로 실제 에칭 공정 종료점을 따라 획득된 스펙트럼을 제거하고, 평균 OES 데이터 매트릭스([Savg])를 연산하고(단계(235)), 여기서 각 열의 모든 요소는, 평균 OES 데이터 매트릭스([X]avg)의 요소들의 전체 열에 걸친(즉, 모든 시각에 걸친) 산술평균으로 설정되고, 각각의 획득된 OES 데이터 매트릭스([X][i] i = 1, 2,... k)로부터 감산되어(단계(240)), 획득된 OES 데이터의 다변수 모델을 구성하기 전에 평균감산(de-meaning) 단계, 즉, 평균 빼기를 수행한다.
다음으로, 비제한적인 일 실시예에서, 다변수 분석에 사용되는 주성분 가중치([P])를 결정하여 OES 데이터를 변환하는 방법(PCA)(도 3의 단계(240) 및 단계(245) 사이의 단계(242) 참조)을 다음 단계들에서 설명한다. 독립적 성분 분석(Independent Component Analysis; ICA) 방법 등의 다른 다변수 데이터 분석 방법도 사용될 수 있다. PCA는 비지도 학습 방법의 일례이다. 부분 최소 자승(PLS), 지원 벡터 머신(SVM) 회귀 또는 분류 방법과 같이 각각의 또는 일부 OES 스펙트럼에 대한 목표 값을 사용할 수 있는 한 다른 감독 방법을 사용할 수도 있다. 목표 값은, xSEM, 투과 전자 현미경(TEM), 광학 임계 치수(OCD) 분광, 임계 치수 주사 전자 현미경(CDSEM) 또는 기타 도구로부터 취득될수 있다.
단계 1 동안, [X]의 평균 스펙트럼을 각 행으로부터 감산하지만(도 3의 단계(240)), 데이터는 선택적으로 [X]의 표준 편차를 사용하여 정규화되지 않는다.
단계 2 동안, 공분산 매트릭스(
Figure pct00001
)를 연산한다. 공분산 매트릭스는 m×m이다. 각 열(각 파장)에 대해, 산술평균(
Figure pct00002
)을 계산한다. 그러면, j열의 분산은 다음과 같다.
Figure pct00003
k행과 j열의 공분산은 다음과 같다.
Figure pct00004
단계 3 동안, [공분산 매트릭스]·[고유 벡터] = [고유값]·[고유 벡터] 방정식을 충족하는 공분산 매트릭스의 고유 벡터와 고유값을 계산한다. 이것은 공분산 매트릭스(
Figure pct00005
)의 특이값 분해를 수행하여 행해진다.
Figure pct00006
여기서 L은
Figure pct00007
의 고유값의 대각 매트릭스이고, P는
Figure pct00008
의 고유 벡터의 매트릭스이다. 고유값들은 내림차순으로 정렬되어, 방법이 중요도 순서대로 주성분 가중치들을 찾을 수 있게 한다. 예를 들어, 특정 소프트웨어에서는, 상위 3개(최대 5개)의 고유 벡터가 사용된다.
이어서, 평균감산된 OES 데이터(
Figure pct00009
)를 위에서 유도된 유도 가중치 벡터(P)를 사용하여 예를 들어 PCA와 같은 다변수 분석에 대한 입력으로서 사용하여(단계(245)), OEC 데이터 벡터를 PCA 도메인으로 변환한다.
본 발명자들은, 주성분 가중치들(
Figure pct00010
)을 양과 음의 가중치 파장들에 대응하는 두 개의 개별 그룹으로 그룹화함으로써, 개별 트렌드(Tj)가 생성되고, 여기서 T+j + T-j = Tj임을 발견하였다. 각 T+j 또는 T-j는 단일 양수 트렌드이다. 따라서, 스냅샷 정규화 및 이들 중 임의의 것 간의 비를 취하는 것과 같은 기존의 모든 트렌드 연산을 T+j 및 T-j에 쉽게 적용할 수 있다.
일 실시예에서, 벡터([P])를 계산하고, 후속하여, 양 벡터([P+]) 및 음 벡터([P-])를 형성한다. 예를 들어, [P+]는 [P]의 모든 음수 값을 0으로 설정함으로써 형성되고, [P-]는 [P]의 모든 양수 값을 0으로 설정한 다음 절대값을 취함으로써(즉, 양수로 변환함으로써) 형성된다
단계(245)에서, 평균감산된 OES 데이터(
Figure pct00011
)는, 결정된 벡터([P])와 함께, 변환된 OES 데이터를 PCA 도메인으로 유도하는 데 사용된다.
Figure pct00012
여기에 설명된 방법은, 합성 파장(양수 및 음수 가중된 자연 파장에 해당)을 생성하여 단일 부호 트렌드(변환된 OES 벡터)를 생성한다. 예를 들어, 양수 및 음수 합성 파장이 생성된다.
Figure pct00013
여기서 n1은 양수 가중치의 수이고, n2는 음수 가중치의 수이고,
Figure pct00014
여기서 Si는 시각(ti)에서 λi의 강도이고, T1, Λ+ 1 및 Λ- 1은 모두 시간에 따라 변한다.
합성 파장 및 결과 트렌드(
Figure pct00015
Figure pct00016
)를 결정한 후, [T+] 및 [T-]의 시간 변환 값의 함수 형태를 사용하여 종료점 검출 방법의 제2 단계를 수행한다. 트렌드([T+] 및 [T-])는 이미 양의 신호들이므로, 트렌드를 모두 양의 상측으로 이동시키기 위한 어떠한 오프셋도 수행하지 않고 이어서 오프셋을 새로운 웨이퍼에 실시간으로 적용하지 않고서, 서로 나누어 향상된 신호를 얻을 수 있다. 예를 들어, 일 실시예에서는, 비(
Figure pct00017
)를 계산한다. 그러나, 다른 실시예에서는, 합성 파장들의 비의 제곱들 또는 단순히 단일 합성 파장 등의 다른 임의의 함수 형태가 계산될 수 있다.
제1 단계의 목표는 추후 현장 에칭 종료점 검출에 유용한 다변수 모델 매개변수를 미리 계산하는 것이므로, 다양한 매개변수가 추후 사용을 위해 저장된다. 단계(250)에서는, 평균 OES 데이터 매트릭스[Savg]를 휘발성 또는 비휘발성 저장 매체에 저장하여, 현장에서 측정된 OES 데이터의 평균감산을 용이하게 한다. 또한, 이 단계에서, 주성분(PC) 가중치의 벡터[P]는 휘발성 또는 비휘발성 저장 매체에 저장되어, 현장에서 측정된 OES 데이터로부터의 변환된 OES 데이터 벡터[T]로의 빠른 변환을 용이하게 한다.
일부 경우에, 본 발명자들은, 변환된 OES 데이터 벡터[T]의 요소(Ti)의 계산된 값, 즉, 주성분이 시간 경과에 따라 변함에 따라 이러한 계산된 값을 이동시켜, 큰 양 또는 음의 값으로 성장하지 않고 제로 값 주위에 집중시키는 것이 종료점 검출 신뢰성에 유용하다는 것을 발견하였다. 이러한 이동은, 측정이 취해졌을 때 에칭 공정 동안 각 시각에 대해 변환된 OES 데이터 벡터[T]의 적어도 하나의 요소(Ti)가 평가되고 이러한 요소 또는 요소들의 최소값(min(Ti))이 발견되는 단계(255)에서 달성된다. 이를 위해, 산술평균 OES 데이터 매트릭스([Xavg])로부터의 시간 변화 데이터, 또는 기타 데이터를 사용할 수 있다. 이어서, 이 최소값은 단계(260)에서 현장 종료점 검출시 추후 사용을 위해 휘발성 또는 비휘발성 저장 매체에 저장되며, 이에 의해 변환된 OES 데이터 벡터([T])의 요소(Ti)의 최소값(min(Ti))은, 현장에서 측정된 광학 방출 분광(OES) 데이터로부터 계산된, 변환된 OES 데이터 벡터([T])의 동일한 요소(Ti)의 시간 변화 값을 이동시키는 데 사용될 수 있다.
이제, 휘발성 또는 비휘발성 저장 매체에 저장된 데이터 값은, 제2 단계, 즉, 현장 에칭 종료점 검출에 사용될 준비가 되었다.
도 4는, 흐름도(200)의 단계(250 및 260)에서 저장된 데이터를 이용할 수 있는 광 검출 장치(34)를 구비하는 플라즈마 에칭 처리 시스템(100)에서의 현장 종료점 검출 공정의 예시적인 흐름도(300)를 도시한다.
단계(310 및 315)에서, 이전에 결정된 평균 OES 데이터 매트릭스([Savg]) 및 주성분(PC) 가중치의 벡터([P])는, 휘발성 또는 비휘발성 저장 매체로부터 검색되고, 도 1의 플라즈마 에칭 처리 시스템(10)의 제어기(55)의 메모리에 로딩된다. 제어기(55)는 플라즈마 공정의 종료점을 결정하는 데 필요한 모든 현장 계산을 수행한다. 또한, 사용된다면, 변환된 OES 데이터 벡터([T])의 요소(Ti)의 적어도 하나의 최소값(min(Ti))은 단계(320)에서 휘발성 또는 비휘발성 매체로부터 제어기(55)의 메모리로 로딩될 수 있다.
단계(325)에서는, 기판(25)을 플라즈마 에칭 처리 시스템(10)으로 로딩하고, 플라즈마가 처리 영역(45)에 형성된다.
단계(330)에서는, 광 검출 장치(34)가, 현장에서, 즉, 시간 경과에 따라 변하는 에칭 공정 동안 OES 데이터를 획득하는 데 사용된다.
단계(335)에서는, 검색된 평균 OES 데이터 매트릭스([Savg]) 요소를, 이미 개발된 다변수 모델을 사용하여 변환 이전에 획득된 스펙트럼을 평균감산하기 위해 각각의 획득된 OES 데이터 세트, 즉, 스펙트럼으로부터 감산한다.
단계(340)에서는, 이미 개발된 PCA 다변수 모델을 이용하여, 수학식 4 및 주성분(PC) 가중치의 검색된 벡터([P])를 사용하여, 평균감산된 OES 데이터를 변환된 OES 데이터 벡터([T]), 즉, 주성분으로 변환한다. 이 과정은, 단순한 곱셈만 포함하기 때문에 매우 빠르며, 따라서 현장에서 실시간 계산이 가능하다. 연산된 요소(Ti), 예를 들어, 변환된 OES 데이터 벡터([T])의 자연 파장(Λ+ i 및 Λ- i)은, 시간 경과에 따라 변하므로, 종료점 검출에 사용될 수 있다(단계(345)).
단계(350)에서는, 변환된 OES 데이터 벡터([T])의 각각의 시간 변화 요소(Ti)를 선택적으로 미분하여 트렌드 변수 기울기 데이터를 사용하여 종료점 검출을 더욱 용이하게 할 수 있다.
시간 변화 트렌드 변수가 계산된 후, 플라즈마 에칭 처리 시스템(10)의 제어기(55)는 종료점에 도달했는지 여부를 결정한다(단계(355)). 종료점에 도달했다면, 에칭 공정은 단계(360)에서 종료되고, 그렇지 않으면 에칭 공정이, 계속되고, 흐름도(300)의 단계(330 내지 355)를 통해 각 종료점에 대해 연속적으로 모니터링된다.
도 5는 에칭 공정에 대한 트렌드 변수의 시간 도함수의 시간 변화를 도시한다. 미분된 트렌드 변수가 에칭 종료점을 거치는, 깊고 이에 따라 쉽게 식별되는 최소값이 보인다. 트레이스의 최하 그룹은, 합성 파장이 적용된 트렌드(Λ+ 1(t)/Λ+ 3(t))를 사용하여 취득된 트렌드에 해당한다. 상이한 에칭 실행에 사용된 상이한 웨이퍼에 해당하는 다양한 트레이스가 도시된다. 도 5는, 또한, λ=656 nm에서의 단일 파장 트렌드의 시간 도함수의 시간 변화 및 두 개의 단일 파장 트렌드(λ=656 nm 및 λ=777 nm)의 비의 시간 도함수의 시간 변화도 포함하는 (상이한 웨이퍼에 대한) 다른 유형의 트렌드의 시간 변화를 도시한다. 도 5에서 알 수 있는 바와 같이, 종료점은 에칭 공정의 32초 지점 부근에서 발생한다.
도 6a는 상이한 웨이퍼에 대한 트렌드(Λ+ 1(t)/Λ+ 3(t))의 시간 변화를 도시하고, 도 6b는 다른 에칭 처리 실행에 있어서 상이한 웨이퍼에 대한 트렌드(Λ+ 1(t)/Λ+ 3(t))의 시간 도함수의 시간 변화를 도시한다.
도 7a는 상이한 웨이퍼에 대하여 λ=656 nm에서의 단일 파장 트렌드의 시간 변화를 도시하고, 도 7b는 다른 에칭 처리 실행에 있어서 상이한 웨이퍼에 대하여 λ=656 nm에서의 단일 파장의 시간 도함수의 시간 변화를 도시한다.
도 8a는 상이한 웨이퍼에 대하여 λ=260 nm에서의 단일 파장 트렌드의 시간 도함수의 시간 변화를 도시하고, 도 8b는 다른 에칭 처리 실행에 있어서 상이한 웨이퍼에 대하여 트렌드(Λ+ 1(t)/Λ+ 3(t))를 사용하여 취득된 트렌드의 시간 도함수의 시간 변화를 도시한다.
도 8c는 전술한 정규화를 사용하는 합성 파장 트렌드의 시간 도함수의 시간 변화를 도시한다. 플롯은, 정규화가 미리 적용되었으므로, 비가 아닌 단순히 합성 파장을 나타낸다.
시간 변화 트렌드 변수가 계산된 후, 플라즈마 에칭 처리 시스템(10)의 제어기(55)는 단계(355)에서 종료점에 도달했는지 여부를 결정할 필요가 있다. 실제로 종료점에 도달하였다면, 에칭 공정은 단계(360)에서 종료되고, 그렇지 않으면 에칭 공정이 계속되고, 흐름도(300)의 단계(330 내지 355)를 통해 에칭 종료점에 대해 에칭 공정이 연속적으로 모니터링된다.
상기 교시에 비추어 본원의 수많은 수정 및 변형이 가능하다. 따라서, 첨부된 청구범위의 범위 내에서 본원이 여기에 구체적으로 기술된 것과는 다르게 실시될 수 있음을 이해해야 한다.

Claims (22)

  1. 플라즈마 처리 시스템에서 에칭 공정 종료점 데이터를 결정하는 방법으로서,
    에칭 처리 시스템의 플라즈마 처리 챔버에서 플라즈마 에칭 공정 실행(run)을 수행하는 단계;
    하나 이상의 에칭 공정 동안 상기 플라즈마 처리 챔버로부터 광학 발광 분광(optical emission spectroscopy; OES) 데이터를 획득하는 단계;
    상기 OES 데이터에 대하여 다변수 데이터 분석을 수행하여 파장들을 그룹화함으로써 상기 OES 데이터로부터 합성 OES 데이터를 생성하는 단계; 및
    상기 에칭 공정 종료점의 현장 결정에 추후 이용하도록 상기 합성 OES 데이터를 사용하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 합성 OES 데이터를 생성하는 단계는 변환된 OES 데이터 벡터([T])를 취득하는 단계를 포함하고,
    Figure pct00018
    이고,
    여기서, [X]는 OES 데이터 매트릭스이고, [P]는 가중치 벡터이고, [Savg]는 각 요소가 [X]avg의 대응하는 컬럼의 n개 요소의 산술평균(average) 값으로서 연산되는 n×m 평균(mean) OED 매트릭스이고, [X]avg는, 각 요소가 k개의 에칭 공정 실행에 걸쳐 상기 OES 데이터 매트릭스([X])의 대응 요소들의 산술평균으로서 연산되는 n×m 산술평균 OES 데이터 매트릭스이고, n은 OES 데이터가 취해질 때의 시각에 대응하고, m은 검출기에 의해 상기 플라즈마 처리 챔버에서 측정된 광 강도의 수에 대응하는, 방법.
  3. 제2항에 있어서, 상기 합성 OES 데이터를 생성하는 단계는 양의 가중치와 음의 가중치에 대응하는 파장들을 그룹화하는 단계를 포함하는, 방법.
  4. 제3항에 있어서, 상기 가중치 벡터([P])는,
    매트릭스([X])에 연관된 공분산 매트릭스의 고유벡터와 고유값을 계산하고,
    상기 가중치 벡터([P])를 나타내는 고유값들을 내림 차순으로 정렬하고,
    [P]의 모든 음의 성분을 제로로 설정함으로써 양의 가중치 벡터([P+)를 설정하고 [P]의 모든 양의 성분을 제로로 설정함으로써 음의 가중치 벡터([P-])를 설정하고 이들의 절대값을 취함으로써, 결정되는, 방법.
  5. 제4항에 있어서, 변환된 OES 데이터 벡터([T+] 또는 [T-])를 취득하는 단계를 더 포함하고,
    Figure pct00019
    인, 방법.
  6. 제5항에 있어서, 상기 변환된 OES 데이터 벡터([T+] 또는 [T-])의 요소들을 포함하는 함수 형태를 선택하고 상기 선택된 함수 형태의 시간 변화(time evolution)를 연산하는 단계를 더 포함하는, 방법.
  7. 제6항에 있어서, 상기 선택된 함수 형태의 시간 도함수를 연산하고 상기 선택된 함수 형태의 시간 도함수의 시간 변화를 연산하는 단계를 더 포함하는, 방법.
  8. 제7항에 있어서, 상기 함수 형태는, [T+], [T-], 비([T+/[T-]), 상기 비([T+/[T-])의 멱, 또는 상기 변환된 OES 데이터 벡터([T+]또는 [T-])의 단일 요소, 또는 [T+] 및/또는 [T-]를 이용하는 임의의 수학적 형태를 포함하는, 방법.
  9. 제1항에 있어서, 상기 플라즈마 처리 챔버에서 k개의 플라즈마 에칭 공정 실행을 수행하는 단계를 더 포함하고, k는 0보다 큰 정수이고,
    상기 k개의 플라즈마 에칭 공정 실행의 각각은,
    처리될 기판을 상기 플라즈마 처리 챔버에 탑재하는 단계로서, 상기 플라즈마 처리 챔버는 각 픽셀마다 상이한 광 파장에 대응하는 m개의 픽셀을 포함하는 검출기를 갖는 분광계를 포함하는, 단계;
    상기 플라즈마 처리 챔버에서 플라즈마를 형성하는 단계; 및
    하나 이상의 에칭 공정 동안 상기 플라즈마 처리 챔버로부터 OES 데이터를 획득하고, 상기 k개의 플라즈마 에칭 공정 실행의 각각에 대하여 OES 데이터 매트릭스([X])를 형성하는 단계를 포함하는, 방법.
  10. 제9항에 있어서, n×m 산술평균 OES 데이터 매트릭스([X]avg)를 연산하는 단계로서, 각 요소는 상기 k개의 에칭 공정 실행에 걸쳐 OES 매트릭스([X])의 대응하는 요소들의 산술평균으로서 연산되는, 단계;
    상기 산술평균 OES 데이터 매트릭스([X]avg)로부터 잡음을 필터링하는 단계;
    각 OES 데이터 매트릭스([X] 및 [X]avg)를 절단하는 단계로서, 에칭 공정 종료점을 넘어서는 시간에 대한 그리고 플라즈마 기동 동안 획득되는 데이터는 폐기되는, 단계;
    n×m 평균 OES 데이터 매트릭스([Savg])를 계산하는 단계로서, 각 요소는 [X]avg의 대응하는 컬럼의 n개 요소의 산술평균 값으로서 연산되는, 단계; 및
    각 k에 대하여 매트릭스([X])로부터 [Savg]를 감산하여 OES 데이터를 평균감산(de-mean)하는 단계를 더 포함하는, 방법.
  11. 제2항에 있어서, 상기 플라즈마 에칭 공정 실행의 각각에 대하여 OES 데이터 매트릭스([X])를 형성한 후이면서 n×m 산술평균 OES 데이터 매트릭스([X]avg)를 연산하기 전에, 상기 방법은 상기 OES 데이터 매트릭스([X])를 정규화하는, 방법.
  12. 제11항에 있어서, 상기 OES 데이터 매트릭스의 정규화는, 시각(R)에서 참조 스냅샷(XR,j)을 선택하고 이어서 모든 OES 데이터를 상기 참조 스냅샷으로 나누는 것(
    Figure pct00020
    )을 포함하는, 방법.
  13. 제12항에 있어서, 상기 참조 스냅샷은 단일 시각 스냅샷 또는 기간에 걸쳐 평균화된 스냅샷인, 방법.
  14. 제11항에 있어서, 상기 OES 데이터 매트릭스의 정규화는, 참조 파장(λR)을 선택하고 이어서 모든 OES 데이터를 상기 참조 파장에서의 강도에 의해 나누는 것(
    Figure pct00021
    )을 포함하는, 방법.
  15. 제14항에 있어서, 상기 참조 파장은 단일 파장 또는 대역 파장들의 산술 평균인, 방법.
  16. 플라즈마 처리 시스템에서 에칭 공정 종료점 데이터를 결정하는 방법으로서,
    에칭 처리 시스템의 플라즈마 처리 챔버에서 플라즈마 에칭 공정 실행을 수행하는 단계;
    하나 이상의 에칭 공정 동안 상기 플라즈마 처리 챔버로부터 광학 발광 분광(OES) 데이터를 획득하는 단계;
    상기 OES 데이터에 대하여 다변수 데이터 분석을 수행하여, 자연 파장에 연관된 양의 가중치와 음의 가중치에 대응하는 파장들을 그룹화함으로써 상기 OES 데이터로부터 합성 OES 데이터를 생성하는 단계; 및
    상기 에칭 공정 종료점의 현장 결정에 추후 이용하도록 상기 합성 OES 데이터를 사용하는 단계를 포함하는, 방법.
  17. 제16항에 있어서, 상기 다변수 데이터 분석은 독립적 성분 분석을 이용하여 수행되는, 방법.
  18. 제16항에 있어서, 상기 다변수 데이터 분석은, 지도 다변수 데이터 분석법(supervised multivariate data analysis method)을 이용하여 수행되고, 상기 지도 다변수 데이터 분석법은 지원 벡터 머신 회귀를 포함하는, 방법.
  19. 제16항에 있어서, 변환된 OES 데이터 벡터([T+] 또는 [T-])를 취득하는 단계를 더 포함하고,
    Figure pct00022
    이고,
    [X]는 OES 데이터 매트릭스이고, [P+]는 양의 가중치 벡터이고, [P-]는 음의 가중치 벡터이고, [Savg]는, 각 요소가 [X]avg의 대응하는 컬럼의 n개 요소의 산술평균값으로서 연산되는 n×m 평균 OES 데이터 매트릭스이고, [X]avg는, 각 요소가 k개의 에칭 공정 실행에 걸쳐 상기 OES 데이터 매트릭스([X])의 대응하는 요소들의 산술평균으로서 연산되는 n×m 산술평균 OES 데이터 매트릭스고, n은 OES 데이터가 취해질 때의 시각에 대응하고, m은 검출기에 의해 상기 플라즈마 처리 챔버에서 측정된 광 강도의 수에 대응하는, 방법.
  20. 제19항에 있어서, 상기 변환된 OES 데이터 벡터([T+] 또는 [T-])의 요소들을 포함하는 함수 형태를 선택하고 상기 선택된 함수 형태의 시간 변화를 연산하는 단계를 더 포함하는, 방법.
  21. 제20항에 있어서, 상기 선택된 함수 형태의 시간 도함수를 연산하고 상기 선택된 함수 형태의 시간 도함수의 시간 변화를 연산하는 단계를 더 포함하는, 방법.
  22. 제21항에 있어서, 상기 함수 형태는, [T+], [T-], 비([T+]/[T-]), 상기 비([T+]/[T-])의 멱, 또는 상기 변환된 OES 데이터 벡터([T+] 또는 [T-])의 단일 요소, 또는 [T+] 및/또는 [T-]를 이용하는 임의의 수학적 형태를 포함하는, 방법.
KR1020227005276A 2019-08-22 2020-08-18 플라즈마 에칭시 종료점 검출을 위한 합성 파장 KR20220047281A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/548,333 2019-08-22
US16/548,333 US10910201B1 (en) 2019-08-22 2019-08-22 Synthetic wavelengths for endpoint detection in plasma etching
PCT/US2020/046822 WO2021034842A1 (en) 2019-08-22 2020-08-18 Synthetic wavelengths for endpoint detection in plasma etching

Publications (1)

Publication Number Publication Date
KR20220047281A true KR20220047281A (ko) 2022-04-15

Family

ID=74260917

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227005276A KR20220047281A (ko) 2019-08-22 2020-08-18 플라즈마 에칭시 종료점 검출을 위한 합성 파장

Country Status (6)

Country Link
US (1) US10910201B1 (ko)
JP (1) JP2022544816A (ko)
KR (1) KR20220047281A (ko)
CN (1) CN114270472A (ko)
TW (1) TW202123290A (ko)
WO (1) WO2021034842A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置
US20240035154A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Fluorine based cleaning for plasma doping applications
US20240136164A1 (en) * 2022-10-25 2024-04-25 Tokyo Electron Limited Method for OES Data Collection and Endpoint Detection
CN117724458B (zh) * 2024-02-09 2024-06-04 无锡尚积半导体科技有限公司 一种Etch设备自动监控和故障解决方法及系统

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT649689A (ko) 1960-07-05
US3612692A (en) 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US4147435A (en) 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US5014217A (en) 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
US5353790A (en) 1992-01-17 1994-10-11 Board Of Regents, The University Of Texas System Method and apparatus for optical measurement of bilirubin in tissue
US5347460A (en) 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5751416A (en) 1996-08-29 1998-05-12 Mississippi State University Analytical method using laser-induced breakdown spectroscopy
US6060328A (en) 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6535779B1 (en) 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6132577A (en) 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6090302A (en) 1998-04-23 2000-07-18 Sandia Method and apparatus for monitoring plasma processing operations
US6381008B1 (en) 1998-06-20 2002-04-30 Sd Acquisition Inc. Method and system for identifying etch end points in semiconductor circuit fabrication
US6419846B1 (en) 1999-09-08 2002-07-16 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6420194B1 (en) * 1999-10-12 2002-07-16 Lucent Technologies Inc. Method for extracting process determinant conditions from a plurality of process signals
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP3565774B2 (ja) 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6745095B1 (en) 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
TW544791B (en) 2000-11-28 2003-08-01 Tokyo Electron Ltd Apparatus for 2-D spatially resolved optical emission and absorption spectroscopy
US6815653B2 (en) 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
CN100481308C (zh) 2002-09-30 2009-04-22 东京毅力科创株式会社 采用具有等离子体处理系统的光学系统的装置和方法
TWI240326B (en) 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
TWI240601B (en) 2002-11-26 2005-09-21 Tokyo Electron Ltd Plasma processing system and method
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US6952657B2 (en) * 2003-09-10 2005-10-04 Peak Sensor Systems Llc Industrial process fault detection using principal component analysis
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
US7241397B2 (en) 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
JP4640828B2 (ja) 2006-03-17 2011-03-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN100568448C (zh) 2007-01-12 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀设备的刻蚀终点检测装置与方法
US7746473B2 (en) 2007-05-24 2010-06-29 Applied Materials, Inc. Full spectrum adaptive filtering (FSAF) for low open area endpoint detection
KR100892248B1 (ko) * 2007-07-24 2009-04-09 주식회사 디엠에스 플라즈마 반응기의 실시간 제어를 실현하는 종말점 검출장치 및 이를 포함하는 플라즈마 반응기 및 그 종말점 검출방법
US7427519B2 (en) 2007-07-25 2008-09-23 Macronix International Co., Ltd. Method of detecting end point of plasma etching process
JP2009054818A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法および終点検出方法
US20090158265A1 (en) 2007-12-13 2009-06-18 Matthew Fenton Davis Implementation of advanced endpoint functions within third party software by using a plug-in approach
JP5192850B2 (ja) 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
US8158017B2 (en) 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
KR101520453B1 (ko) 2009-02-10 2015-05-20 삼성전자주식회사 플라즈마용 광학 장치
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
US8513583B2 (en) 2009-11-23 2013-08-20 The University Of Notre Dame Du Lac Methods and apparatus for plasma based adaptive optics for generating a first plasma gradient and a second plasma gradient
NL2005863A (en) 2009-12-28 2011-06-29 Asml Netherlands Bv Calibration method and apparatus.
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
US20130016344A1 (en) 2011-07-14 2013-01-17 Larry Bullock Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
KR20130062791A (ko) 2011-12-05 2013-06-13 삼성전자주식회사 플라즈마 진단 장치 및 방법
US9330990B2 (en) 2012-10-17 2016-05-03 Tokyo Electron Limited Method of endpoint detection of plasma etching process using multivariate analysis
US9200950B2 (en) 2014-02-25 2015-12-01 Applied Materials, Inc. Pulsed plasma monitoring using optical sensor and a signal analyzer forming a mean waveform
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)

Also Published As

Publication number Publication date
US20210057195A1 (en) 2021-02-25
US10910201B1 (en) 2021-02-02
CN114270472A (zh) 2022-04-01
WO2021034842A1 (en) 2021-02-25
JP2022544816A (ja) 2022-10-21
TW202123290A (zh) 2021-06-16

Similar Documents

Publication Publication Date Title
US10002804B2 (en) Method of endpoint detection of plasma etching process using multivariate analysis
KR20220047281A (ko) 플라즈마 에칭시 종료점 검출을 위한 합성 파장
US6673200B1 (en) Method of reducing process plasma damage using optical spectroscopy
US7328126B2 (en) Method and system of diagnosing a processing system using adaptive multivariate analysis
JP4468366B2 (ja) 半導体製造過程の間にプロセスシステムをモニタする方法
US20050234574A1 (en) Controlling a material processing tool and performance data
JP2005527983A (ja) データハンドリング、ストレージ及び操作のための方法とシステム
US8048326B2 (en) Method and apparatus for determining an etch property using an endpoint signal
US20050241669A1 (en) Method and system of dry cleaning a processing chamber
US6825920B2 (en) Method and system of determining chamber seasoning condition by optical emission
WO2022256194A1 (en) In situ sensor and logic for process control