KR101046918B1 - 식각공정을 모니터링하기 위한 방법 및 시스템 - Google Patents

식각공정을 모니터링하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR101046918B1
KR101046918B1 KR1020040044300A KR20040044300A KR101046918B1 KR 101046918 B1 KR101046918 B1 KR 101046918B1 KR 1020040044300 A KR1020040044300 A KR 1020040044300A KR 20040044300 A KR20040044300 A KR 20040044300A KR 101046918 B1 KR101046918 B1 KR 101046918B1
Authority
KR
South Korea
Prior art keywords
delete delete
etch
substrate
etching process
etching
Prior art date
Application number
KR1020040044300A
Other languages
English (en)
Other versions
KR20040111072A (ko
Inventor
매튜펜튼 데이비스
존엠. 야마티노
레이 리안
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040111072A publication Critical patent/KR20040111072A/ko
Application granted granted Critical
Publication of KR101046918B1 publication Critical patent/KR101046918B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

식각공정을 모니터링하기 위한 방법 및 장치가 개시된다. 상기 식각공정은 상기 식각공정 동안 수행되는 인시투(in-situ) 모니터링, 예컨대 분광측정(spectroscopy), 간섭측정(interferometry), 산란측정(scatterometry), 반사측정(reflectormetry) 등과 결합하여 상기 식각공정에 관하여 엑스시투(ex-situ)로 제공된 측정 정보, 예컨대 임계 크기들(CD), 층 두께 등을 사용하여 모니터된다. 상기 엑스시투 측정 정보는 상기 인시투 모니터링과 결합되어 예를 들어 식각공정의 식각종료점(endpoint), 기판 상에 형성된 형성물(feature)의 식각 깊이 프로파일(etch depth profile), 집적회로 제조 공정의 오류 탐지 등을 모니터하기 위해 사용될 수 있다.
식각공정(etch process), 모니터(monitor), 인시투(in-situ), 엑스시투(ex-situ), 계측기구(metrology tool)

Description

식각공정을 모니터링하기 위한 방법 및 시스템{Method and system for monitoring an etch process}
도 1은 본 발명에 따른 식각공정을 모니터링하기 위한 방법의 순서도를 나타낸다.
도 1a는 간섭 및/또는 분광 측정 어셈블리와 광학적 전자기 방출(optical electromagnetic emission; OES) 모니터링 어셈블리를 구비하는 인시투(in-situ) 계측기구를 나타낸다.
도 1b는 공정 챔버 내의 웨이퍼로부터 집광 장치로 향하는 반사된 빛에 대한 경로를 보여주는 공정 챔버의 개략도를 나타낸다.
도 2a 및 도 2b는 본 발명의 일 실시예에 따른 마스크 트리밍(trimming) 공정을 모니터링하기 위한 방법의 순서도를 나타낸다.
도 3a 및 도 3b는 트리밍되는 패터닝된 마스크(patterned mask)를 갖는 기판의 개략적인 단면도들을 나타낸다.
도 4는 마스크 트리밍 공정 동안의 수직과 수평 식각률 사이의 상관관계를 나타내는 그래프를 보여준다.
도 5a 및 도 5b는 본 발명의 또 다른 실시예에 따른 마스크 트리밍 공정을 모니터링하는 방법의 순서도를 나타낸다.
도 6a 및 도 6b는 마스크 트리밍 공정 동안 기판으로부터 반사되는 방사선의 분광 강도에 있어서의 변화를 설명하기 위한 일련의 그래프들을 나타낸다.
도 7은 반사된 방사선의 분광(spectrum)에서 최소 분광 위치와 트리밍된 패터닝된 마스크부들(trimmed patterned mask features) 사이의 상관관계를 설명하기 위한 그래프를 보여준다.
도 8a 및 도 8b는 본 발명의 또 다른 실시예에 따른 물질층 식각공정을 모니터링하는 방법의 순서도를 나타낸다.
도 9a 및 도 9b는 식각될 물질층을 갖는 기판의 일련의 개략적인 단면도들을 나타낸다.
도 9c는 안정된 강도 주기 동안 시간에 대한 간섭무늬 강도의 그래프를 나타낸다.
도 9d는 주파수에 대한 간섭무늬 강도의 그래프를 나타낸다.
도 9e는 감쇄 강도 주기들 동안 시간에 대한 간섭무늬 강도의 그래프를 나타낸다.
도 9f는 도 9e의 시간 T10에서 T11 사이의 주파수에 대한 간섭무늬 강도의 그래프를 나타낸다.
도 9g는 도 9e의 시간 T10에서 T12 사이의 주파수에 대한 간섭무늬 강도의 그래프를 나타낸다.
도 9h는 도 9e의 시간 T10에서 T13 사이의 주파수에 대한 간섭무늬 강도의 그 래프를 나타낸다.
도 10은 본 발명의 부분들을 수행하는 데 사용되는 종류인 인시투(in-situ) 모니터링 모듈(module)을 포함하는 일 예의 식각반응기의 개략도를 나타낸다.
도 11은 본 발명의 부분들을 수행하는데 사용되는 종류인 일 예의 공정시스템의 개략도를 나타낸다.
본 발명은 반도체 기판을 가공하는 시스템들에 관한 것이다. 더욱 상세하게는, 본 발명은 식각공정을 모니터링하기 위한 방법 및 장치에 관한 것이다.
동작속도를 증가시키기 위해, 집적 미세전자회로들에서 트랜지스터들 및 커패시터들 등과 같은 소자들이 점점 더 작아진다. 그와 같은 소자들을 제조하는 하나의 방법은 기판 상에 형성된 물질층 상에 포토레지스트 마스크(photoresist mask)와 같은 패터닝된 마스크를 형성하고, 상기 패터닝된 마스크를 식각마스크로 사용하여 상기 물질층을 식각하는 것을 포함한다. 상기 식각 마스크는, 일반적으로, 상기 하지의 물질층(들)에 형성될(즉, 식각될) 구조체의 모사(replica)이다. 따라서, 상기 식각 마스크는 상기 하지층(들)에 형성될 구조체들과 동일한 지형 크기들(topographic dimensions)을 갖는다.
전형적으로, 선들, 기둥들(columns), 개구부들, 선간 간격들 등과 같은 식각 마스크 성분들에 대한 최소 폭들이 측정된다. 이러한 최소 폭들은 임계 크기들(critical dimensions) 또는 CD들로 알려져 있다. 진보된 초고집적(ultra large scale integrated; ULSI)회로들에서, 상기 임계크기들은 일반적으로 20 nm 내지 200 nm의 서브-마이크론(sub-micron) 크기들이다. 추가적으로, 식각될 물질층들에 대한 두께 측정들이 확인된다. 일반적으로, 식각공정 레서피(recipe)에 대한 파라미터들은, 식각될 물질층들의 두께 측정들과 아울러 웨이퍼들 상에 형성된 패터닝된 마스크들의 임계 크기 측정들의, 통계적으로 생성된 결과들을 사용하여 선택된다.
패터닝된 마스크를 사용하여 물질층을 식각할 때 정확한 식각공정 모니터링이 중요하다. 특히, 식각공정에 대한 제조 변수들은 형성된 소자들의 크기 정확성을 감소시키며, 일군 즉 한 배치(batch)의 기판들 내에서 기판 상에 형성된 소자들의 크기에 대해 넓은 통계 분포, 즉 큰 표준편차(σ)를 유발한다.
따라서, 집적회로들 제조에 있어서 개선된 식각공정 모니터링이 요구된다.
본 발명이 이루고자 하는 기술적 과제는 개선된 식각공정 모니터링 방법을 제공하는 것이다.
본 발명이 이루고자 하는 다른 기술적 과제는 상기 개선된 식각공정 모니터링 방법을 실시할 수 있는 모니터링을 위한 시스템을 제공하는 데 있다.
본 발명은 식각공정을 모니터링하기 위한 방법 및 시스템이다. 상기 식각공정은 상기 식각공정 동안 수행되는 인시투(in-situ) 모니터링, 예컨대 분광측정(spectroscopy), 간섭측정(interferometry), 산란측정(scatterometry), 반사측정(reflectometry) 등과 결합하여 상기 식각공정에 관하여 엑스시투(ex-situ)로 제공된 측정 정보, 예컨대 임계 크기들(CD), 층 두께 등을 사용하여 모니터된다. 상기 엑스시투 측정 정보는 상기 인시투 모니터링과 결합되어 예컨대 식각공정의 식각종료점(endpoint), 기판 상에 형성된 형성물(feature)의 식각 깊이 프로파일(etch depth profile), 집적회로 제조 공정의 오류 탐지 등을 모니터하기 위해 사용될 수 있다. 집적회로 제조 공정의 오류 탐지는 예컨대 상기 식각공정을 모니터링하여 그 공정을 중지시키기 위해서 식각되고 있는 형성물들의 임계 크기(CD)들이 미리 정해진 범위를 벗어나는 때를 결정하는 것을 포함할 수 있다.
엑스시투 측정은 상기 식각공정 전 및/또는 후에 수행된다. 상기 엑스시투 측정 정보는 식각반응기(etch reactor)에 제공되고 상기 인시투 모니터링과 결합하여 상기 식각공정 동안 사용된다. 상기 엑스시투 측정은 상기 식각반응기와 통합된 측정 장비(예를 들면, 동일 식각 시스템 모듈들) 또는 상기 식각반응기와 통합되지 않은 선택적으로 제공된 측정장비(예를 들어, 독립형 장비)를 사용하여 수행될 수 있다. 상기 인시투 모니터링은 상기 반응기에 결합된 측정장비를 사용하여 수행될 수 있다.
도 1은 순서(10)로서 식각공정을 모니터링하기 위한 본 발명의 방법의 순서도를 나타낸다. 상기 순서(10)은 일련의 공정 단계들을 포함하는 데, 상기 공정 단계들은 시험(test) 및 생산(product) 기판들 상에 수행되어 상기 방법을 완료할 수 있다.
상기 순서(10)는 단계(11)에서 시작하여 단계(12)로 진행한다. 단계(12)에서, 측정정보는 상기 식각공정에 엑스시투로 제공된다. 일 실시예에서, 상기 엑스시투 측정정보는 예로서 패터닝된 마스크의 높이 뿐만 아니라, 임계크기(CD) 정보, 즉 구조물들의 폭을 포함한다. 상기 엑스시투 측정정보는, 일반적으로, 한 배치(batch) 기판들의 각 기판에 대해서 제공된다. 이러한 엑스시투 측정들은 상기 식각반응기와 통합된 측정 장비(예를 들면, 동일 식각 시스템 모듈들) 또는 상기 식각반응기와 통합되지 않은 선택적으로 제공된 측정장비(예를 들어, 독립형 장비)를 사용하여 수행될 수 있다.
일 실시예에서, 이러한 엑스시투 측정정보는 미국 캘리포니아주(California) 산타클라라(Santa Clara)의 어플라이드 머티어리얼스사(Applied Materials, Inc.)로부터 입수할 수 있는 센추라(CENTURA®) 공정 시스템의 트랜스포르마(TRANSFORMATM) 계측 모듈을 사용하여 결정된다. 상기 트랜스포르마(TRANSFORMATM) 계측 모듈은 하나 또는 둘 이상의 비파괴 광학 측정기술들, 예를 들면 분광측정(spectroscopy), 간섭측정(interferometry), 산란측정(scatterometry), 반사측정(reflectometry), 타원편광 반사측정(ellipsometry, 이하 엘립소미터 측정) 등을 사용할 수 있다. 상기 측정된 파라미터들은 패터닝된 또는 블랭킷(blanket) 유전 및 도전막들의 두께뿐만 아니라, 기판 상에 제조된 구조체들의 지형 크기들(topographic dimensions) 및 프로파일들을 포함한다. 전형적으로, 상기 구조체들의 임계크기에 대한 측정들은 통계적 으로 중요한 수의 영역들(예를 들면, 5에서 9 또는 더 많은 영역들)과 같이 상기 기판의 복수개의 영역들에서 수행되고, 그 후 이러한 기판에 대해서 평균처리된다.
선택적으로, 상기 측정정보는 상기 식각반응기에 통합되지 않은 측정 장비(예를 들어, 독립형 장비)로 부터 상기 식각반응기에 제공될 수 있다. 이러한 독립형 장비는 주사전자 현미경(scanning electron microscopy; SEM), 원자 힘 계측(atomic force metrology; AFM), 광학 계측(optical metrology), 표면 프로파일링(surface profiling) 등을 사용하여 측정정보를 제공할 수 있다.
상기 엑스시투 측정정보는 지형 크기들 및 제조될 구조체들의 프로파일들과 관련된 정보를 포함할 수 있다. 이에 더하여, 블랭킷(blanket) 또는 패터닝된 막들(예를 들면, 유전막들 및 도전막들)의 막 두께들이 상기 기판 상에 형성된 지형(topographic) 구조체들을 가로질러서 막 두께 균일성(uniformity)과 관련된 매핑(mapping) 정보(예를 들면, 기판 상의 위치)와 함께 제공될 수 있다.
상기 엑스시투 측정들은 연속하는 공정의 파라미터들을 설정하기 위해 사용되므로, 상기 측정된 데이터에서 어떠한 비정상들(anomalies)이라도 상기 공정 파라미터들이 부정확하게 설정되도록 할 수 있으며, 결과적으로 부정확하게 공정 진행된 기판들을 초래한다. 상기 비정상들은 조악한 포토레지스트 패터닝, 또는 국부적인 웨이퍼 표면 조건 또는 결함에 기인한 측정 에러들의 결과일 수 있다. 부정확한 측정 데이터를 사용하여 상기 공정 파라미터들이 설정되는 것을 회피하기 위해서, 선택사양의(optional) 외부(outlier) 필터가 상기 데이터 세트(set)로 부터 정확하지 않은 엑스시투 데이터 측정값들을 제거하기 위해 사용될 수 있다. 단계(13)(이 단계가 선택사양이라는 것을 표시하기 위해 점선안에 표시)에서, 외부 필터가 상기 엑스시투 측정 데이터로부터 외부에 위치하는 어떠한 데이터 포인트들이라도 제거하기 위해 적용된다. 상기 외부 필터는 평균들, 최대, 최소, 표준편차들, 피트들(fits)의 양호성 등과 같은 상기 측정결과들을 검사하여 상기 기판이 양호한 포토레지스트 패터닝 공정을 받았는지 여부도 결정할 수 있다. 단계(14)는 상기 기판이 "양호한" 패터닝 기준을 통과하는지 여부를 물으며, 상기 기판은 단계(15)에서 식각공정을 받아야 한다. 결과가 단계(14)에서 "양호한" 패터닝 기준을 통과하지 못하면, 상기 기판은 식각공정을 받지 않고 측정시스템에 의해 재검사 또는 재작업으로 기록될 것이다.
단계(15)에서, 상기 식각공정이 수행된다. 상기 식각공정이 수행되는 상기 식각반응기는 실시간으로 상기 식각공정과 관련된 수치들(metrics)을 측정하도록 배열된 인시투 모니터링 장치들을 포함한다. 상기 식각공정 동안 인시투 실시간 모니터링을 수행하는 것은, 예를 들면 식각률로부터 독립하여 식각공정에 대한 식각종료점(endpoint)의 결정을 강화한다. 이에 더하여, 이러한 인시투 모니터링은 상기 기판의 엑스시투 매핑(mapping)을 사용하여 확인된 성분들과 관련한 중요한 임계크기 정보를 제공할 뿐만 아니라, 식각공정과 관련한 오류탐지 결정(예를 들면, 미리 정해진 범위에서 벗어난 식각공정 내에서의 비균일성들)을 제공할 수 있다.
일 실시예에서, 상기 인시투 계측기구는 미국 캘리포니아주 산타클라라의 어플라이드 머티어리얼스 사로부터 입수할 수 있는 아이드(EyeDTM)일 수 있다. 도 1a 에 도시된 바와 같이, 아이드 챔버 모듈(50)은 두 부분으로 구성된다. 하나는 막 두께 및/또는 구조체들의 폭을 측정하기 위한 간섭계 및/또는 분광계 측정 어셈블리이다. 다른 하나는 상기 챔버 플라즈마 상태를 모니터하기 위한 광학적 전자기 방출(optical electromagnetic emission; OES) 모니터 어셈블리이다.
예를 들어, 상기 간섭계 및/또는 분광계 측정 어셈블리는 간섭계 모니터링 기술(예를 들면, 시간 영역(time domain)에서 간섭무늬들 카운팅(counting), 주파수 영역(frequency domain)에서 상기 무늬들의 위치 측정 등)을 수행하도록 배열되어 상기 기판 상에 형성되고 있는 구조체들의 식각 깊이 프로파일을 실시간으로 측정한다. 웨이퍼(75)로부터 반사된 빛(72)은 빔-형성 광학계(beam-forming optics, 74)에 의해 모아지고 신호들이 신호케이블(73)에 의해 분광계(59)에 전송된다. 상기 신호는 상기 분광계(59) 및 프로세서(60)에 의해 분석된다. 분석된 결과는 제어기(61)을 통해 상기 반응 챔버를 제어하는 제어명령들을 생성하기 위해 사용될 수 있다. 상기 어셈블리가 식각공정의 상기 식각종료점을 제어하기 위해 사용된다면, 그것은 "간섭계 식각종료점"(interferometric endpoint; IEP)으로 불린다. 광대역광원(broadband light source, 58), 예를 들면 수은(mercury), 중수소(deuterium) 또는 크세논(xenon) 램프로부터 방출된 외부 빛이 광섬유 케이블(54)을 통해 상기 웨이퍼에 빛을 제공하기 위해 채택될 수 있다. 이러한 광원이 상기 광원으로서 상기 플라즈마를 사용하는 것에 더하여 또는 그것을 대신하여 사용될 수 있다. 아이드(EyeDTM)에 의한 막 두께 측정 및 제어(또는 식각종료점)의 상세한 내용들은 "분 광 간섭 측정을 사용하는 막 두께 제어(Film Thickness Control Using Spectral Interferometry)"라는 이름으로 2002년 7월 2일에 등록된 미국 특허번호 제6,413,867호 및 "기판 식각공정에서 간섭계 식각종료점 결정(Interferometric Endpoint Determination In A Substrate Etching Process)"이라는 이름으로 2001년 11월 1일에 출원된 미국 출원 시리얼 번호 제10/286,402호에 개시되어 있다. 상기 어셈블리는 또한 하나 또는 둘 이상의 비파괴 광학 측정기술들, 예를 들면 분광측정, 산란측정, 반사측정 등을 사용하여 구조체들의 폭을 측정할 수 있다. 도 1b에 도시된 바와 같이, 웨이퍼(75) 표면들 및 계면들에서 반사된 빛(72)은 창(71)을 통과하여 빔-형성 광학계(74) 및 신호케이블(73)에 의해 모아진다. 상기 신호들은 분광계(도 1a의 59) 및 프로세서(도 1a의 60)에 의해 분석되어 상기 구조체들의 폭을 결정한다. 상기 구조체들의 폭을 결정하는 방법의 상세한 내용들은 아래에서 서술될 것이다. 도 1a 및 도 1b에서 상들은 일정한 비례로 도시되지 않고 설명목적을 위해 단순화된다. 본 발명을 가장 잘 이해하기 위해서, 동시에 도 3a 및 도 3b가 참조되어여야 한다.
또 다른 아이드(EyeDTM) 챔버 모듈은 상기 챔버 플라즈마 상태를 모니터하기 위한 광학적 전자기 방출(OES) 모니터 어셈블리이다 상기 OES 모니터는 챔버 매칭(matching) 및 공정 소오스(들)의 정도 및/또는 시스템 오류를 결정하기 위해 사용될 수 있다. 상기 플라즈마에서 방출된 OES 신호들은 신호 수집 장치(signal collecting device, 55)에 의해 모아지고 상기 신호들은 신호케이블(56)에 의해 전 송된다. 상기 신호들은 상기 분광계(59) 및 상기 프로세서(60)에 의해 분석된다. 상기 분석된 결과들은 제어명령을 생성하기 위해 사용될 수 있으며 제어기(61)를 통해 상기 반응 챔버를 제어하기 위해 사용될 수 있다. 이러한 응용의 상세한 내용들은 "종합적인 플라즈마 모니터링에 의한 반도체 플라즈마 챔버 매칭 및 오류원의 자동 결정을 위한 방법(Method For Automatic Determination Of Semiconductor plasma Chamber Matching And source Of Fault By Comprehensive Plasma Monitoring)"이라는 이름으로 2003년 7월 25일에 출원된 미국 특허 출원 시리얼 번호 제10/628,001호에 개시되고 있다.
도 1의 단계(16)에서, 상기 순서(10)는 상기 배치(batch)의 기판들에서 모든기판들이 공정진행되었는지를 묻는다. 상기 질문 단계(16)가 긍정적으로 대답되면, 상기 순서(10)는 단계(18)로 진행하고, 상기 순서(10)은 종료한다.
실례가 되는 식각공정에서, 포토레지스트 트리밍(trimming) 공정의 상기 식각종료점은 상기 트리밍 공정 동안 수행되는 인시투 모니터링과 결합하여 엑스시투 임계 크기(CD) 측정 정보를 사용하여 모니터된다. 일 실시예에서, 상기 인시투 모니터링은 상기 트리밍 공정의 수직 식각률과 수평식각률 사이의 상관관계와 관련된 수치를 사용한다. 또다른 실시예에서, 상기 인시투 모니터링은 상기 기판으로부터 반사된 방사선의 분광에서 최소 분광 위치와 상기 패터닝된 마스크의 구조체들에 대한 폭 사이의 상관관계와 관련된 수치(metric)를 사용한다.
상기 트리밍 공정을 촉진하기에 적합한 공정 시스템은 상기 패터닝된 마스크의 구조체들의 임계크기(예를들면, 폭들)를 측정하기 위한 계측 모듈 및 상기 식각 공정(예로서 트리밍 공정)을 수행하기 위한 식각반응기를 포함한다. 상기 식각반응기는 식각되고 있는 구조체들과 관련된 수치(예를 들면, 상기 기판으로부터 반사된 방사선의 분광강도 또는 상기 수직식각률 및 수평 식각률 사이의 상관관계)를 모니터링하기 위한 인시투 모듈을 포함한다.
도 2a 및 도 2b는 순서(100)로서 마스크 트리밍 공정의 상기 식각종료점을 모니터링하기 위한 일예의 식각공정의 순서도를 나타낸다. 상기 순서(100)은 일련의 공정단계들을 포함하는 데, 상기 공정단계들은 시험 및 생산 기판들 상에서 수행될 수 있다.
도 3a 및 도 3b는 본 발명의 방법을 사용하여 트리밍되고 있는 마스크를 갖는 기판의 개략적인 단면도들을 나타낸다. 도 3a 및 도 3b에서 상들은 일정비례로 도시되지 않고 설명적인 목적들을 위해서 단순화된다. 본 발명을 가장 잘 이해하기 위해서는, 도 2a 및 도 2b 그리고 도 3a 및 도 3b가 동시에 참조되어야 한다.
상기 순서(100)는 단계(100)에서 시작하여 단계(102)로 진행한다. 단계(102)에서, 층(202) 및 패터닝된 마스크(210)가 기판(200), 예를 들어 실리콘 웨이퍼 상에 도 3a에 도시된 바와 같이 형성된다. 편의상, 여기서 동일한 개략적인 단면도 및 각 참조번호들은 시험 또는 생산 기판(200) 어느 것에나 관련되는 것으로 한다.
상기 층(202)은, 도시된 바와 같이 패터닝되지 않았거나 선택적으로 패터닝된, 단일 물질막(예를 들면, 유전막, 금속막 또는 집적회로에 사용되는 다른 막) 또는 다층막 적층을 포함할 수 있다. 연속되는 식각공정 동안, 상기 층(202)은 상기 패터닝된 마스크(210)를 식각마스크로 사용하여 식각될 수 있다. 상기 층(202) 은 통상의 박막 증착 기술, 예를 들면 미국 캘리포니아주 산타클라라의 어플라이드 머티어리얼스 사로부터 입수할 수 있는 센추라(CENTURA), 엔두라(ENDURA) 또는 다른 공정 시스템들의 각 공정 반응기를 사용하여 수행되는 예를 들어 원자층 증착(atomic layer deposition; ALD), 물리기상증착(physical vapor deposition; PVD), 화학기상증착(chemical vapor deposition; CVD), 플라즈마 강화 CVD(PECVD) 등을 사용하여 형성될 수 있다.
상기 패터닝된 마스크(210)는 일반적으로 포토레지스트 마스크, α-카본(amorphous carbon) 마스크, 하드마스크, 미국 캘리포니아주 산타클라라의 어플라이드 머티어리얼스 사로부터 입수할 수 있는 어드밴스트 패터닝 필름(Advanced Patterning FilmTM; APF) 등일 수 있다. 일 실시예에서, 상기 패터닝된 마스크(210)는 포토레지스트 마스크이다. 예로서, 도 3a를 참조하면, 상기 패터닝된 마스크(210)는 높이(214)를 가지며, 폭들(206, 218)을 각각 갖는 구조체들(220, 230), 예를 들면 선들, 벽들, 기둥들(columns) 등을 포함한다. 상기 폭(216)은 상기 폭(218) 또는 상기 패터닝된 마스크(210)의 다른 어떤 구조체의 폭보다 작다. 일반적으로, 최소 폭들(즉, 임계크기들 또는 CD들)을 갖는 구조체들(220)은 패터닝된 마스크에서 제조하는 것이 가장 어려운 구조체들이다.
상기 포토레지스트 마스크(210)는 형성될 소자의 형상들의 패턴을 포토레지스트 층에 광학적으로 전사하는 사진공정(lithographic process)을 사용하여 제조될 수 있다. 그 후, 상기 포토레지스트는 현상되고, 상기 포토레지스트의 비노출 부분들이 제거되며, 잔존하는 포토레지스트는 상기 패터닝된 마스크(210)를 형성한다. 상기 패터닝된 마스크(210)가 포토레지스트 마스크일 때, 상기 층(202)은 선택사양의 반사방지코팅(anti-reflective coating; ARC)을 더 포함할 수 있다. 상기 패터닝된 마스크의 구조체들의 크기가 감소함에 따라, 패턴 전사 공정에서 부정확성들이, 광반사와 같이, 사진공정에 내재된 광학적 한계들로부터 초래될 수 있다. 상기 ARC는 상기 포토레지스트를 노출시키는데 사용되는 빛의 반사를 제어하여 상기 사진공정의 해상도를 강화한다. 일 실시예에서, 상기 사진 공정은 약 80 nm 내지 약 120 nm의 최소 폭들(206)을 갖는 상기 구조체들(220)을 형성한다. 상기 패터닝된 마스크(210)를 형성하는 공정들은 예로서 2002년 8월 12일에 출원된 미국 특허 출원 시리얼 번호 제10/218,244호(대리인 도켓 번호 7454)에서 개시되며, 여기서 참고문헌으로 포함된다.
단계(104)에서, 상기 기판(들)(200)이 검사되고, 상기 패터닝된 마스크(210)의 구조체들에 대한 지형크기들이 측정된다. 일 실시예에서, 상기 측정된 크기들은 임계크기들, 즉 상기 구조체들(220)의 폭(206) 뿐만아니라, 상기 패터닝된 마스크(210)의 높이(214)를 포함한다. 상기 폭(206) 및 상기 높이(214)는 일반적으로 한 배치의 시험 기판들의 각 시험 기판(200)에 대해 측정된다. 이러한 측정들은 상기 식각반응기와 관련하여 엑스시투인 계측기구를 사용하여 수행될 수 있다. 일 실시예에서, 이러한 광학적 측정 기구가 미국 캘리포니아주(California) 산타클라라(Santa Clara)의 어플라이드 머티어리얼스사(Applied Materials, Inc.)로부터 입 수할 수 있는 센추라(CENTURA®) 공정 시스템의 트랜스포르마(TRANSFORMATM) 계측 모듈이다. 상기 트랜스포르마 계측모듈은, 분광측정, 간섭측정, 산란측정, 반사측정, 엘립소미터 측정(ellipsometry) 등과 같은, 하나 또는 둘 이상의 비파괴 광학적 측정기술들을 사용할 수 있다. 상기 측정된 파라미터들은, 패터닝된 또는 블랭킷(blanket) 유전 및 도전막들의 두께 뿐만 아니라, 기판들 상에 제조된 구조체들의 지형크기들 및 프로파일들을 포함한다. 전형적으로, 상기 구조체들(220)에 대한 임계크기 측정은, 통계적으로 중요한 수의 영역들(예들 들면, 5 내지 9 또는 그 이상의 영역들)과 같이, 상기 기판(200)의 복수개의 영역들에서 수행되며, 그 후 이러한 기판에 대해 평균처리된다.
상기 사진공정의 광학적 한계에 기인하여, 상기 포토레지스트 패터닝된 마스크(210)는 전형적으로 하지층(들), 예를 들면 층(202), 에서 식각되어 형성될 형상들의 확대된 상들인 구조체들(예를 들면, 구조체들(220, 230) 등)을 포함한다. 따라서, 상기 사진공정 후에, 상기 포토레지스트 마스크(210)는 식각마스크로 사용되기 전에 상기 구조체들의 폭들을 감소시키기 위해 트리밍되어야 한다.
단계(105)에서, 외부에 위치하는 값들(outliers)인 데이퍼 포인트들이 데이터 세트로부터 제거되도록 외부 필터(outlier filter)가 상기 높이 및 폭 값들에 선택적으로 적용될 수 있다. 이러한 외부에 위치하는 값들은 열악한 국부 웨이퍼 표면 조건들 또는 결함들에 의해 발생된다. 외부에 위치하는 값들은, 제거되지 않는다면, 기판 공정 파라미터들이 잘못 설정되는 결과를 낳는다.
단계(106)에서, 상기 패터닝된 마스크(210)는 상기 구조체들(220, 230)의 폭들을 미리 정해진 값들로 감소시키기 위해 트리밍된다. 도 3b에 도시된 바와 같이, 단계(106)는 상기 구조체들(220)을 미리 정해진 폭(216)으로 트리밍한다. 동시에, 단계(106)는 상기 패터닝된 마스크(210)의 다른 구조체의 폭, 즉 구조체(230)의 폭(218)을 상기 폭(206)이 감소되는 것과 동일한 비율로 감소시킨다. 일반적으로, 트리밍 공정은 높은 측면식각률(lateral etch rate) 및 하지층(202)의 물질에 비해 상기 패터닝된 마스크(210)의 물질(예를 들면, 포토레지스트)에 대해 높은 식각선택비를 갖는 등방성 식각공정(예를 들면, 등방성 플라즈마 식각공정)이다. 전형적으로, 상기 트리밍 공정을 사용하여, 상기 패터닝된 마스크(210), 즉 구조체들(220, 230) 등의 폭은 약 35 내지 80 %의 범위안에 감소될 수 있다. 상기 패터닝된 마스크(210)의 폭 및 높이를 알아내므로써, 등방성 식각이 수행되는 "트리밍 시간(trim time)"이 정해진다. 상기 "트리밍 시간"의 값은 발생할 트리밍의 양을 정한다.
단계(106)은 식각반응기, 예를 들면 센추라 시스템의 디커플드 플라즈마 소오스(Decoupled Plasma Sourec; DPS II) 모듈을 사용하여 수행될 수 있다. 상기 DPS II 모듈(아래에서 도 10을 참조하여 논의 됨)은 유도원(inductive source), 즉 안테나(antenna)를 사용하여 고밀도 플라즈마를 생성한다.
일 실시예에서, 상기 포토레지스트 마스크(210)는, 브롬화수소(HBr)를 유속 2 내지 200 sccm, 산소(O2)를 5 내지 100 sccm (예를 들면, 1:10 에서 10:1 범위의 HBr:O2 유속비), 아르곤(Ar)을 유속 10 내지 200 sccm으로 공급하고, 전력(power)을 유도성 결합 안테나에 약 200과 1000 W 사이에서 공급하고, 음극 바이어스(cathode bias) 전력을 0과 300 W 사이에서 공급하고, 2와 30 mTorr 사이의 공정 챔버 압력에서 웨이퍼 페데스탈(pedestal) 온도를 0과 80 ℃ 사이에서 유지하므로써, 상기 DPS II 모듈을 사용하여 트리밍된다. 일예의 공정은 Hbr을 유속 60 sccm, 산소를 유속 28 sccm (즉, 약 2:1의 Hbr:O2 유속비), 아르곤을 유속 60 sccm으로 공급하고, 유도 결합 안테타에 600 W의 전력을 공급하고, 100 W의 음극 바이어스 전력을 공급하고, 4 mTorr의 압력에서 50 ℃의 웨이퍼 페데스탈 온도를 유지한다.
일 실시예에서, 상기 식각반응기는 식각되고 있는 기판 상의 구조체들의 높이, 예를 들면 구조체들(220)의 높이(214)에 대응하는 수치(metric)를 실시간으로 측정하도록 배열된 인시투 계측기구를 더 포함한다. 상기 트리밍 공정 동안, 상기 인시투 계측기구는 자외선 영역 및 깊은 자외선(deep ultra-violet) 영역, 예를 들어 약 200 내지 800 nm의 파장들을 갖는 방사선(즉, 입사 방사선)을 생성하는 광대역 방사선 광원을 사용하여 상기 기판(200)을 비춘다. 일반적으로, 이러한 방사선은 상기 기판(200)에 거의(substantially) 수직으로 인도되고, 약 1 mm 이하의 지형크기들을 갖는 영역을 비춘다. 더욱 상세하게는, 상기 인시투 측정 기구는 폭(206)과 같은 임계크기들을 갖는 구조체들, 즉 구조체들(220)에 의해 점유된 기판(200)의 영역을 비춘다.
측정의 정확성을 높이기 위해, 상기 방사선이 선택적으로(optionally) 편광 되는 것 뿐만 아니라, 상기 입사 방사선의 강도가 선택적으로 변조 및/또는 펄스화될 수 있다. 변조된 입사 방사선의 주파수는 약 10 Hz이다. 상기 입사 방사선은 초퍼(chopper)를 사용하여 펄스화될 수 있다. 일 실시예에서, 구조체(220)의 측벽들에 거의 직교하는 방향(화살표(209) 방향)으로 선형 편광된 입사 방사선을 사용하여 결과들이 얻어진다. 일반적으로, 상기 인시투 모니터링 기구는 간섭계 및/또는 분광계 측정들(도 10을 참조하여 아래에서 논의됨)을 수행하도록 배열될 수 있다. 일 실시예에서, 이러한 인시투 측정 기구는 미국 캘리포니아주 산타클라라의 어플라이드 머티어리얼스 사로부터 입수할 수 있는 아이디(EyeDTM)이다.
입사방사선(R1)은, 상기 구조체(220)를 비추기 위해 상기 인시투 측정기구에 의해 방사될 때, 선(ray, R3)으로 나타낸 바와 같이 표면(221)으로부터 부분적으로 반사되고, 선(ray, R5)로 나타낸 바와 같이 구조체(220) 속으로 부분적으로 전파된다. 상기 선(R5)은, 선(R6)으로 나타낸 바와 같이 상기 구조체 아래의 표면(205)를 통해 상기 층(202) 속으로 더 부분적으로 전파되어 그 안에서 흡수되고, 선(R7)으로 나타낸 바와 같이 구조체(220)의 물질, 예를 들어 포토레지스트 안에 부분적으로 트랩되어(trapped) 그것에 의해 흡수되며, 선(R4)로 나타낸 바와 같이 부분적으로 반사된다. 이와 같이, 상기 구조체들(220) 근처의 영역들(203)을 비추는 상기 입사방사선의 일부(R2)는, 선(R8)으로 나타낸 바와 같이 상기 층(202) 속으로 부분적으로 전파되어 흡수될 수 있으며, 선(R9)으로 나타낸 바와 같이 부분적으로 상기 층(202)으로부터 반사될 수 있다.
상기 순서(100)는 간섭계 측정기술, 예컨대 시간 영역(time domain) 내에서 간섭무늬 카운팅, 주파수 영역(frequency domain) 내에서 간섭무늬 위치측정 등을 사용하여 상기 패터닝된 마스크(210)의 구조체들(220)의 높이를 측정한다. 일 실시예에서, 상기 인시투 측정 기구는, 트리밍 공정 동안, 측정을 위해 선들(R9, R4, R3)에 의해 형성된 간섭패턴을 사용하여 구조체들의 미리 선택된 높이, 예를 들면 시작 높이(starting height, 214)에 대한 구조체들(220)의 높이(215) 변화를 결정한다.
이러한 측정들에 있어서, 입사방사선의 일부는 또한 기준방사선(reference radiation)으로 사용될 수 있다. 일 실시예에서, 상기 간섭계 측정들은 상기 방사선의 분광내에서 적어도 하나의 파장을 사용하여 수행된다.
일 실시예에서, 상기 인시투 측정 기구는, 상기 트리밍 공정이 진행될 때, 패터닝된 마스크(210)의 상기 구조체들(220)의 중간 높이, 즉 높이(215)를 실시간으로 주기적으로 측정한다. 예를 들면, 측정들은 매 100 msec 마다 수행된다. 상기 트리밍 공정 및 측정들은 중간폭(207)이 미리 정해진 폭(216) 이하가 될 때 까지 계속된다. 높이(215) 및 폭(207)을 측정할 때 정확성을 높이기 위해, 이러한 측정들은 몇몇 시험 기판들(200)을 사용하여 되풀이된 후 통계적으로 처리(예를 들어, 평균처리)될 수 있다.
단계(108)에서, 상기 트리밍 공정의 수직식각률과 수평식각률 사이의 상관관계가 정의된다. 이러한 상관관계는 단계(106) 동안 수행된 측정 결과들을 사용하여 정의된다. 트리밍 공정 동안, 수평 트리밍(즉, 식각)률은 수직 트리밍률에 비례하 는 것이 알려졌다. 따라서, 어떠한 트리밍 공정 레서피(recipe)도 상기 수평 및 수직 식각률 사이의 연관된 고정 비율에 의해 특징될 수 있다. 여기서 용어 "수평 트리밍률"과 "수직 트리밍률"은 각각 상기 트리밍 공정 동안 상기 패터닝된 마스크(210)의 구조체들을 측면식각하는 속도 및 상기 구조체들의 높이를 감소시키는 속도를 가리킨다.
도 3b를 참조하면, 수평 트리밍률은 특히 폭(206)을 감소시키는 속도를 가리키고, 상기 수직 트리밍률은 상기 구조체들(220)의 높이(214)를 감소시키는 속도를 가리킨다. 상기 트리밍 공정 동안, 트리밍되고 있는 상기 패터닝된 마스크(210)의 구조체들(220)의 수직 크기들, 즉 높이(215)에 대한 인시투 측정결과들을 사용하면, 이러한 구조체들의 폭, 즉 폭(207)을 계산할 수 있다. 상기 순서(100)는 상기 트리밍 공정의 식각종료점의 폐루프(close-loop) 제어를 위해 트리밍 전 높이(214) 및 시작 폭(206)을 갖는 구조체들(220)의 계산된 목표(target) 높이(224)와 미리 정해진 폭(216) 사이의 상관관계를 사용한다. 따라서, 상기 구조체들(220)은 상기 미리 정해진 폭(216)으로 제어가능하게 트리밍될 수 있다.
순서(110)에서, 각 기판이 패터닝된 마스크(210), 예컨대 포토레지스트 마스크를 갖는 한 배치의 생산 기판들이 제공된다. 상기 트리밍 공정 전, 상기 구조체들(220)의 폭(206) 및 높이(214)가 각 생산 기판 상에서 측정된다. 일 실시예에서, 이러한 측정들은 상기 시험기판들을 참조하여 단계(104)에서 상술된 바와 동일한 측정기구, 예컨대 트랜스포르마(TRANSFORMATM 계측모듈) 및 동일한 방법을 사용하여 수행된다.
단계(112)에서, 상기 구조체들(220)의 목표 높이(224)는, 단계(110)에서 측정된 트리밍 될 구조체의 시작 폭(206), 상기 트리밍 공정의 수직 트리밍률 및 상기 트리밍된 구조체의 미리 정해진(목표) 폭(216) 사이의 단계(108)에서 정의된 상관관계를 사용하여 각 생산 기판에 대해 계산된다.
단계(114)에서, 상기 생산기판의 패터닝된 마스크(210)는 상기 마스크(210)의 구조체들의 높이를 실시간으로 측정하기에 적합한 인시투 측정 기구를 포함하는 식각반응기를 사용하여 트리밍된다. 상기 트리밍 공정 동안, 상기 인시투 측정 기구는 트리밍되고 있는 상기 패터닝된 마스크(210), 예컨대 포토레지스트 마스크의 구조체들(220)의 중간 높이, 즉 높이(215)를 실시간으로 측정하고, 이러한 측정결과들을 상기 식각반응기의 프로세서(또는 제어기)에 전달한다. 일 실시예에서, 상기 트리밍 공정은 단계(106)을 참조하여 상술된 인시투 측정 기구를 포함하는 상기 DPS II 모듈을 사용하여 수행된다.
단계(116)에서, 순서(100)는 상기 구조체들(220)의 높이, 즉 중간높이(215)가 위 단계(112)에서 계산된 목표높이(224)로 트리밍 되었는지 여부를 묻는다. 단계(116)의 물음이 부정적으로 답변되면, 상기 순서(100)는 단계(114)로 진행하여 상기 트리밍 공정 및 상기 구조체들(220)의 중간높이(215)에 대한 실시간 인시투 측정을 계속한다. 단계(116)의 물음이 긍정적으로 답변되면, 상기 순서(100)는 선택사양의 단계(118)로 진행한다.
단계(118)에서, 층(202)은 상기 트리밍된 패터닝된 마스크(210)을 식각마스 크로 사용하여 인시투로 식각될 수 있다. 단계(120)에서, 순서(100)는 상기 한 배치의 기판들에서 모든 생산기판들이 공정진행되었는지 여부를 묻는다. 단계(120)의 물음이 부정적으로 답변되면, 상기 순서(100)은 단계(110)으로 진행한다. 단계(120)의 물음이 긍정적으로 답변되면, 상기 순서(100)은 단계(122)로 진행한다. 단계(122)에서, 순서(100)은 종료한다.
도 4는 단계(106) 동안에 상기 시험기판(200) 상에 수행된 상기 측정들의 대표적인 결과들을 설명하는 그래프(300)를 나타낸다. 더욱 상세하게는, 상기 그래프(300)는, 상기 트리밍 공정 동안, 상기 구조체의 높이(x-축, 304)에 대한 상기 패터닝된 마스크(210)의 구조체, 예컨대 구조체(220)의 폭(y-축, 302)을 나타낸다. 상기 그래프(300)에서, 각도(314)는 수평 및 수직 트리밍률의 비율에 대응한다. 측정들(306, 308)은 각각 트리밍될 구조체(220)의 폭(206) 및 높이(214)를 가리킨다. 따라서, 상기 측정들(310, 312)은 각각 트리밍된 구조체(220)의 미리 정해진 폭(216) 및 목표 높이(224)에 대응한다. 단순화를 위해 선형그래프로 도시된 상기 그래프(300)를 사용하면, 단계(108)에서 상기 목표 높이(224)가 화살표(316)를 사용하여 도시된 바와 같이 계산될 수 있다.
도 5a 및 도 5b는 순서(400)로서 마스크 트리밍 공정의 식각종료점 탐지를 위한 본 발명의 방법의 또다른 실시예의 순서도를 나타낸다. 상기 순서(400)는 일련의 공정 단계들을 포함하는데, 상기 공정단계들은 시험 및 생산기판들 상에 수행되어 상기 방법을 완료한다. 도 2a, 도 2b 및 도 5a, 도 5b에서, 동일한 참조번호들은 유사한(similar) 공정단계들을 나타내기 위해 사용된다. 본 발명을 가장 잘 이해하기 위해서는, 도 5a, 도 5b 및 도 3a, 도 3b가 동시에 참조되어야 한다.
상기 순서(400)는 단계(401)에서 시작하여, 단계(102)를 수행하고, 단계(402)로 진행한다. 단계(402)에서, 시험기판(200)은 상기 순서(100)의 단계(104)를 참조하여 상술된 인시투 광학적 측정기구를 포함하는 식각반응기, 예컨대 센추라 시스템의 DPS II 모듈로 이송된다. 상기 순서(400)는 분광 측정들을 수행하도록 배열된 상기 인시투 측정기구를 사용한다. 단계(402)는 예컨대, 단계(106)를 참조하여 상술된 상기 트리밍 공정을 사용하여 상기 패터닝된 마스크(210)를 트리밍할 뿐만 아니라, 동시에 상기 기판(200)으로부터 반사된 일부 방사선의 분광 강도 측정들을 수행한다. 여기서, 용어 "분광 강도(spectral intensity)"는 방사선의 분광 내에서 방사선의 에너지 분포를 설명하기 위해 사용된다.
일 실시예에서, 상기 인시투 측정 기구는 상기 구조체들(220)에 의해 점유된 상기 기판(200) 상의 영역으로부터 반사된 방사선의 일부를 사용하여 이러한 측정들을 수행한다. 상기 트리밍 공정은 트리밍되고 있는 구조체들(220)의 중간폭(207)을 측정하기 위해 주기적으로(예를 들면, 매 100 msec 마다) 차단된다. 이러한 측정들은 예컨대, 상기 순서(100)의 단계(104)를 참조하여 상술된 아이디(EyeDTM) 계측 모듈을 사용하여 인시투로 수행될 수 있다.
표면들(221, 205) 및 영역들(203)로부터 반사된 방사선, 즉 선들(R3, R4, R9)의 분광 강도는 상기 트리밍 공정 동안 현저하게 변하지 않는다. 그러나, 상기 구조체(220)는 그것의 중간폭(207)과 일치하는 파장들을 갖는 방사선, 즉 선(R7)을 선택적으로 트랩(trap)하여 흡수한다. 더욱 상세하게는, 굴절계수(coefficient of refraction) N을 갖는 물질로 형성되고 폭 D를 갖는 상기 구조체(220)는 λD = N×D에 비례하는 파장 λMIN 을 갖는 방사선을 트랩하여 흡수한다. 상기 구조체(220)에서 상기 파장 λMIN 을 갖는 방사선의 트래핑(trapping)은 상기 구조체 내에서 공명(resonance) 현상 및 방사선의 완전 내부 반사와 관련이 있는 것으로 여겨진다.
상기 패터닝된 마스크(210)의 조명된 구조체들, 예컨대 구조체들(220)의 폭을 측정하기 위해서, 상기 순서(400)는 분광기 측정기술, 즉 파장에 대한 방사선의 강도 측정을 수행하도록 조정된 상기 인시투 측정 기구를 사용한다. 하나의 구체적인 실시예에서, 상기 트리밍 공정 동안, 상기 인시투 측정 기구는 미리 선택된 기준 파장에 대하여 상기 기판(200)으로부터 반사된 방사선의 분광에서 최소 분광 위치 λMIN을 정의한다.
입사방사선의 일부 선(R7)의 선택적인 트래핑 결과로서, 상기 구조체(220)에 의해 점유된 영역으로부터 반사된 방사선(R3, R4, R9)은 파장 λMIN에서 낮은 분광 강도, 즉 그러한 반사된 방사선의 분광에서 최소강도를 갖는다. 상기 트리밍 공정이 진행되고 상기 구조체(220)의 폭 D가 감소함에 따라, 더욱 짧은 파장들이 상기 구조체(220)에 의해 트랩되어 흡수된다. 따라서, 상기 트리밍 공정 동안, 상기 반 사된 방사선의 분광에서 최소 분광 위치, 즉 파장 λMIN은 더욱 더 짧은 파장들 방향으로 변한다.
일 실시예에서, 상기 트리밍 공정 동안, 상기 인시투 측정 기구는, 상기 구조체들(220)의 중간 폭(207) 뿐만 아니라, 반사된 방사선의 분광에서 최소 분광 위치 λMIN의 실시간 측정을 수행한다. 상기 트리밍 공정 및 인시투 측정들은 상기 중간폭(207)이 상기 미리 정해진(목표) 폭(216) 이하가 될 때 까지 계속된다. 상기 폭(207) 측정의 정확성을 높이기 위해, 이러한 측정들은 몇몇 시험 기판들(200)을 사용하여 되풀이된 후 통계 처리, 예컨대 평균처리될 수 있다.
단계(404)에서, 반사된 방사선의 분광에서 최소 분광 위치 λMIN과 상기 구조체(220)의 중간폭(207) 사이의 상관관계는 단계(402) 동안 수행된 측정의 결과들을 사용하여 정의된다. 이러한 상관관계는 상기 트리밍 공정 동안 수행된 최소 분광 위치 λMIN의 인시투 측정 결과들을 사용하여 상기 구조체(220)의 목표 폭(216)을 탐지하는 것 뿐만 아니라, 실시간으로 상기 시작 폭(206)을 계산하는 것을 허용한다.
단계(406)에서, 상기 한 배치의 생산기판들 중의 상기 생산기판의 패터닝된 마스크(210)가 반사된 방사선의 분광에서 상기 최소분광위치 λMIN을 실시간으로 측정하기에 적합한 인시투 측정 기구를 포함하는 상기 식각반응기를 사용하여 트리밍된다. 일 실시예에서, 단계(406)는 단계(402)를 참조하여 상술된 상기 인시투 측정 기구를 포함하는 DPS II 모듈을 사용한다. 상기 인시투 측정기구는 상기 생산기판 상에서 트리밍되고 있는 상기 패터닝된 마스크(210), 예컨대 포토레지스트 마스크의 구조체들(220)에 의해 점유된 영역을 비추도록 조정된다. 상기 트리밍 공정 동안, 상기 인시투 측정 기구는 상기 최소분광위치 λMIN을 실시간으로 측정하고 이러한 측정결과들을 상기 식각반응기의 프로세서(또는 제어기)에 전달한다.
단계(408)에서, 상기 순서(400)는 상기 구조체들(220)의 폭, 즉 중간폭(207)이 위 단계(404)에서 계산된 목표폭(216)으로 트리밍되었는지 여부를 묻는다. 단계(408)의 물음이 부정적으로 답변되면, 상기 순서(400)은 단계(406)으로 진행되어 상기 트리밍 공정 및 트리밍 되고 있는 상기 구조체들(220)의 중간폭(207)의 실시간 인시투 측정들을 계속한다. 단계(408)의 물음이 긍정적으로 답변되면, 상기 순서(400)은 선택사양의 단계(118)로 진행한다.
단계(118)에서, 층(202)은 상기 트리밍된 패터닝된 마스크(210)을 식각마스크로 사용하여 인시투로 식각될 수 있다. 단계(120)에서, 상기 순서(400)는 한 배치의 기판들에서 모든 생산기판들이 공정진행되었는지 여부를 묻는다. 단계(120)의 물음이 부정적으로 답변되면, 상기 순서(400)은 단계(406)으로 진행한다. 단계(120)의 물음이 긍정적으로 답변되면, 상기 순서(400)은 단계(122)로 진행한다. 단계(122)에서, 상기 순서(400)은 종료한다.
도 6a 및 도 6b는 상기 트리밍 공정 동안 상기 기판(200)으로 부터 반사된 방사선의 분광 강도에서 변화의 예를 설명하는 일련의 그래프들을 나타낸다. 제1 그래프(510)는 파장(x-축, 504)에 대한 입사 방사선의 강도(y-축, 502)를 나타낸다. 제2 그래프(520)는, 상기 트리밍 공정 동안, 파장(x-축, 514)에 대한 상기 구조체들(220)에 의해 점유된 기판(200) 상의 영역으로부터 반사된 방사선의 강도(y-축, 522)에서 변화들을 나타낸다. 도 6b를 참조하면, 상기 트리밍 공정이 시작하기 전, 순간(T1)에서, 상기 반사된 방사선의 분광 강도는 상기 구조체(220)의 시작 폭(206)에 대응하는 분광위치, 즉 파장 λMIN(511)을 갖는 최소치(518)를 포함한다. 상기 트리밍 공정이 계속됨에 따라(파선들을 사용하여 도시됨), 순간(T2)에서, 상기 구조체들(220)의 폭은 중간폭(207)로 감소하고 최소 분광 위치(518)는 상기 파장(511) 보다 짧은 파장(513)으로 변한다. 상기 최소 분광 위치(518)는, 순간(T3)에서 상기 구조체(220)가 목표 폭(516)까지 트리밍될 때, 파장(515)으로 감소한다.
도 7은 단계(402) 동안 시험기판(200) 상에 수행된 측정들의 대표적인 결과들을 설명하는 그래프(600)를 나타낸다. 더욱 상세하게는, 상기 그래프(600)는 구조체들에 의해 점유된 상기 기판(200) 상의 영역으로부터 반사된 방사선의 분광에서 최소분광위치, 즉 λMIN(x-축, 604)에 대한 상기 패터닝된 마스크(210)의 구조체의 폭(y-축, 602)을 나타낸다. 상기 그래프(600)에서, 측정값들(608, 606)은 파장(511)과 상기 구조체(220)의 시작 폭(206)을 각각 가리키고, 측정값들(612, 610)은 파장(515)와 상기 구조체(220)의 목표 폭(216)에 각각 대응한다. 여기서, 파장들(511, 515)은 앞에서 도 5b를 참조하여 논의된다. 단순화를 위해 선형 그래프로서 도시된 상기 그래프(600)을 사용하면, 단계(408)에서 상기 목표폭(216)가 화살표(616)를 사용하여 도시된 바와 같이 계산될 수 있다.
도 8a 및 도 8b는 순서(650)로서 물질층 내에서 식각되고 있는 형상을 모니터링하기 위한 일 예의 식각공정의 순서도를 나타낸다. 상기 순서(650)는 일련의 공정단계들을 포함하는 데, 상기 공정 단계들은 시험 및 생산 기판들 상에 수행될 수 있다.
도 9a 및 도 9b는 본 발명의 방법을 사용하여 물질층내에서 식각되고 있는 형상을 갖는 기판의 개략적인 단면도들을 나타낸다. 도 9a 및 도 9b 내에서 상들(images)은 일정비례로 도시되지 않고 설명적인 목적들을 위해서 단순화된다. 본 발명을 가장 잘 이해하기 위해서는, 도 8a, 도 8b 및 도 9a, 도 9b가 동시에 참조되어야 한다.
상기 순서(650)는 단계(651)에서 시작하고 단계(652)로 진행한다. 단계(652)에서, 층(202) 및 패터닝된 마스크(210)가 기판(200), 예를 들면 실리콘 웨이퍼 상에 도 9a에 도시된 바와 같이 같이 형성된다. 편의상, 여기서 동일한 개략적인 단면도 및 각 참조번호들은 시험 또는 생산 기판(200) 어느 것에나 관련되는 것으로 한다.
상기 층(202)은, 도시된 바와 같이 패터닝되지 않았거나 선택적으로 패터닝된, 단일 물질막(예를 들면, 유전막, 금속막 또는 집적회로에 사용되는 다른 막) 또는 다층막 적층을 포함할 수 있다. 연속되는 식각공정 동안, 상기 층(202)은 상기 패터닝된 마스크(210)를 식각마스크로 사용하여 식각될 수 있다. 상기 층(202)은 상기 층(202)은 통상의 박막 증착 기술, 예를 들면 미국 캘리포니아주 산타클라 라의 어플라이드 머티어리얼스 사로부터 입수할 수 있는 센추라(CENTURA), 엔두라(ENDURA) 또는 다른 공정 시스템들의 각 공정 반응기를 사용하여 수행되는 예를 들어 원자층 증착(atomic layer deposition; ALD), 물리기상증착(physical vapor deposition; PVD), 화학기상증착(chemical vapor deposition; CVD), 플라즈마 강화 CVD(PECVD) 등을 사용하여 형성될 수 있다.
상기 패터닝된 마스크(210)는 일반적으로 포토레지스트 마스크, α-카본(amorphous carbon) 마스크, 하드마스크, 미국 캘리포니아주 산타클라라의 어플라이드 머티어리얼스 사로부터 입수할 수 있는 어드밴스트 패터닝 필름(Advanced Patterning FilmTM; APF) 등일 수 있다. 일 실시예에서, 상기 패터닝된 마스크(210)는 포토레지스트 마스크이다. 예로서, 도 9a를 참조하면, 상기 패터닝된 마스크(210)는 그 내부에 한정된 폭(206)의 구조체(250)를 한정하는 개구부들을 갖는다.
상기 포토레지스트 마스크(210)는 형성될 소자의 형상들의 패턴을 포토레지스트 층에 광학적으로 전사하는 사진공정(lithographic process)을 사용하여 제조될 수 있다. 그 후, 상기 포토레지스트는 현상되고, 상기 포토레지스트의 비노출 부분들이 제거되며, 잔존하는 포토레지스트는 상기 패터닝된 마스크(210)를 형성한다. 상기 패터닝된 마스크(210)가 포토레지스트 마스크일 때, 상기 층(202)은 선택사양의 반사방지코팅(anti-reflective coating; ARC)을 더 포함할 수 있다. 상기 패터닝된 마스크의 구조체들의 크기가 감소함에 따라, 패턴 전사 공정에서 부정확 성들이, 광반사와 같이, 사진공정에 내재된 광학적 한계들로부터 초래될 수 있다. 상기 ARC는 상기 포토레지스트를 노출시키는데 사용되는 빛의 반사를 제어하여 상기 사진공정의 해상도를 강화한다. 일 실시예에서, 상기 사진 공정은 약 80 nm 내지 약 120 nm의 최소 폭들(206)을 갖는 상기 구조체들(220)을 형성한다. 상기 패터닝된 마스크(210)를 형성하는 공정들은 예로서 2002년 8월 12일에 출원된 미국 특허 출원 시리얼 번호 제10/218,244호에서 개시되며, 여기서 참고문헌으로 포함된다.
단계(654)에서, 상기 기판(들)(200)이 검사되고, 상기 패터닝된 마스크(210)의 구조체들에 대한 지형크기들이 측정된다. 일 실시예에서, 상기 측정된 크기들은 임계크기들, 즉 상기 패터닝된 마스크(210)의 구조체들(250)의 폭(206) 뿐만아니라, 식각되는 층(202)의 두께를 포함한다. 상기 폭(206)은 일반적으로 한 배치의 시험 기판들의 각 시험 기판(200)에 대해 측정된다. 이러한 측정들은 상기 식각반응기와 관련하여 엑스시투인 계측기구를 사용하여 수행될 수 있다. 일 실시예에서, 이러한 광학적 측정 기구가 미국 캘리포니아주 산타클라라의 어플라이드 머티어리얼스 사로부터 입수할 수 있는 센추라(CENTURA®) 공정 시스템의 트랜스포르마(TRANSFORMATM) 계측 모듈이다. 상기 트랜스포르마 계측모듈은, 분광측정, 간섭측정, 산란측정, 반사측정, 엘립소미터 측정(ellipsometry) 등과 같은, 하나 또는 둘 이상의 비파괴 광학적 측정기술들을 사용할 수 있다. 상기 측정된 파라미터들은, 패터닝된 또는 블랭킷(blanket) 유전 및 도전막들의 두께 뿐만 아니라, 기판들 상에 제조된 구조체들의 지형크기들 및 프로파일들을 포함한다. 전형적으로, 상기 구조체들(250)에 대한 임계크기 측정은, 통계적으로 중요한 수의 영역들(예들 들면, 5 내지 9 또는 그 이상의 영역들)과 같이, 상기 기판(200)의 복수개의 영역들에서 수행되며, 그 후 이러한 기판에 대해 평균처리된다.
단계(656)에서, 상기 물질층이 미리 정해진 깊이까지 식각된다. 도 9b에 도시된 바와 같이, 단계(656)는 상기 물질층(202)을 미리 정해진 깊이(275) 까지 식각한다. 상기 식각공정은 일반적으로 높은 수직 식각률을 가지며 상기 마스크(210)의 물질에 비해 상기 물질층(202)의 물질, 예컨대 실리콘산화물(silicon oxide)에 대해 높은 식각선택비를 갖는 이방성 식각공정, 예컨대 이방성 플라즈마 식각공정이다.
단계(656)는 식각반응기, 예를 들면 센추라 시스템의 디커플드 플라즈마 소오스(Decoupled Plasma Sourec; DPS II) 모듈을 사용하여 수행될 수 있다. 상기 DPS II 모듈(아래에서 도 10을 참조하여 논의 됨)은 유도원(inductive source), 즉 안테나(antenna)를 사용하여 고밀도 플라즈마를 생성한다.
일 실시예에서, 이산화실리콘(silicon dioxide)을 포함하는 상기 물질층(202)은, 카본 테라플루오라이드(CF4)를 유속 2 내지 200 sccm, 아르곤(Ar)을 유속 10 내지 200 sccm으로 공급하고, 전력(power)을 유도성 결합 안테나에 약 200과 1000 W 사이에서 공급하고, 음극 바이어스(cathode bias) 전력을 0과 300 W 사이에서 공급하고, 2와 30 mTorr 사이의 공정 챔버 압력에서 웨이퍼 페데스탈(pedestal) 온도를 0과 80 ℃ 사이에서 유지하므로써, 상기 DPS II 모듈을 사용하여 식각된다. 일예의 공정은 카본 테라플루오라이드(CF4)를 유속 60 sccm, 아르곤을 유속 60 sccm으로 공급하고, 유도 결합 안테타에 600 W의 전력을 공급하고, 100 W의 음극 바이어스 전력을 공급하고, 4 mTorr의 압력에서 50 ℃의 웨이퍼 페데스탈 온도를 유지한다.
일 실시예에서, 상기 식각반응기는 식각되고 있는 상기 물질층의 식각깊이, 예컨대 식각 깊이(275)에 대응하는 수치(metric)를 실시간으로 측정하도록 배열된 인시투 계측기구를 더 포함한다. 상기 식각공정 동안, 상기 인시투 계측기구는 자외선 영역 및 깊은 자외선(deep ultra-violet) 영역, 예를 들어 약 200 내지 800 nm의 파장들을 갖는 방사선(즉, 입사 방사선)을 생성하는 광대역 방사선 광원을 사용하여 상기 기판(200)을 비출 수 있다. 일반적으로, 이러한 방사선은 상기 기판(200)에 거의(substantially) 수직으로 인도되고, 약 1 mm 이하의 지형크기들을 갖는 영역을 비춘다. 더욱 상세하게는, 상기 인시투 측정 기구는 폭(206)과 같은 임계크기들을 갖는 구조체들, 즉 구조체들(250)에 의해 점유된 기판(200)의 영역을 비춘다. 막 두께 측정 및 아이디(EyeDTM)에 의한 제어(또는 식각종료점)의 상세한 내용들은 "분광 간섭 측정을 사용하는 막 두께 제어(Film Thickness Control Using Spectral Interferometry)"라는 이름으로 2002년 7월 2일에 등록된 미국 특허번호 제6,413,867호 및 "기판 식각공정에서 간섭계 식각종료점 결정(Interferometric Endpoint Determination In A Substrate Etching Process)" 이라는 이름으로 2001년 11월 1일에 출원된 미국 출원 시리얼 번호 제10/286,402호에 개시된 바 있다.
위에서 언급한 참고문헌들에 개시된 바와 같이, 식각 깊이 및 식각공정의 식각종료점은 상기 웨이퍼(또는 기판) 표면으로부터 반사된 빛의 빔들(beams)의 보강 또는 상쇄 간섭무늬들(최대 또는 최소 강도)을 카운팅하여 결정될 수 있다. 도 9c는 간섭 무늬 경향(trend) 신호 그래프의 일 예를 보여준다. 피크(301)와 피크(302)(또는, 골과 골) 사이의 기간 t1은 피크(302)와 피크(303) 사이의 기간 t2와 같다. t1(또는 t2) 동안 식각된 물질의 두께는 λ/2(λ는 모니터되는 파장)와 같다. 상기 동일한 기간들은 정상식각률을 지시하고, 피크들(301, 302, 303)의 일정한 피크 강도 I1은 일정한 신호강도를 지시한다. 도 9c에 도시된 시간 T3과 시간 T 4 사이의 신호는 시간에 대한 강도(intensity) 그래프를 주파수에 대한 강도 그래프로 변환하기 위해 이산 푸리에 변환(Discrete Fourier Transform; DFT)을 사용하여 처리된다. 상기 시간에 대한 강도(intensity) 그래프가 사인 곡선이면, 상기 변환된 주파수에 대한 강도 그래프는, 도 9d에 도시된 바와 같이, f1에서 수직선(C1)일 것이다. 도 9c의 강도 그래프가 사인곡선이 아니면, 상기 변환된 그래프는 f1에서 곡선의 피크를 갖는 도 9d의 곡선(C2)와 같을 것이다. f1은 t1의 역수(1/t 1)이고, t1은 모니터되는 파장의 반(λ/2)의 식각시간이다. 상기 시간에 대한 강도 곡선이 시간에 대해서 폭이 넓기 때문에, 피크들(301, 302)를 정확하게 결정하여 T3 및 T4를 결정하는 것이 쉽지 않다. T3 및 T4의 정확한 결정 없이는, t1(식각시간) 값을 정확하게 계산할 수 없다. 대조적으로, 시간 곡선에 대한 이산 푸리에 변환 강도는 더 좁아서 상기 그래프의 훨씬 더 정확한 피크(308)를 생성하여 t1의 역수(1/t1)인 f 1을 정확하게 결정할 수 있다. 기간 t1은 기간 t2와 같기 때문에, 시간 T3 으로부터 시간 T5(T4 보다 큼) 까지 선택된 시간 연장은 여전히 오직 하나의 피크 주파수 f 1을 보인다.
그러나, 많은 경우에 있어서, 상기 간섭 경향 신호 그래프는 도 9c에 도시된 것과 같이 이상적이지 않다. 시간에 대한 간섭무늬 강도의 실제적인 그래프의 일예가 도 9e에 도시되어 있다. 피크(304)와 피크(305) 사이의 기간 t7은 피크들(305, 306) 사이의 기간 t8 보다 크다. 상기 감소하는 기간들은 식각률의 증가를 지시하는데, 이는 식각 로딩효과(loading effect)에 기인할 수 있다. 피크들(304, 305, 306, 307)의 피크 강도들 I3, I4, I5 및 I6도 또한 식각 시간에 따라 감소하는 데, 이는 시간에 따라 감소하는 반사된 신호들을 지시한다. 시간에 따라 증가하는 식각률은, 감소하는 신호들을 동반하는데, 콘택, 비아 및 트렌치 식각 동안 관찰될 수 있다. 도 9f는 시간들 T10과 T11 사이에서 도 9e의 그래프의 DTF 처리된 부분을 보여준다. T10과 T11 사이의 기간 t6이 전체 강도 사이클(피크에서 피크) 보다 짧기 때문에, 상기 DTF는 도 9D와 같은 주파수 최대값을 보이지 않는다. 상기 DTF가 시 간들 T10과 T12 사이의 신호에 대해 수행되면, 그에 따른 주파수에 대한 강도 그래프는 기간 t7의 정확한 측정을 제공하는 최대값 주파수 f2(1/t7)를 보일 것이다. 그러나, DTF가 시간들 T10과 T13 사이의 신호에 대해 수행되면, 그에 따른 주파수에 대한 강도 그래프는 단일의 최대값을 보이지 않고, 도 9h에 도시된 바와 같이, 이중 피크들을 갖는 이중 곡선의 결합된 결과를 보인다. 따라서, 상기 DTF를 수행하는 적당한 시간 영역(time window)을 선정하는 것이 중요하다. 도 9e에 도시된 강도 곡선들에 대해서, 식각시간을 정확하게 결정하기 위해서 상기 DTF를 수행하는 적합한 시간 영역 크기를 사용하는 것이 중요해진다. 증가하는 식각률을 갖는 공정들에 대해서, 상기 DTF를 위한 시간영역은 시간에 따라 감소할 필요가 있다. 유사하게, 감소하는 식각률을 갖는 공정들에 대해서는, 상기 DTF를 위한 시간영역은 시간에 따라 증가할 필요가 있다.
일 실시예에서, 상기 인시투 측정 기구는 식각공정 동안 물질층(202)에서 식각되는 구조체들(250)의 중간 식각깊이, 즉 식각깊이(265)를 실시간으로 주기적으로(예를 들면, 매 100 msec 마다) 측정한다. 상기 식각공정 및 측정들은 상기 중간식각깊이가 미리 정해진 깊이(275)와 같아질 때 까지 계속된다.
단계(658)에서, 상기 식각공정에 대한 수직식각률이 정의된다. 이러한 식각률은 단계(656) 동안 수행된 측정결과들을 사용하여 정의된다. 식각깊이 및 식각률은 시계열에서 특정 파장(λ)의 반사무늬들을 추적하므로서 측정될 수 있다. 예를 들면, 상기 식각깊이는 반사패턴이 웨이브(wave) 사이클에 도달할 때의 반파장(λ/2)과 같다. 상기 식각률은 상기 식각깊이를 식각시간(duration)으로 나누어서 계산될 수 있다.
단계(660)에서, 각각 패터닝된 마스크(210), 예컨대 포토레지스트 마스크를 갖는 한 배치의 생산기판들이 제공된다. 상기 식각공정 전, 폭(206) 및 층(202) 두께가 각 생산기판에 대해 측정된다. 일 실시예에서, 이들 측정들은 시험기판들을 참조하여 단계(654)에서 상술된 것과 동일한 측정기구, 예컨대 트랜스포르마 계측기구 및 동일한 방법을 사용하여 수행된다.
단계(662)에서, 상기 구조체들(250)의 목표식각률은 단계(660)에서 측정된, 식각될 구조체의, 시작 폭(206), 상기 식각공정의 수직식각률 및 상기 식각된 구조체의 미리 정해진(목표) 식각 깊이(275) 사이의, 단계(108)에서 정의된, 상관관계를 사용하여 각 생산기판에 대해 계산된다.
단계(664)에서, 상기 구조체들(250)은 그것들의 식각깊이 프로파일을 실시간으로 측정하기에 적합한 인시투 측정기구를 포함하는 식각반응기를 사용하여 상기 생산기판의 물질층(202) 내에 형성된다. 상기 식각공정 동안, 상기 인시투 측정기구는 식각되는 구조체들(250)의 중간깊이, 즉 높이(265)를 실시간으로 측정하여 그 측정결과들을 상기 식각반응기의 프로세서(또는 제어기)에 전달한다. 일 실시예에서, 상기 식각공정은 단계(656)을 참조하여 상술된 인시투 측정기구를 포함하는 DPS II 모듈을 사용하여 수행된다.
단계(666)에서, 순서(650)는 상기 구조체들(250)의 식각깊이, 즉 중간깊이(265)가 위 단계(662)에서 계산된 목표깊이(275) 까지 식각되었는지 여부 를 묻는다. 단계(666)의 물음이 부정적으로 답변되면, 상기 순서(650)는 단계(664)로 진행하여 상기 식각공정 및 상기 구조체(250)의 중간높이(265)의 실시간 인시투 측정을 계속한다. 상기 단계(666)의 물음이 긍정적으로 답변되면, 상기 순서(650)는 단계(668)로 진행한다.
단계(668)에서, 상기 순서(650)는 한 배치의 기판들에서 모든 생산기판들이 공정진행되었는지 여부를 묻는다. 단계(668)의 물음이 부정적으로 답변되면, 상기 순서(650)는 단계(660)으로 진행한다. 단계(668)의 물음이 긍정적으로 답변되면, 상기 순서(650)는 단계(670)로 진행한다. 단계(670)에서, 상기 순서(650)는 종료한다.
도 10은 본 발명을 실시하기 위해 사용될 수 있는 대표적인 DPS II 식각반응기(1000)의 개략도를 나타낸다. 상기 DPS II 반응기(1000)는 미국 캘리포니아주 산타클라라의 어플라이드 머티어리얼스 사로부터 입수할 수 있는 센추라(CENTURA®) 집접 반도체 웨이퍼 공정 시스템의 공정 모듈이다. 여기서 도시한 상기 반응기(1000)의 구체적인 예는 설명의 목적을 위해 제시되며 본 발명의 범위를 제한하는 것으로 사용되지 않아야 한다.
상기 반응기(1000)는 일반적으로 도전성 본체(벽, 1030) 내부에 기판 페데스탈(1016)을 갖는 공정챔버(1010)와 제어기(1040)을 포함한다.
상기 챔버(1010)는 거의(substantially) 평평한 유전체 천장(ceiling, 1020)을 갖는다. 상기 챔버(1010)의 다른 변형들은 다른 유형들의 천장들, 예컨대 돔 모 양의(dome-shaped) 천장을 가질 수 있다. 상기 천장(1020) 상부에 선택적으로 제어될 수 있는 하나 또는 둘 이상의 유도 코일 성분들(동축성분들(1012a, 1012b)이 도시됨)을 포함하는 안테나(1012)가 배치된다. 상기 안테나(1012)는 제1 매칭 네트워크(matching network)를 통해 플라즈마 전력원(plasma power source, 1018)에 결합된다. 상기 전력공급원(1018)은 전형적으로 50 kHz 에서 13.56 MHz 범위의 가변주파수(tunable frequency)에서 3000 W 까지 발생시킬 수 있다.
캐소드(cathode)인 상기 기판 페데스탈(1016)은 제2 매칭 네트워크(1024)를 통해 바이어싱 전력원(biasing power source, 1022)에 결합된다. 상기 바이어싱 전력원(1022)은 일반적으로 약 13.56 MHz의 주파수에서 500 W 까지의 연속적인 또는 펄스 전력을 발생시킬 수 있다. 다른 실시예들에서, 상기 전력원(1022)은 DC 또는 펄스화된 DC원일 수 있다.
제어기(1040)는 중앙처리장치(CPU, 1044), 메모리(1042) 및 상기 CPU(1044)를 위한 지지 회로들(support circuits, 1046)을 포함하여 상기 공정챔버(1010)의 구성성분들 및 아래에서 상세하게 논의될 식각공정을 제어한다.
에천트(etchant) 가스와 패시베이션(passivation) 가스가 가스패널(gas panel, 1038)로 부터 상기 공정챔버(1010)에 공급된다. 상기 에천트 가스는 전형적으로 상기 기판 페데스탈(1016) 상부에 위치하는 하나 또는 둘 이상의 인렛들(inlets, 1026; 두 개의 인렛들이 도시됨)을 통해 공급된다. 상기 패시베이션 가스는 상기 가스패널(1038)로부터 복수개의 인렛들(1028), 예컨대 개구부들, 인젝터들 등을 통해 공급된다. 상기 인렛들(1028; 두 개의 인렛들이 도시됨)은 일 반적으로 식각되는 반도체 웨이퍼(1014)와 대략 동일 평면인 상기 기판 페데스탈(1016) 주변에 거의 동일 간격으로 위치한다. 상기 인렛들(1028)의 구현 및 위치는 상기 웨이퍼(1014)의 주변영역, 즉 그것의 가장자리 근처의 환상 영역(annular region)에 높은 제어 농도의 패시베이션 가스를 제공하도록 선정된다.
상기 에천트 가스 및 상기 패시베이션 가스는 각각 분리된 가스도관들(1037, 1039)을 사용하여 상기 공정챔버(1010)에 공급되며 상기 챔버의 반응부(1053)로 분산될 때 까지 혼합되지 않는다. 도시된 예에서, 상기 에천트 가스는 환상의 가스채널(1027)을 사용하여 상기 인렛들(1026)에 공급되고, 유사하게 상기 패시베이션 가스는 환상의 가스채널(1029)을 사용하여 상기 인렛들(1028)에 공급된다. 상기 가스채널들(1027, 1029)은 상기 벽(1030) 내에 또는 상기 벽(1030)에 결합된 가스 링들(도시됨) 내에 형성될 수 있다. 상기 공정챔버(1010)에서, 상기 에천트 및 패시베이션 가스들은 혼합가스(1050)를 형성한다. 식각공정 동안, 전력이 상기 플라즈마 전력원(1018)으로부터 상기 안테나(1012)에 공급되어 상기 혼합가스(1050)는 플라즈마(1055)로 점화된다.
상기 챔버(1010) 내의 가스 압력은 트로틀 밸브(throttle valve, 1063) 및 진공펌프(vacuum pump, 1036)를 사용하여 제어된다. 상기 벽(1030)의 온도는 상기 벽(1030) 내에 설치된 액체를 담는 도관들을 사용하여 제어될 수 있다. 전형적으로, 상기 챔버벽(1030)은 금속, 예컨대 알루미늄(Al), 스테인레스 스틸 등으로 형성되고 전기접지(1034)에 결합된다.
동작에 있어서, 상기 웨이퍼(1014)의 온도는 기판 페데스탈(1016)의 온도를 안정화시키어 제어한다. 일 실시예에서, 뒷면 가스(backside gas), 예컨대 헬륨(He)이 가스 소오스(1048)로 부터 가스 도관(1049)을 통해 상기 웨이퍼(1014) 하부의 페데스탈 표면에 형성된 채널들에 공급된다. 상기 뒷면 가스는 상기 페데스탈(1016)과 상기 웨이퍼(1014) 사이에 열전달을 용이하게 하기 위해 사용된다. 상기 공정 동안, 상기 페데스탈(1016)은 내장된 저항 히터(1032)에 의해 정상 온도로 가열될 수 있으며, 그 후 상기 헬륨 가스가 상기 웨이퍼(1014)의 균일한 가열을 돕는다. 이러한 열제어를 사용하여, 상기 웨이퍼(1014)는 약 0과 350℃ 사이의 온도에 유지될 수 있다.
일 실시예에서, 상기 기판 페데스탈(1016)은 정전기 척(electrostatic chuck, 1060), 가장자리 링(edge ring, 1015), 상기 저항 히터(1032), 히트싱크(1066) 및 마운팅 어셈블리(1006)를 포함한다. 상기 정전기 척(1060)은 적어도 하나의 클램핑(clamping) 전극(1080)을 포함하고 척 파워서플라이(chuck power supply, 1076)에 의해 제어된다. 상기 저항 히터(1032)는 일반적으로 적어도 하나의 가열요소(1082)를 포함하고 히터 파워서플라이(heater power supply, 1078)에 의해 조절된다. 택일적인 실시예에서, 상기 기판 페데스탈(1016)은 서셉터 클램프 링, 기계적인 척(mechanical chuck) 등의 기판 보유 장치를 포함할 수 있다.
동작에서, 상승 장치(lift mechanism, 1062)는 웨이퍼(1014)를 기판 페데스탈(1016)로부터 들어올리거나 기판을 상기 기판 페데스탈 위로 내리기 위해 사용된다. 일반적으로, 상기 상승장치(1062)는 각 가이드 홀들(1088)을 통해서 이동하는 복수개의 상승핀들(1072; 하나의 상승핀이 도시됨)을 포함한다. 상기 공정챔버(1010)는 또한 공정제어, 내부진단, 식각종료점 탐지 등을 위한 통상의 시스템들을 포함한다. 이러한 시스템들은 지지시스템(support system; 1007)들로서 총괄하여 도시된다.
당업자들은 원거리 플라즈마 소오스들을 구비하는 챔버들, 전자 사이클론 공명(electron cyclotron resonance; ECR) 플라즈마 챔버들 등을 포함하여 각 챔버들의 다른 형태들이 본 발명을 실시하기 위해 사용될 수 있다는 것을 이해할 것이다.
상기 공정 챔버(1010)의 제어를 용이하게 하기 위해, 상기 제어기(1040)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업설비에서 사용될 수 있는 일종의 다목적 컴퓨터 프로세서일 수 있다. 상기 CPU(1044)의 메모리(1042), 또는 컴퓨터-판독 매개체는 랜덤 억세스 메모리(RAM), 레드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 다른 형태의 근거리 또는 장거리의 디지털 저장매체와 같은 하나 또는 둘 이상의 즉시 사용가능한 메모리일 수 있다. 상기 지지 회로들(1046)은 통상의 방식으로 상기 프로세서를 지지하기 위해 상기 CPU(1044)에 결합된다. 이러한 회로들은 캐쉬(cache), 파워서플라이들, 클록 회로들(clock circuits), 입출력 회로 및 서브시스템들 등을 포함한다. 본 발명의 방법은 소프트웨어 루틴으로서 상기 메모리(1042)에 저장된다. 택일적으로, 이러한 소프트웨어 루틴은 또한 상기 CPU(1044)에 의해 제어되고 있는 하드웨어로 부터 원거리에 위치하는 제2 CPU(도시하지 않음)에 의해 저장 및/또는 실행될 수 있다.
CD들 및 막 두께 측정능력을 구비하는 엑스시투 계측기구들과 통합된 식각공 정의 일예가 어플라이드 머티어리얼스의 트랜스포마(TRANSFORMATM) 시스템(도 11의 800)이다. 어플라이드 머티어리얼스의 트랜스포마(TRANSFORMATM) 시스템을 설명하는 자세한 정보는 "반도체 소자들의 제조 동안 식각공정들을 제어하기 위한 방법 및 장치(Method and Apparatus for Controlling Etch Processes During Fabrication of Semiconductor Devices)"라는 제목으로 2003년 5월 1일에 출원된 미국 특허 출원 시리얼 번호 제10/428,145호에 개시되어 있다. 상기 시스템은 복수개의 공정챔버들(802), 예컨대 DPS IITM 실리콘 식각 챔버들과 같은 종래의 식각반응기들 및 하나 또는 둘 이상의, 소위 로드락들(load locks)인, 이송챔버들(803)을 장착하기 위한 센추라(CENTURATM) 공정 시스템과 같은 챔버 또는 "메인프레임(801)"을 포함한다. 본 발명의 일실시예에서, 네개의 식각공정 챔버들(802)이 상기 메인프레임(801)에 장착된다. 일 실시에에서, 세개의 식각공정 챔버들(802)이 식각용으로 사용되고 하나는 식각후 세정, 즉 식각 후 웨이퍼로부터 포토레지스트 폴리머들 및 다른 잔존물들을 제거하기 위해 선택적으로 사용된다. 로보트(804)가 공정챔버들(802)과 이송챔버들(803) 사이에서 웨이퍼들을 이동시키기 위해 상기 메인프레임(801) 내에 제공된다. 상기 이송챔버들(803)은 "미니 환경(mini environment)"으로 알려져 있는 팩토리 인터페이스(factory interface, 805)에 연결되는데, 상기 인터페이스는 제어된 환경을 유지한다. 계측(또는 측정) 기구(806)는 고속 데이터 수집 및 분석능력을 갖추고 상기 로드락 영역(805)에 통합될 수 있으며, 상기 시스 템(800)에 들어가는 모든 웨이퍼는 식각공정 전 및 후에 두께에 대해 측정될 수 있다. 상기 계측기구(806)는 또한 상기 공정 시스템(800) 내의 다른 곳에 위치할 수 있다. 본 발명의 기술적 사상은 또한 증착공정에도 적용되기 때문에, 하나 또는 둘 이상의 공정챔버들(802)은 또한 증착 챔버들일 수 있다.
본 발명은, 본 발명의 사상을 벗어나지 않으면서 여기에 개시된 내용들을 사용하여, 수용할 만한 특성들을 달성하기 위해 당업자들에 의해 파라미터들이 조정될 수 있는, 다른 식각공정들을 사용하여 실시될 수 있다.
본 발명은, 본 발명의 사상을 벗어나지 않으면서 여기에 개시된 내용들을 사용하여, 수용할 만한 특성들을 달성하기 위해 당업자들에 의해 공정 파라미터들이 조정될 수 있는, 다른 반도체 웨이퍼 공정 시스템들을 사용하여 실시될 수 있다.
비록 앞의 논의가 패터닝된 마스크들을 트리밍하는 동안의 식가종료점 탐지를 언급하지만, 집적회로들에서 사용되는 다른 소자들 및 구조체들의 제조도 본 발명으로부터 혜택을 받을 수 있다.
앞의 논의가 본 발명의 도식적인 실시예들을 설명하지만, 본 발명의 다른 실시예들 및 더 많은 실시예들이 본 발명의 기본적인 범위를 벗어나지 않으면서 고안될 수 있으며, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.
본 발명의 실시예들에 따르면, 개선된 식각공정 모니터링 방법을 제공할 수 있으며, 상기 개선된 식각공정 모니터링 방법을 실시할 수 있는 시스템을 제공할 수 있다. 이에 더하여, 본 발명의 실시에들에 따르면, 상기 개선된 식각공정 모니 터링 방법을 실시할 수 있는 인시투 계측 기구를 제공할 수 있으며, 인시투 계측기구에 의해 수집된 데이터 처리방법을 제공할 수 있다.

Claims (49)

  1. (a) 기판의 식각전(pre-etch) 측정들을 수행하여 식각전 측정 정보를 생성하되, 상기 식각전 측정 정보는 적어도 하나의 물질층 두께 측정값 및 임계 크기(CD) 측정값을 포함하고, 상기 식각전 측정은 광학적 계측을 사용하여 수행되고,
    (b) 상기 식각전 측정 정보와 함께 상기 기판을 식각반응기에 제공하고,
    (c) 상기 식각반응기에서 식각공정을 사용하여 상기 기판을 식각하되, 상기 식각전 측정 정보는 식각공정 모니터링과 결합하여 식각공정의 식각종료점(endpoint)을 모니터하기 위해 사용되고, 상기 식각공정 모니터링은 광학적 계측(optical metrology)을 사용하여 수행되고,
    (d) 상기 식각공정이 상기 식각공정의 식각종료점에 도달한 것을 확인하는 상기 식각공정 모니터링에 기초하여 상기 식각공정을 종료하는 것을 포함하는 식각공정을 모니터링하기 위한 방법.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 제 1 항에 있어서,
    상기 식각전 측정 정보는 적어도 하나의 물질층의 두께 측정들 및 임계크기(CD) 측정들을 포함하는 식각공정을 모니터링하기 위한 방법.
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 제 1 항에 있어서,
    상기 식각공정 모니터링은 수직식각률과 수평식각률 사이의 상관관계를 사용하는 것을 더 포함하는 식각공정을 모니터링하기 위한 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 제 1 항에 있어서,
    상기 식각공정 모니터링은
    방사선을 상기 기판 상으로 인도하고,
    상기 기판으로부터 반사된 상기 방사선의 일부를 모으고,
    상기 기판으로부터 반사된 상기 방사선의 분광에서 파장들의 강도를 측정하는 것을 더 포함하는 식각공정을 모니터링하기 위한 방법.
  16. 제 15 항에 있어서,
    상기 식각공정 모니터링은 상기 분광에서 최소 분광위치와 상기 기판 상에 형성된 구조체들의 폭의 상관관계를 사용하는 것을 더 포함하는 식각공정을 모니터링하기 위한 방법.
  17. 삭제
  18. (a) 마스크를 갖는 기판의 식각전(pre-etch) 임계크기 측정들을 수행하여 상기 마스크의 식각전 임계크기 측정 정보를 생성하되, 상기 식각전 임계크기 측정 정보는 상기 마스크의 임계크기 측정값들 중 적어도 하나이고, 상기 식각전 임계크기 측정은 광학적 계측을 사용하여 수행되고,
    (b) 외부 필터(outlier filter)를 적용하여 상기 식각전 임계크기 측정 정보에서 이상치들(outliers)을 제거하고,
    (c) 상기 식각전 임계크기 측정 정보를 분석하여 상기 마스크가 상기 기판의 식각을 허용하기에 양호한지를 결정하고 또한 식각공정에 대한 공정 파라미터들을 결정하고,
    (d) 상기 기판을 상기 식각전 임계크기 측정 정보와 함께 식각반응기에 제공하고,
    (e) 상기 식각공정을 사용하여 상기 마스크를 트리밍하되, 상기 식각전 임계크기 측정 정보는 식각공정 모니터링과 결합하여 상기 마스크의 트리밍을 인시투(in-situ) 모니터하기 위해 사용되고, 상기 식각공정 모니터링은
    상기 기판으로 방사선을 인도하고,
    상기 기판으로부터 반사된 상기 방사선의 일부를 모으는 것을 포함하고,
    (f) 상기 식각공정 모니터링이 상기 마스크가 미리 정해진 크기들로 트리밍되었음을 지시할 때, 상기 트리밍 공정을 종료하는 것을 포함하는 마스크 트리밍 공정의 식각종료점을 모니터링하기 위한 방법.
  19. 삭제
  20. 제 18 항에 있어서,
    상기 마스크는 포토레지스트 패터닝된 마스크인 마스크 트리밍 공정의 식각종료점을 모니터링하기 위한 방법.
  21. 제 18 항에 있어서,
    상기 마스크는 플라즈마 공정을 사용하여 트리밍되는 마스크 트리밍 공정의 식각종료점을 모니터링하기 위한 방법.
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 제 18 항에 있어서,
    상기 식각공정 모니터링은 수직식각률과 수평식각률의 상관관계를 사용하는 것을 더 포함하는 마스크 트리밍 공정의 식각종료점을 모니터링하기 위한 방법.
  28. 제 18 항에 있어서,
    상기 식각공정 모니터링은
    상기 기판 상으로 방사선을 인도하고,
    상기 기판으로부터 반사된 상기 방사선의 일부를 모으고,
    간섭계 측정기술을 사용하여 층의 두께를 측정하는 것을 더 포함하는 마스크 트리밍 공정의 식각종료점을 모니터링하기 위한 방법.
  29. 제 28 항에 있어서,
    상기 방사선은 상기 기판에 거의 수직으로 인도되는 마스크 트리밍 공정의 식각종료점을 모니터링하기 위한 방법.
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
KR1020040044300A 2003-06-18 2004-06-16 식각공정을 모니터링하기 위한 방법 및 시스템 KR101046918B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US47960103P 2003-06-18 2003-06-18
US60/479,601 2003-06-18
US10/674,568 US8257546B2 (en) 2003-04-11 2003-09-29 Method and system for monitoring an etch process
US10/674,568 2003-09-29

Publications (2)

Publication Number Publication Date
KR20040111072A KR20040111072A (ko) 2004-12-31
KR101046918B1 true KR101046918B1 (ko) 2011-07-07

Family

ID=33424138

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040044300A KR101046918B1 (ko) 2003-06-18 2004-06-16 식각공정을 모니터링하기 위한 방법 및 시스템

Country Status (6)

Country Link
US (2) US8257546B2 (ko)
EP (1) EP1492153A3 (ko)
JP (1) JP2005012218A (ko)
KR (1) KR101046918B1 (ko)
CN (1) CN1319141C (ko)
TW (1) TWI356466B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI497632B (zh) * 2013-04-01 2015-08-21 Process monitoring method and surface analysis system
KR20160060978A (ko) * 2014-11-21 2016-05-31 주식회사 신성미네랄 복토재의 제조방법

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2858333B1 (fr) * 2003-07-31 2006-12-08 Cit Alcatel Procede et dispositif pour le depot peu agressif de films dielectriques en phase vapeur assiste par plasma
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7306746B2 (en) * 2004-01-30 2007-12-11 Taiwan Semiconductor Manufacturing Co. Ltd. Critical dimension control in a semiconductor fabrication process
DE102004018454A1 (de) * 2004-04-16 2005-11-03 Infineon Technologies Ag Verfahren und Vorrichtung zum Überwachen des Ätzvorgangs einer regelmässigen Tiefenstruktur in einem Halbleitersubstrat
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US20060012796A1 (en) * 2004-07-14 2006-01-19 Susumu Saito Plasma treatment apparatus and light detection method of a plasma treatment
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7342641B2 (en) * 2005-02-22 2008-03-11 Nikon Corporation Autofocus methods and devices for lithography
US7465590B1 (en) 2005-06-30 2008-12-16 Nanometrics Incorporated Measurement of a sample using multiple models
KR100683400B1 (ko) * 2005-12-28 2007-02-15 동부일렉트로닉스 주식회사 저유전 물질 측정 방법
CN101055422B (zh) * 2006-04-14 2012-05-02 应用材料公司 用于透明基材的整合式测量室
US7341953B2 (en) * 2006-04-17 2008-03-11 Lam Research Corporation Mask profile control for controlling feature profile
US7312161B2 (en) * 2006-05-05 2007-12-25 Fsi International, Inc. Advanced process control for low variation treatment in immersion processing
KR100868083B1 (ko) 2006-05-19 2008-11-14 세종대학교산학협력단 웨이브릿을 이용한 플라즈마장비의 센서정보 감시방법
CN101174082A (zh) * 2006-10-30 2008-05-07 应用材料股份有限公司 用于光掩模刻蚀的终点检测
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
TWI445098B (zh) * 2007-02-23 2014-07-11 Applied Materials Inc 使用光譜來判斷研磨終點
US20090004875A1 (en) * 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
US7981812B2 (en) * 2007-07-08 2011-07-19 Applied Materials, Inc. Methods for forming ultra thin structures on a substrate
CN102163567B (zh) * 2008-01-22 2012-10-31 北京北方微电子基地设备工艺研究中心有限责任公司 一种工艺终点控制方法和装置
CN101494160B (zh) * 2008-01-22 2011-05-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种工艺终点控制方法和装置
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
CN101717935B (zh) * 2008-10-09 2011-11-23 欣兴电子股份有限公司 基板的金属层的蚀刻方法
CN101764075B (zh) * 2008-12-25 2011-10-05 中芯国际集成电路制造(上海)有限公司 晶片背面缺陷的监测方法和系统
CN101834128B (zh) * 2009-03-12 2012-03-21 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN101859689B (zh) * 2009-04-07 2012-03-07 北京北方微电子基地设备工艺研究中心有限责任公司 干法清洗时间的确定方法、装置及等离子体处理设备
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US10295329B2 (en) 2011-08-01 2019-05-21 Nova Measuring Instruments Ltd. Monitoring system and method for verifying measurements in patterned structures
CN102931071B (zh) * 2011-08-08 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种图形化蓝宝石衬底的方法及装置
CN102955363B (zh) * 2011-08-19 2014-10-08 上海华虹宏力半导体制造有限公司 光学临近效应修正在线监控的方法
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
US9840778B2 (en) 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US9059038B2 (en) * 2012-07-18 2015-06-16 Tokyo Electron Limited System for in-situ film stack measurement during etching and etch control method
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US9305753B2 (en) 2013-03-06 2016-04-05 Kla-Tencor Corporation Thickness change monitor wafer for in situ film thickness monitoring
US8956886B2 (en) * 2013-03-14 2015-02-17 Applied Materials, Inc. Embedded test structure for trimming process control
US11175589B2 (en) * 2013-06-03 2021-11-16 Kla Corporation Automatic wavelength or angle pruning for optical metrology
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
SG11201604721VA (en) * 2013-12-22 2016-07-28 Applied Materials Inc Monitoring system for deposition and method of operation thereof
CN104882389B (zh) * 2014-02-28 2017-12-26 无锡华润上华科技有限公司 一种半导体器件量测方法
US9419107B2 (en) 2014-06-19 2016-08-16 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
CN105321845A (zh) * 2014-07-28 2016-02-10 Psk有限公司 基板处理装置及基板处理装置监控方法
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9870935B2 (en) 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US10157742B2 (en) 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
WO2017146785A1 (en) * 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
JP6541599B2 (ja) * 2016-03-28 2019-07-10 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
WO2017189582A1 (en) * 2016-04-26 2017-11-02 Active Layer Parametrics, Inc. Methods and systems for material property profiling of thin films
US11289386B2 (en) 2016-04-26 2022-03-29 Active Layer Parametrics, Inc. Methods and apparatus for test pattern forming and film property measurement
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
JP6878853B2 (ja) * 2016-11-28 2021-06-02 住友電気工業株式会社 半導体素子を作製する方法
CN106504971B (zh) * 2017-01-03 2018-03-16 京东方科技集团股份有限公司 一种等离子刻蚀方法及等离子刻蚀装置
US10707331B2 (en) * 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
CN108987224A (zh) * 2017-06-01 2018-12-11 北京北方华创微电子装备有限公司 反应腔室及检测反应腔室内晶片状态的方法
CN107527830B (zh) * 2017-08-16 2019-12-31 武汉新芯集成电路制造有限公司 一种晶圆倾斜薄膜的监测方法
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11421977B2 (en) 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
CN110071059B (zh) * 2019-03-29 2020-12-22 福建省福联集成电路有限公司 一种监控蚀刻的工艺方法及系统
US10871396B2 (en) 2019-04-05 2020-12-22 Samsung Electronics Co., Ltd. Optical emission spectroscopy calibration device and system including the same
CN110426451B (zh) * 2019-07-15 2021-12-24 Tcl华星光电技术有限公司 蚀刻速率量测装置及侧向蚀刻速率的量测方法
CN110850690B (zh) * 2019-11-19 2023-05-23 上海华力微电子有限公司 去胶设备、顶针监控方法和去胶工艺
CN111063627A (zh) * 2019-12-30 2020-04-24 中电国基南方集团有限公司 Bcb厚度的在片监测与控制方法及装置
CN111370344A (zh) * 2020-03-03 2020-07-03 武汉大学 一种用于在线监测半导体基片刻蚀过程的监测系统
US20220406667A1 (en) * 2020-03-11 2022-12-22 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
CN111430257B (zh) * 2020-04-02 2023-04-07 长江存储科技有限责任公司 测量装置及方法
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
CN117795285A (zh) * 2021-06-03 2024-03-29 诺威有限公司 半导体装置的时域光学计量和检查
CN115497866A (zh) * 2021-06-18 2022-12-20 中微半导体设备(上海)股份有限公司 一种升举顶针组件及等离子体反应装置
KR20230030346A (ko) 2021-08-25 2023-03-06 삼성전자주식회사 편광 계측 장치 및 편광 계측 장치를 이용한 반도체 소자 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0735565A1 (en) * 1995-03-31 1996-10-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US56700A (en) * 1866-07-31 Improvement in bed-recoil springs for printing-presses
US4767496A (en) 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
EP0394597A1 (en) 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
GB2257507B (en) * 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
JPH0534280A (ja) * 1991-07-26 1993-02-09 Kaijo Corp 赤外線吸収式湿度変動計
US5329381A (en) * 1992-02-20 1994-07-12 Payne John H Automatic engraving method and apparatus
US5798529A (en) 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
US5948203A (en) 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5965309A (en) 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
JP3833810B2 (ja) * 1998-03-04 2006-10-18 株式会社日立製作所 半導体の製造方法並びにプラズマ処理方法およびその装置
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6136712A (en) * 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
JP2000155023A (ja) 1998-11-20 2000-06-06 Nkk Corp 鋼板の板厚測定装置
US6130415A (en) * 1999-04-22 2000-10-10 Applied Materials, Inc. Low temperature control of rapid thermal processes
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
JP2001068446A (ja) * 1999-06-30 2001-03-16 Applied Materials Inc 半導体ウェーハの汚染物質の検出
EP1089318A1 (en) * 1999-09-30 2001-04-04 Infineon Technologies AG Method for determining the endpoint of etch process steps
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
GB0016562D0 (en) * 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6368982B1 (en) * 2000-11-15 2002-04-09 Advanced Micro Devices, Inc. Pattern reduction by trimming a plurality of layers of different handmask materials
JP4437611B2 (ja) 2000-11-16 2010-03-24 株式会社ルネサステクノロジ 半導体装置の製造方法
US6319767B1 (en) * 2001-03-05 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors via plasma ashing and hard masking technique
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP2003077898A (ja) * 2001-09-03 2003-03-14 Matsushita Electric Ind Co Ltd プラズマエッチングシステム及びエッチング工程管理方法
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US6566025B1 (en) 2002-01-16 2003-05-20 Xerox Corporation Polymeric particles as external toner additives
JP4118071B2 (ja) * 2002-03-28 2008-07-16 株式会社ニデック レジスト外周除去幅検査装置
US7042564B2 (en) * 2002-08-08 2006-05-09 Applied Materials, Israel, Ltd. Wafer inspection methods and an optical inspection tool
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
US7265382B2 (en) 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0735565A1 (en) * 1995-03-31 1996-10-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
JP2000003842A (ja) * 1997-12-30 2000-01-07 Internatl Business Mach Corp <Ibm> 半導体ウエハ製作プロセスのリアルタイム・インシチュ対話型監視方法およびシステム
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI497632B (zh) * 2013-04-01 2015-08-21 Process monitoring method and surface analysis system
KR20160060978A (ko) * 2014-11-21 2016-05-31 주식회사 신성미네랄 복토재의 제조방법

Also Published As

Publication number Publication date
US8257546B2 (en) 2012-09-04
TW200507143A (en) 2005-02-16
EP1492153A3 (en) 2006-05-10
EP1492153A2 (en) 2004-12-29
KR20040111072A (ko) 2004-12-31
TWI356466B (en) 2012-01-11
US20040203177A1 (en) 2004-10-14
JP2005012218A (ja) 2005-01-13
US20120291952A1 (en) 2012-11-22
CN1319141C (zh) 2007-05-30
CN1619788A (zh) 2005-05-25

Similar Documents

Publication Publication Date Title
KR101046918B1 (ko) 식각공정을 모니터링하기 위한 방법 및 시스템
JP7269296B2 (ja) 方法およびエッチングシステム
US9601396B2 (en) 3D NAND staircase CD control by using interferometric endpoint detection
US7658969B2 (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7838433B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
US7648916B2 (en) Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
KR101633937B1 (ko) Dc 및 rf 하이브리드 처리 시스템
TWI464818B (zh) 利用光學量測及感測器裝置之蝕刻製程控制
JP4893881B2 (ja) ウエハ処理システム
US20070296980A1 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
CN100382233C (zh) 监测处理室中处理的方法以及基底处理设备
US7733502B2 (en) Roughness evaluation method and system
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
Rosenthal et al. Infrared spectroscopy for process control and fault detection of advanced semiconductor processes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee