JP2005012218A - エッチング処理をモニタリングする方法およびシステム - Google Patents

エッチング処理をモニタリングする方法およびシステム Download PDF

Info

Publication number
JP2005012218A
JP2005012218A JP2004177875A JP2004177875A JP2005012218A JP 2005012218 A JP2005012218 A JP 2005012218A JP 2004177875 A JP2004177875 A JP 2004177875A JP 2004177875 A JP2004177875 A JP 2004177875A JP 2005012218 A JP2005012218 A JP 2005012218A
Authority
JP
Japan
Prior art keywords
monitoring
measurement
etching
etching process
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004177875A
Other languages
English (en)
Inventor
Matthew Fenton Davis
マシュー・フェントン・デービス
John M Yamartino
ジョン・エム・ヤマルティーノ
Lei Lian
リアン・レイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005012218A publication Critical patent/JP2005012218A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

【課題】集積回路の製造において改善されたエッチング処理のモニタリング技術。
【解決手段】エッチング処理をモニタリングする方法および装置である。エッチング処理の間に実施されたインサイチューモニタリング(例えば、分光、干渉計測、散乱計測、反射率計測など)と組合せて、エッチング処理に対してエクスサイチューで提供された測定情報(例えば、限界寸法(CD)、層の厚さなど)を用いて、エッチング処理がモニタリングされても良い。インサイチューモニタリングと組合せてエクスサイチュー測定情報を使って、例えば、エッチング処理の終点、基板上に形成された外観のエッチング深度プロフィール、集積回路製造プロセスの欠陥検出などをモニタリングしても良い。
【選択図】 図1

Description

本発明は一般的に半導体基板処理システムに関する。さらに詳細には、本発明はエッチング処理をモニタリングする装置に関する。
動作速度を高速化するために、集積された超小型電子回路内のデバイス(例えば、トランジスタ、コンデンサなど)はこれまでにも増して小型化された。そのようなデバイスを製造する1つの方法は、基板上に形成した材料層に、パターニングされたマスク(例えば、フォトレジストマスク)を形成し、次に、そのパターニングされたマスクをエッチングマスクとして用いて材料層をエッチングすることである。一般に、エッチングマスクは、下層の材料層に形成された(つまり、エッチングされた)構造物の模造である。そのようなものとして、エッチングマスクは、下の層に形成される構造物と同一の形状寸法を有する。
一般に、エッチングマスクの要素の最小幅、例えばライン、コラム、開口、ライン間スペースなどが測定される。そのような最小幅は「限界寸法」すなわちCDとして知られている。進化した超大規模集積(ULSI)回路では、限界寸法は、一般に、約20〜200nmのサブミクロン寸法である。これに加え、エッチングされる材料層の膜厚の測定は確認されている。エッチング処理方法のパラメータは、エッチングされる材料層の膜厚測定のみならず、ウエハ上に形成されパターニングされたマスクの限界寸法測定の統計的に生成された結果を用いて一般に選択される。
パターンニングされたマスクを用いて材料層をエッチングする場合には、正確なエッチング処理のモニタリングが重要である。特に、エッチング処理の製造変数は、基板のグループ(すなわち、バッチ)内で基板に形成されたデバイスの寸法に対して幅広い統計的分布(すなわち、大きなσ(σは標準偏差))を発生させるだけでなく、その製造変数で形成されたデバイスの寸法正確度を減少させることもある。
したがって、集積回路の製造において改善されたエッチング処理のモニタリング技術が必要である。
本発明は、エッチング処理をモニタリングする方法およびシステムに関する。エッチング処理の間に実施されたインサイチュー(in-site)モニタリング(例えば、分光、干渉計測、散乱計測、反射率測定など)と組合せてエッチング処理に対してエクスサイチュー(ex-situ)で提供された測定情報(例えば、限界寸法(CD)、層の膜厚など)を使用して、エッチング処理がモニタリングされても良い。インサイチューモニタリングと組み合わせてエクスサイチュー測定情報を使って、例えば、エッチング処理の終点、基板上に形成された外観のエッチング深度プロフィール、および、集積回路製造プロセスの欠陥検出などをモニタリングしても良い。
エクスサイチュー測定情報は、エッチング処理の前後で実施される。エクスサイチュー測定情報は、エッチングリアクタに提供され、エッチング処理中にインサイチューモニタリングと組合わせて使用される。エクスサイチュー測定は、エッチングリアクタに一体形成された測定機器(例えば、同じエッチングシステムのモジュール)を用いて実施されても良いし、あるいはまた、エッチングリアクタに一体形成されていない測定機器(例えば、スタンドアロン型機器)から提供されても良い。
本発明の技術は、添付図面と併せて後述される説明を考慮することにより、容易に理解可能である。
理解を容易にするために、可能な場合には、図面に共通する同一の要素を示すように同一の参照符号が使用されている。
しかしながら、添付図面は本発明の典型的な実施の形態のみを示しており、それ故に、本発明が他の同等に効果的な実施の形態を与えるために、本発明の請求の範囲を限定して考慮されるべきではないことに注意するべきである。
本発明は、エッチング処理をモニタリングする方法およびシステムである。エッチング処理中に実行されインサイチューモニタリング(例えば、分光、干渉計測、散乱測定、反射率測定など)と組合せてエッチング処理に対してエクスサイチューで設けられた測定情報(例えば、限界寸法(CD)、層層など)を用いて、エッチング処理がモニタリングされる。インサイチューモニタリングと組合せてエクスサイチュー測定情報は、例えば、エッチング処理の終点、基板上に形成された外観のエッチング深度プロフィール、集積回路製造プロセスの欠陥検出などをモニタリングするために使用されても良い。集積回路製造プロセスの欠陥検出には、例えば、そのような処理を停止できるようにエッチングされる外観の限界寸法(CD)がいつ所定範囲の範囲外であるかを決定するために、エッチング処理をモニタリングすることが含まれる。
エクスサイチュー測定情報は、エッチング処理の前および/または後に実行される。エクスサイチュー測定情報は、エッチングリアクタに提供され、エッチング処理中にインサイチューモニタリングと組合せて使用される。エクスサイチュー測定は、エッチングリアクタと一体形成された測定機器(例えば、同じエッチングシステムのモジュール)を使用して、または代わりに、エッチングリアクタと一体形成されていない測定機器(例えば、スタンドアロン型の機器)を使用して実施されても良い。インサイチューモニタリングは、エッチングリアクタに結合された測定機器を使用して実施されても良い。
図1は、本発明によるエッチング処理をモニタリングする方法のフロー図をシーケンス(手順)10として示す。シーケンス10は一連の処理ステップを含み、その一連の処理ステップは当該方法を達成するためにテスト基板および製品基板で実施されても良い。
シーケンス10は、ステップ11から開始し、ステップ12へ進む。ステップ12では、測定情報がエッチング処理にエクスサイチューで提供される。一実施の形態では、エクスサイチュー測定情報が、例えばパターニングされたマスクの高さのみならず、限界寸法(CD)情報、すなわち構造物の幅を有している。一般に、エクスサイチュー測定情報は、1バッチの基板の各々について提供される。そのようなエクスサイチュー測定は、エッチングリアクタと一体形成された測定機器(例えば、同じエッチングシステムのモジュール)を使用して実施されても良いし、または代わりに、エッチングリアクタに一体形成されていない測定機器(例えば、スタンドアロン型の機器)から提供されても良い。
1つの典型的な実施の形態では、そのようなエクスサイチュー測定情報は、カリフォルニア州サンタクララのApplied Materials(アプライド マテリアルズ)社から利用可能なCENTURA(登録商標)処理システムのTRANSFORMA(登録商標)計測モジュールを使用して決定される。TRANSFORMA(登録商標)計測モジュールは、1つまたはそれより多くの非破壊的な光学測定技術、例えば分光法、干渉分光法、散乱測定、および、反射率測定などを使用しても良い。測定されたパラメータには、パターニング誘電体またはブランケット誘電体と導電膜との何れか一方の膜厚のみならず、基板に製造された構造物の形状寸法および形状プロフィールが含まれる。構造物の限界寸法の測定は、一般に、基板の複数の領域、例えば、統計的に顕著に多くの領域(例えば5〜9以上の領域)で実施され、それから、そのような基板に対して平均される。
あるいは、測定情報は、エッチングリアクタに一体形成されていない測定機器(例えばスタンドアロン型の機器)からエッチングリアクタに提供されても良い。そのようなスタンドアロン型の機器は、走査型電子顕微鏡(SEM)、原子間力顕微鏡(AFM)、光学計測、表面プロファイリングなどを使用して測定情報を提供しても良い。
エクスサイチュー測定情報には、製造される構造物の形状寸法およびプロフィールに関する情報が含まれても良い。さらに、ブランケット膜またはパターニング膜(例えば誘電体膜および導電膜)の膜厚は、基板に形成された形状的構造に渡って膜厚の均一性に対してマッピング情報(例えば、基板上の位置)と一緒に提供される。
エクスサイチュー測定は、次の処理のパラメータを設定するために使用されるので、測定データに何らかの異常があると、処理パラメータが正確に確立されず、その結果、正確に処理された基板にならない。この異常さは、局所的なウエハ表面状態または欠陥によって、粗悪なフォトレジストパターニングエラーまたは測定エラーの結果であることがある。不正確な測定データを使用して処理パラメータが確立させられるのを回避するために、選択的な異常値フィルタを使用して正確でない何らかのエクスサイチューデータ測定を、データセットから除去することが可能になる。ステップ13(このステップが任意であることを表すために仮想線で示されている)では、異常値フィルタがエクスサイチュー測定データに適用されて、異常値である何らかのデータポイントが除去される。さらに、異常値フィルタが、平均値、最大値、最小値、標準偏差、適合度などのような測定結果を検査して、基板が良好なフォトレジストパターニングを受けたかを決定するようにしている。ステップ14は、基板が「良好なパターニング基準」に合格したか否かを問い合わせ、ステップ15で記述されているようなエッチング処理を基板は受けるべきである。その結果がステップ14にて「良好」なパターニング基準に合格しない場合には、基板はエッチング処理を受けず、再測定、再審査、またはやり直し用のシステムによってマーキングされる。
ステップ15ではエッチング処理が実施される。エッチング処理が実施されるエッチングリアクタには、エッチング処理に関連した測定基準をリアルタイムで測定するように構成されたインサイチューモニタリング装置が含まれる。エッチング処理中に、リアルタイムでインサイチューモニタリングを実施することにより、例えば、エッチング速度とは無関係なそのようなエッチング処理の終点を決定することが向上される。加えて、そのようなインサイチューモニタリングは、基板のインサイチューマッピングを使用して識別された要素に対して特定の限界寸法情報を提供するだけでなく、エッチング処理に対して欠陥検出の決定(例えば、所定範囲の外でエッチング処理の範囲内の非均一性)を提供しても良い。
1つの典型的な実施の形態では、インサイチュー測定ツールは、カリフォルニア州サンタクララのApplied Materials(アプライド マテリアルズ)社から利用可能なEyeD(登録商標)計測モジュールであって良い。図1Aに示すように、EyeD(登録商標)チャンバモジュール50は2つの部品から構成されている。その1つは、構造物の膜厚および/または幅を測定する干渉測定組立部品および/または分光測定組立部品である。他方は、チャンバのプラズマ状態をモニタリングする光学電磁放射(OES)モニタ組立部品である。
干渉測定組立部品および/または分光測定組立部品は、例えば、干渉モニタリング技術(例えば、時間領域内の干渉縞のカウント、周波数領域内の位置の測定など)を実施して、基板上に形成されている構造物のエッチング深度プロフィールをリアルタイムで測定するように構成されても良い。ウエハ75から反射された光72が、ビーム形成光学系74によって収集され、信号ケーブル73によって信号が分光計59に送信される。分光計59とプロセッサ60とによってこの信号が分析される。分析結果は、制御装置61を介して反応チャンバを制御する制御命令を生成するために使用される。その組立部品がエッチング処理の終点を制御するために使用される場合には、それは「干渉終点」(IEP)と呼ばれる。広帯域光源58、例えば、水銀ランプ、重水素ランプ、またはキセノンランプからの外部光を利用して、光ファイバケーブル54を介してウエハに光を供給するようにすることもある。そのような光源は、プラズマに加えてまたはプラズマの代わりに、光源として使用されても良い。EyeD(登録商標)による膜厚測定および膜厚制御(または終点)の詳細は、2002年7月2日付けで発行され同一出願人による米国特許第6,413,867号の「Film Thickness Control Using Spectral Interferometry」(スペクトル干渉分光を使った膜厚制御)と、2002年11月1日付けで出願された米国特許出願番号10/286,402の「Interferometric Endpoint Determination In A Substrate Etching Process」(基板のエッチング処理における干渉終点の決定)とに開示されている。また、その組立部品は、構造物の幅を測定するために1つまたはそれより多くの非破壊的な光学測定技術を、例えば、分光法、分散計測法、反射率測定法などを使用して、構造物の幅を測定することができる。図1Bに示すように、ウエハ75の表面および界面から反射された光72が、窓71を通過し、ビーム形成光学系74と信号ケーブル73とによって集められる。信号を分光計59とプロセッサ60(図1A)とによって分析して構造物の幅を決定する。構造物の幅を決定する方法の詳細は以下に記述される。図1Aおよび図1Bの画像は、正確な縮尺率で描かれたものではなく、例示目的で簡略化されている。本発明を最良に理解するために、図3Aおよび図3Bを同時に参照する。
他のEyeD(登録商標)チャンバモジュールは、チャンバのプラズマ状態をモニタリングする光学電磁放射(OES)モニタ組立部品である。OESモニタは、チャンバのマッチングの度合いと、処理のソースおよび/またはシステム欠陥とを決定するために使用可能である。プラズマ76から放たれるOES信号は、信号収集装置55によって集められ、信号ケーブル56によって送信される。その信号が分光計59とプロセッサ60とによって分析される。この分析結果を使って、制御コマンドを生成可能であるとともに制御装置61を介して反応チャンバを制御可能である。その出願の詳細は、2003年7月25日付けで出願された同一出願人による米国特許出願番号10/628,001の「Method For Automatic Determination Of Semiconductor Plasma Chamber Matching And Source Of Fault By Comprehensive Plasma Monitoring」(半導体プラズマチャンバのマッチングと広範囲プラズマのモニタリングによる欠陥ソースとを自動的に決定する方法)に開示されている。
図1のステップ16では、シーケンス10は、そのような基板のバッチから全ての基板が処理されたか否かを問い合わせる。ステップ16の問い合わせが否定的に返答される場合には、シーケンス10はステップ12へ進む。ステップ16の問い合わせが肯定的に返答される場合には、シーケンス10はステップ18へ進む。ステップ18にてシーケンス10は終了する。
1つの例示的なエッチング処理では、フォトレジストのトリミング処理の終点が、トリミング処理中に実行されるインサイチューモニタリングと組合せて、エクスサイチューの限界寸法(CD)測定情報を使用してモニタリングされる。一実施の形態では、インサイチューモニタリングは、トリミング処理の鉛直方向エッチング速度と水平方向エッチング速度との相関関係に関連した測定基準を使用している。他の実施の形態では、インサイチューモニタリングは、基板から反射された放射光のスペクトルの最小部分のスペクトル位置と、パターニングされたマスクの構造物の幅との相関関係に関連した測定基準を使用している。
トリミング処理を容易にするのに適した処理システムには、パターニングされたマスクの構造物の限界寸法(CD)(例えば幅)を測定するための計測モジュールと、エッチング処理(例えばトリミング処理)を実施するためのエッチングリアクタとが含まれる。エッチングリアクタには、エッチング中の構造物に関連した計測(例えば、基板から反射された放射線のスペクトル強度、または、鉛直方向エッチング速度と水平方向エッチング速度との相関関係)をモニタリングするためのインサイチューモジュールが含まれる。
図2Aおよび図2Bは、マスクトリミング処理の終点をモニタリングするための1つの典型的なエッチング処理のフロー図をシーケンス100として示している。シーケンス100には、検査基板および製品基板で実施される一連の処理ステップが含まれている。
図3Aおよび図3Bは、本発明の方法を使用してトリミングされたマスクを備えた基板の概略的な断面図を示す。図3Aおよび図3Bの画像は正確な縮尺率で図示されたものではなく、例示的な目的のために簡略化されている。本発明を最良に理解するために、図2A、図2B、図3A、および図3Bを同時に参照する。
シーケンス100は、ステップ101にて開始し、ステップ102へ進む。ステップ102では、層202とパターニングされたマスク210とが、基板200(例えば、シリコンウエハ)に例示的に形成されている(図3A)。便宜上、ここでは、同一の概略的な断面図と、それぞれの参照符号とが、検査基板または製品基板200のいずれかに関連しても良い。
層202は、パターニングされていない(図示された)またはパターニングされた単一材料膜(例えば、誘電体膜、金属膜、または、集積回路で使用される他の膜)または多層膜積層体を備えても良い。後続のエッチング処理中に、パターニングされたマスク210をエッチングマスクとして使って層202がエッチングされても良い。層202は、任意の従来の薄膜形成技術、例えば、原子層蒸着(ALD)、物理的蒸着(PVD)、化学的蒸着(CVD)、プラズマ強化CVD(PECVD)などを使用して形成可能であり、その技術は、例えばCENTURA(登録商標)またはENDURA(登録商標)のそれぞれの処理リアクタ、または、カリフォルニア州サンタクララのApplied Materials Inc.(アプライド マテリアルズ社)から利用可能な他の処理システムを使用して実施される。
パターニングされたマスク210は、一般に、フォトレジストマスクの形態、αカーボン(すなわち、アモルファスカーボン)マスク、ハードマスク、および、Advanced Patterning Film(登録商標)(APF)などであっても良く、Advanced Patterning Film(登録商標)は、カリフォルニア州サンタクララのApplied Materials(アプライド マテリアルズ)社から利用可能なものである。1つの典型的な実施の形態では、パターニングされたマスク210はフォトレジストマスクである。例示的に、図3Aを参照すると、パターニングされたマスク210は高さ214を有し、それには幅206,218を備えた構造物220,230(例えば線、壁、コラムなど)がそれぞれ含まれる。幅206は、幅218より狭く、または、パターニングされたマスク210の他の構造物の幅よりも狭い。最小幅(すなわち、限界寸法またはCD)を有する構造物220は、一般に、パターニングされたマスクで製造する最も困難な構造物である。
フォトレジストマスク210は、形成されるデバイスの外観のパターンがフォトレジストの層に光学的に移動されたリソグラフィー処理を使用して製造されても良い。その後、フォトレジストが現像され、フォトレジストの露出していない部分が除去され、残りのフォトレジスト部分が、パターニングされたマスク210を形成する。パターニングされたマスク210がフォトレジストマスクである場合には、層202は任意の反射防止膜(ARC)をさらに備えても良い。パターニングされたマスクの構造物のサイズが減少するにつれ、パターン転送処理における不正確性が、光反射のようなリソグラフィー処理に固有の光学限界から生じることもある。ARCが、フォトレジストを露光するのに使われた光の反射を制御することにより、リソグラフィー処理の解像度を強化する。1つの典型的な実施の形態では、リソグラフィー処理が、約80nm〜約120nmの最小幅206を有する構造物220を形成する。パターニングされたマスク210を形成するプロセスは、例えば、同一出願人による2002年8月12日付けで出願された米国特許出願号10/218,244に記載されており、その出願は参照として本願明細書に組み込まれている。
ステップ104では、基板200が検査され、パターニングされたマスク210の基板に対して形状寸法が測定される。一実施の形態では、測定される寸法は、限界寸法、つまり、パターニングされたマスク210の高さ214だけでなく構造物220の幅206を有している。一般に、幅206と高さ214とは、1バッチの検査基板における各検査基板200上で測定される。そのような測定は、エッチングリアクタに対してエクスサイチュー測定ツールを用いて実施されても良い。1つの典型的な実施の形態では、そのような光学測定ツールは、カリフォルニア州サンタクララのApplied Materials(アプライド マテリアルズ)社から利用可能なCENTURA(登録商標)処理システムのTRANSFORMA(商標登録)計測モジュールである。TRANSFORMA(登録商標)計測モジュールは、1つまたはそれより多くの非破壊的な光学測定技術、例えば分光法、干渉分光法、分散計測法、反射率測定法、偏光解析法などを使用しても良い。
測定パラメータには、パターニングまたはブランケット誘電体膜と導電膜との何れかの膜厚だけでなく、基板上に製造された構造物の寸法寸法およびプロフィールが含まれる。一般に、構造物220の限界寸法の測定は、基板200の複数の領域、たとえば、統計的に顕著な数の領域(例えば5〜9以上の領域)において実施され、その後に、その基板に対して平均される。
リソグラフィー処理の光学的限界により、フォトレジストがパターニングされたマスク210は一般に下層、例えば、層202でエッチングされる外観を拡大した画像である構造物(例えば、構造物220,230など)である。そのようなものとして、リソグラフィー処理の後に、フォトレジストマスク210をトリミングして、マスクがエッチングマスクとして使用される前に、そのような構造物の幅を減少するべきである。
ステップ105では、異常値フィルタを高さおよび幅の値を任意に適用して、異常値であるこれらのデータポイントがデータセットから除去されるようにしてもよい。そのような異常値は、粗悪な局所的なウエハの表面状態または欠陥によってもたらされる。除去されない場合には、異常値により基板処理パラメータが不正確に確立されてしまう可能性がある。
ステップ106において、パターニングされたマスク210をトリミングして、構造物220,230の幅を所定の値まで減少する。図3Bで例示的に示すように、ステップ106では、構造物220が所定の幅216までトリミングされる。これと同時に、ステップ106では、幅206と同じ比率でパターニングされたマスク210の他の構造物の幅(例えば、構造物230の幅218)が減少される。トリミング処理は一般に等方性エッチング処理(例えば、等方性プラズマエッチング処理)であり、この等方性エッチング処理は、高い側方エッチング速度と、下層202の材料上でパターニングされたマスク210(例えばフォトレジスト)の材料に対しての高い選択性とを有する。典型的に、トリミング処理を使用することで、パターニングされたマスク210(すなわち、構造物220,230など)の外観の幅が約35〜80%の範囲内で減少されても良い。パターニングされたマスク210の幅と高さを知ることにより、この方法は、等方性エッチングが実行される「トリミング時間」を確立する。「トリミング時間」の値によって、将来発生するトリミングの量が確立される。
ステップ106は、エッチングリアクタを使って、例えばCENTURA(登録商標)システムのDecoupled Plasma Source(DPS II)(分断されたプラズマ源)モジュールを使って実施されても良い。そのDPS IIモジュール(図10を参照して以下説明)は、誘導源(すなわちアンテナ)を使用して高密度のプラズマを生成する。
1つの典型的な実施の形態では、フォトレジストマスク210は、流速2〜200sccmで臭化水素(HBr)、流速5〜100sccm(例えば、HBr:O2の流速が1:10〜10:1の範囲内)で酸素(O2)、および、流速10〜200sccmでアルゴン(Ar)をそれぞれ提供し、約200〜1000Wで誘導結合したアンテナに電力を供給し、0〜300Wで陰極バイアス電力を付加し、2〜30mTorrの処理チャンバ内の圧力でウエハのペデスタル温度をセ氏0〜80温度に維持することにより、DPS IIモジュールを使用して、フォトレジストマスク210がトリミングされる。1つの実例となる処理は、流速60sccmで臭化水素(HBr)、流速28sccmで酸素(O2)(すなわち、HBr:O2の流速は約2:1)、流速60sccmでアルゴンをそれぞれ提供し、誘導結合したアンテナに電力の600Wと陰極バイアス電力の100Wとを付加し、4mTorrの圧力でウエハのペデスタル温度をセ氏50度に維持する。
一実施の形態では、エッチングリアクタは、さらに、エッチング処理された基板上の構造物の高さ(例えば、構造物220の高さ214)に対応する測定規準をリアルタイムで測定するように構成されたインサイチュー測定ツールを備えている。トリミング処理中に、インサイチュー測定ツールは、紫外線および遠紫外線の範囲内の波長、例えば約200〜800nmの波長を有する放射線(つまり入射放射線)を作り出す広帯域放射源を使用して、基板200を照射する。一般に、そのような放射線は基板200に対してほぼ垂直に向けられ、約1mm未満の形状寸法を有する領域を照射する。さらに詳細には、インサイチュー測定ツールは、幅206のような限界寸法を有する構造物(例えば構造物220)によって占められる基板200の領域を照射する。
測定正確度(測定精度)を増大するために、放射線は任意に偏光されても良いだけでなく、入射放射線の強度は任意に変調されても良いし、および/またはパルスにされても良い。変調の入射放射線の周波数は約10Hzである。チョッパーを使って入射放射線はパルスにされても良い。一実施の形態では、構造物220の側壁に対してほぼ直交する方向(矢印209で示す)へ直線的に偏光された入射放射線を用いて結果が得られる。一般に、インサイチュー測定ツールは、干渉計および/または分光計の測定を実施するように構成されても良い(図10を参照して以下説明)。1つの典型的な実施の形態では、そのようなインサイチュー測定ツールは、カリフォルニア州サンタクララのApplied Materials(アプライド マテリアルズ)社から利用可能なEyeD(登録商標)である。
入射放射線(光線R1)は、構造物220を照射するためにインサイチュー測定ツールにより放射された時に、一部分で表面221から反射されて戻り(光線R3)、一部分で構造物220内へ伝播される(光線R5)。さらに、光線R5は、一部分で構造物の下の表面205を通って層202内に伝播し(光線R6)当該層内に吸収され、一部分で構造物220の材料内に捕捉され(光線R7)吸収され(例えばフォトレジスト)、一部分で反射されて戻る(光線R4)。これに対して、構造物220の付近の領域203を照射する入射放射線(光線R2)が、一部分で層202内に伝播されても良いし(光線R8)、当該層において一部分が層202から反射されて戻った状態で(光線R9)吸収される。
シーケンス100は、パターニングされたマスク210の構造物220の高さを測定するために、干渉計による測定技術(例えば、時間領域内の干渉縞のカウント、周波数領域内の縞の位置の測定など)を実施するように構成されたインサイチュー測定ツールを使用する。1つの特定の実施の形態では、インサイチュー測定ツールは、トリミング処理中に光線R9,R4,R3によって形成された干渉パターンを使用して測定し、構造物の事前に選択した高さ(例えば、開始高さ214)に対して構造物220の高さ215の変化を決定する。また、これらの測定では、入射放射線の一部分は規準放射として使用されても良い。一実施の形態では、干渉計による測定は、放射線のスペクトルのうち少なくとも1つの波長を用いて実施される。
1つの典型的な実施の形態では、インサイチュー測定ツールは、トリミング処理が進行するにつれ、パターニングされたマスク210の構造物220の中間高さ(つまり、高さ215)をリアルタイムで周期的に測定する(例えば、100ミリ秒毎に行われる測定)。トリミング処理および測定は、中間幅207が所定の幅216と等しくまたはそれよりも短くなるまで続く。高さ215と幅207を測定する際に正確度を高めるために、これらの測定は、数個の検査基板200を使用して繰り返されても良いし、その後に、統計的に処理されても良い(例えば平均される)。
ステップ108では、トリミング処理の鉛直方向エッチング速度と水平方向エッチング速度との相関関係が定義される。そのような相関関係は、ステップ106中に実施された測定の結果を用いて定義される。トリミング処理中に水平方向トリム(つまりエッチング)速度は鉛直方向トリム速度と比例することが発見されている。そのようなものとして、トリミング処理の方法は、水平方向エッチング速度と鉛直方向エッチング速度との間で関連される一定の釣合によって特徴付けられても良い。ここでは、「水平方向トリム速度」および「鉛直方向トリム速度」という用語は、トリミング処理中に、パターニングされたマスク210の構造物を側方エッチングする速度、および、構造物の高さを減少させる速度にそれぞれ関連する。
図3Bを参照すると、水平方向トリム速度は、特に、幅206を減少させる速度に関連し、鉛直方向トリム速度は、構造物220の高さ214を減少させる速度に関連する。トリミング処理中に、トリミングされパターニングされたマスク210の構造物220の鉛直方向寸法(すなわち、高さ215)に対してインサイチュー測定の結果を用いて、そのような構造物の幅(すなわち、幅207)が計算されても良い。シーケンス100は、トリミング処理における終点の閉鎖ループ制御のために、前にトリミングされた高さ214と開始幅206とを有する構造物220において算出された対象高さ224と所定の幅216との相関関係を使用する。そのようなものとして、構造物220は、所定の幅216まで制御可能にトリミングされても良い。
ステップ110では、パターニングされたマスク210(例えば、フォトレジストマスク)を備えた一バッチの製品基板が準備される。トリミング処理の前に、構造物220の幅206および高さ214が製品基板毎に測定される。1つの典型的な実施の形態では、これらの測定は、検査基板に関してステップ104で上述されたものと同じ測定ツール(例えば、TRANSFORMA(登録商標)計測モジュール)と同じ計測方法とを使用して実施される。
ステップ112では、構造物220の対象高さ224が、トリミングされる構造物の開始幅206(ステップ110で測定)と、トリミング処理の鉛直方向トリム速度と、トリミングされた構造物の所定の(対象)幅216との間で定義された相関関係を使用して、製品基板毎に計算される。
ステップ114では、製品基板のパターニングされたマスク210は、マスク210の構造物の高さをリアルタイムで測定するようにされたインサイチュー測定ツールを備えたエッチングリアクタを使用してトリミングされる。トリミング処理中に、インサイチュー測定ツールが、トリミングされパターニングされたマスク210(例えば、フォトレジストマスク)の構造物の中間高さ(すなわち、高さ215)をリアルタイムで測定し、これらの測定結果を、エッチングリアクタのプロセッサ(または制御装置)に伝える。1つの典型的な実施の形態では、トリミング処理は、ステップ106に関して上述されたインサイチュー測定ツールを備えたDPS IIモジュールを使用して実施される。
ステップ116では、シーケンス100は、上述のステップ112で算出された対象高さ224まで構造物220の高さ(すなわち、中間高さ215)がトリミングされたか否かを問い合わせる。ステップ116の問い合わせが否定的に返答された場合には、シーケンス100はステップ114へ進み、トリミング処理と構造物220の中間高さ215におけるリアルタイムでのインサイチュー測定とを続けるようにする。ステップ116の問い合わせが肯定的に返答された場合には、シーケンス100は選択的なステップ118へ進む。
ステップ118において、トリミングされパターニングされたマスク210をエッチングマスクとして使用して、層202がインサイチューでエッチングされても良い。ステップ120で、シーケンス100は、そのような基板のバッチから全ての製品基板が処理されたか否かを問い合わせる。ステップ120の問い合わせが否定的に返答された場合、シーケンス100はステップ110へ進む。ステップ120の問い合わせが肯定的に返答された場合には、シーケンス100はステップ122へ進む。ステップ122でシーケンス100が終了する。
図4は、ステップ106の間に検査基板200で実施された測定の典型的な結果を表すグラフ300を示す。さらに詳細には、グラフ300は、トリミング処理中の構造物の高さ(x軸304)に対して、パターニングされたマスク210の構造物(例えば、構造物220)の幅(y軸302)を示している。グラフ300では、角度314は、水平方向トリム速度と鉛直方向トリム速度との間の比率に対応している。測定値308,306は、トリミングされる構造物220の幅206と高さ214とにそれぞれ関連する。したがって、測定値312,310は、トリミングされた構造物220の所定の幅216と対象高さ224とにそれぞれ対応する。ステップ108では、グラフ300(簡略化のために線形グラフで示す)を使用して、対象高さ224が、矢印316を使って示されるように計算されても良い。
図5Aおよび図5Bは、本発明によるマスクのトリミング処理の終点を検出する方法の他の実施の形態のフロー図をシーケンス400として示す。シーケンス400には、当該方法を達成するために検査基板と製品基板とで実施される一連の処理ステップが含まれている。図2A、図2B、図5A、および図5Bでは、同一の参照符号を使って類似の処理ステップを示す。本発明を最良に理解するために、図5A、図5B、図3A、および図3Bを同時に参照する。
シーケンス400はステップ401から始まり、ステップ102を実施し、ステップ402へ進む。ステップ402では、検査基板200が、シーケンス100のステップ104に関して上述されたインサイチュー光学測定ツールを備えたエッチングリアクタ(例えば、CENTURA(登録商標)システムのDPS IIモジュール)へ移送される。シーケンス400は、インサイチュー測定ツールを使用して、分光計による測定を実施する。ステップ402は、例えば、ステップ106に関して上述されたトリミング処理を使用して、パターニングされたマスク210をトリミングするだけでなく、同時に、基板200から反射された放射線の一部分におけるスペクトル強度の測定を実施する。ここでは、「スペクトル強度」という用語は、放射線のスペクトル中における放射線エネルギーの分布を記述するために使用されている。
一実施の形態では、インサイチュー測定ツールは、構造物220によって占められる基板200上の領域から反射された放射線の一部分を使用して、これらの測定を実施する。トリミング処理は、トリミングされている構造物220の中間幅207を測定するために、周期的に(例えば、100ミリ秒毎に)終了される。これらの測定は、例えば、シーケンス100のステップ104に関して上述されたEyeD(登録商標)計測モジュールを使用して、インサイチューで実施されても良い。
基板221,205と領域203とから反射されて戻った放射線(つまり、光線R3,R4,R9)のスペクトル強度は、トリミング処理中に著しく変化しない。しかしながら、構造物220は、構造物の中間幅207と一致する波長を有する放射線(つまり、光線R7)を選択的に捕捉しそれを吸収する。さらに詳細には、幅Dを有し屈折率Nの材料から形成された構造物220が、λD=NxDに比例する波長λMINを有する放射線を選択的に捕捉しそれを吸収する。構造物220内で波長λMINを有する放射線を捕捉することは、構造物の範囲内の共鳴現象と、放射線の全ての内部反射とに関連していると考えられている。
パターニングされたマスク210における照射された構造物(例えば、構造物220)の幅を測定するために、シーケンス400は、分光測定技術(すなわち、波長に対して放射線の強度の測定)を実行するように調整されたインサイチュー測定ツールを使用する。1つの特定な実施の形態では、トリミング処理中に、インサイチュー測定ツールが、事前に選択された規準波長に対して基板200から反射された放射線のスペクトルにおける最小のスペクトル位置λMINを規定する。
入射放射線の一部分(光線R7)を選択的に捕捉した結果として、構造物220によって占められる領域から反射された放射線(光線R3,R4,R9)が、波長λMINにて低いスペクトル強度(すなわち、そのように反射された放射線のスペクトルにおける最小値)を有する。トリミング処理が進行し構造物220の幅Dが減少するにつれ、これまでよりも短い波長が構造物220の中で捕捉され構造物220によって吸収される。そのようなものとして、トリミング処理中に、反射された放射線のスペクトルにおける最小値のスペクトル位置(すなわち波長λMIN)が、より短い波長の方向へ変わる。
一実施の形態では、トリミング処理中に、インサイチュー測定ツールが、反射された放射線のスペクトル中における最小値λMINのスペクトル位置をリアルタイムで測定するだけでなく、構造物220の中間幅207をリアルタイムで測定する。トリミング処理とインサイチュー測定とは、中間幅207が、所定の(対象)幅216と等しくなるまでまたはそれより小さくなるまで続く。幅207を測定する正確性を増大させるために、幾つかの検査基板200を使用してこれらの測定が繰り返されても良いし、その後に、統計的に処理されても良い(例えば、平均される)。
ステップ404では、ステップ402中で実施された測定の結果を用いて、反射された放射線のスペクトル中における最小値λMINのスペクトル位置と、構造物220の中間幅207との相関関係が定義される。そのような相関関係によって、開始幅206がリアルタイムで計算可能になるだけでなく、トリミング処理中に実施された最小値λMINのスペクトル位置におけるインサイチュー測定の結果を用いて構造物220の対象幅216が検出可能になる。
ステップ406では、反射された放射線のスペクトルのうち最小値λMINのスペクトル位置をリアルタイムで測定するように適合されたインサイチュー測定ツールを備えるエッチングリアクタを使用して、一バッチのそのような基板のうち製品基板のパターニングされたマスク210がトリミングされる。1つの典型的な実施の形態では、ステップ406は、ステップ402に関して上述されたインサイチュー測定ツールを備えたDPS IIモジュールを使用する。このインサイチュー測定ツールは、トリミングされパターニングされたマスク210(例えば、フォトレジストマスク)の構造物220によって占められる領域を製品基板上で照射するように調整されている。トリミング処理中に、インサイチュー測定ツールが、最小値λMINのスペクトル位置をリアルタイムで測定し、これらの測定の結果をエッチングリアクタのプロセッサ(または制御装置)に伝える。
ステップ408では、シーケンス400は、構造物220の幅(すなわち、中間幅207)が、上述のステップ404で算出された対象幅216までトリミングされたか否かを問い合わせる。ステップ408の問い合わせが否定的な返答である場合には、シーケンス400は、ステップ406へ進み、トリミングされている構造物220の中間幅207のトリミング処理と、リアルタイムでインサイチュー測定とを続けるようにする。ステップ408の問い合わせが肯定的に返答された場合には、シーケンス400は選択的なステップ118へ進む。
ステップ118では、層202は、トリミングされパターニングされたマスク210をエッチングマスクとして使用して、インサイチューでエッチングされても良い。ステップ120では、シーケンス400は、一バッチのそのような基板から全ての製品基板が処理されたか否かを問い合わせる。ステップ120の問い合わせが否定的に返答された場合には、シーケンス400はステップ406へ進む。ステップ120の問い合わせが肯定的に返答された場合には、シーケンス400はステップ122へ進む。ステップ122においてシーケンス400が終了する。
図6Aおよび図6Bは、トリミング処理中に基板200から反射された放射線のスペクトル強度における変化の一例を表す一連のグラフを示している。第1のグラフ510は、波長(x軸504)に対して入射放射線の強度(y軸502)を示す。第2のグラフ520は、トリミング処理中において、波長(x軸514)に対して構造物220により占められた基板200の領域から反射された放射線の強度(y軸522)の変化を示す。図6Bを参照すると、トリミング処理の開始前にモーメントT1で、反射された放射線のスペクトル強度は、構造物220の開始幅206に対応するスペクトル位置(すなわち、波長λMIN)511を有する最小値518を含む。トリミング処理が続くにつれ(破線で示す)、モーメントT2で、構造物220の幅が中間幅207まで減少し、最小値518のスペクトル位置が、波長51よりも短い波長513へ変化する。モーメントT3で、構造物220が対象幅516までトリミングされる時に、最小値518のスペクトル位置が波長515まで減少する。
図7は、ステップ402の間において、検査基板200で実施された測定の典型的な結果を表すグラフ600を示す。さらに詳細には、グラフ600は、そのような構造物によって占められる基板200の領域から反射された放射線のスペクトルのうち最小値のスペクトル位置(すなわち、波長λMIN)(x軸604)に対して、パターニングされたマスク210の構造物の幅(y軸602)を示している。グラフ600では、測定値608,606は、波長511と構造物220の開始幅206とに関連しており、測定値612、610は、波長515と構造物220の対象幅216とにそれぞれ対応している(波長511,波長515は図5Bに関して上述されている)。ステップ408では、グラフ600(簡略化のため線形グラフで示す)を用いて、対象幅216が、矢印616を使って示されるように計算されても良い。
図8Aおよび図8Bは、材料層内でエッチングされている外観をモニタリングするための1つの典型的なエッチング処理のフロー図をシーケンス650として示している。シーケンス650には、検査基板と製品基板とに実施されることがある一連の処理ステップが含まれている。
図9Aおよび図9Bは、本発明の方法を用いて材料層内でエッチングされている外観を有する基板の概略的な断面図を示す。図9Aおよび図9Bの画像は、正確な縮尺率で描かれているものではなく、例示的な目的のために簡略化されている。本発明を最良に理解するために、図8A、図8B、図9A、および図9Bを同時に参照する。
シーケンス650は、ステップ651で開始し、ステップ652へ進む。ステップ652では、層202と、パターニングされたマスク210とが、基板200(例えば、シリコンウエハ)上に例示的に形成される(図9A)。便宜上、ここでは、同一の概略的な断面図と、それぞれの参照符号とが、検査基板または製品基板200に関連しても良い。
層202は、パターニングされていない(図示)またはパターニングされた単一の材料膜(例えば、誘電体膜、金属膜、または、集積回路で使用される他の膜)または多層膜積層体を有しても良い。次のエッチング処理中に、パターニングされたマスク210をエッチングマスクとして使用して、層202がエッチングされても良い。例えば、カリフォルニア州サンタクララのApplied Materials(アプライド マテリアルズ)社から利用可能なCENTURA(登録商標)、ENDURA(登録商標)、または、他の処理システムを使用して実施される従来の薄膜形成技術を用いて、例えば、原子層蒸着(ALD)、物理的蒸着(PVD)、化学的蒸着(CVD)、およびプラズマ強化CVD(PECVD)などを用いて、層202は形成可能である。
一般に、パターニングされたマスク210は、フォトレジストマスク、αカーボン(すなわち、アモルファスカーボン)マスク、ハードマスク、および、カリフォルニア州サンタクララのApplied Materials(アプライド マテリアルズ)社から利用可能なAdvanced Patterning Film(登録商標)(APF)などの形態であってよい。1つの典型的な実施の形態では、パターニングされたマスク210はフォトレジストマスクである。例示的に図9Aを参照すると、パターニングされたマスク210は、そこで規定された幅206の構造物250を画定する開口を有している。
フォトレジストマスク210は、形成されるデバイスの外観のパターンがフォトレジストの層内に選択的に移動されるリソグラフィー処理を使用して製造されても良い。その後、フォトレジストが現像され、フォトレジストの露出されていない部分が除去され、残ったフォトレジストが、パターニングされたマスク210を形成する。パターニングされたマスク210がフォトレジストマスクである時には、層202はさらに任意の反射防止膜(ARC)を備えても良い。パターニングされたマスクの構造物のサイズが減少されるのにつれ、光反射のようなリソグラフィー処理に固有の光学限界からパターン転送処理の不正確性が生じることもある。フォトレジストを露出するために使用された光の反射を制御することにより、ARCがリソグラフィー処理の解像度を高める。1つの典型的な実施の形態では、リソグラフィー処理は、約80nm〜約120nmの最小幅206を有する構造物220を形成する。パターニングされたマスク210を形成するプロセスは、例えば、2002年8月12日付けで出願された同一出願人による米国特許出願番号10/218,244に記載されており、それは参照として本明細書に組み込まれている。
ステップ654では、基板200が検査され、パターニングされたマスク210の構造物の形状寸法が測定される。一実施の形態において、測定寸法には、限界寸法、すなわち、パターニングされたマスク210の構造物250の幅206だけでなく、エッチングされている層202の膜厚が含まれる。一般に、幅206は、一バッチの検査基板200のうちそれぞれの検査基板200で測定される。そのような測定は、エッチング処理に対してエクスサイチュー測定ツールを使用して実施されても良い。一実施の形態では、そのような光学測定ツールは、カリフォルニア州サンタクララのApplied Materials(アプライド マテリアルズ)社から利用可能なCENTURA(登録商標)処理システムのTRANSFORMA(登録商標)計測モジュールである。TRANSFORMA(登録商標)計測モジュールは、1つまたはそれより多くの非破壊的な光学測定技術、例えば分光法、干渉測定法、散乱測定法、反射率測定法、偏光解析法などを使用しても良い。測定されたパラメータには、基板に製造された構造物の形状寸法およびプロフィールだけでなく、パターニングまたはブランケットの誘電体膜および導電膜のいずれかの厚さが含まれる。構造物250の限界寸法の測定は、一般に、基板200の複数の領域、例えば、統計的に著しい数の領域(例えば5〜9以上の領域)の中で実施され、その後に、そのような基板に対して平均される。
ステップ656では、材料層が所定の深さまでエッチングされる。図9Bに例示的に示すように、ステップ656は材料層202を所定の深さ275までエッチングする。一般に、エッチング処理は、高い鉛直方向エッチング速度と、マスク210の材料に渡って材料層202(例えば、シリコン酸化物)の材料に対して高い選択性とを有する異方性エッチング処理(例えば、異方性プラズマエッチング処理)である。
ステップ656は、エッチングリアクタを使用して、例えばCENTURA(登録商標)システムにおける分断されたプラズマ源(DPS II)モジュールを使用して実施されても良い。DPS IIモジュール(図10を参照して以下説明)は、誘導源(すなわちアンテナ)を使用して高密度プラズマを生成する。
一実施の形態では、流速2〜200sccmで四フッ化炭素(CF4)と流速10〜200sccmでアルゴン(Ar)とを準備すること、約200〜1000Wの電力を誘電結合されたアンテナに供給(印加)すること、0〜300Wの陰極バイアス電力を付加すること、および、処理チャンバ内の2〜30mTorrの圧力でウエハのペデスタル温度をセ氏0〜80度に維持することによりDPS IIモジュールを使用して、二酸化シリコンからなる材料層202がエッチングされる。1つの例示的なプロセスは、流速60sccmで四フッ化炭素(CF4)と流速60sccmでアルゴン(Ar)とを準備し、誘導結合されたアンテナに電力の600Wを付加し、陰極バイアス電力の100Wを付加し、4mTorrの圧力でウエハのペデスタル温度をセ氏50度に維持する。
1つの実施の形態では、エッチングリアクタは、さらに、エッチングされている材料層のエッチング深度(例えば、エッチング深度275)に対応する測定規準をリアルタイムで測定するように構成されたインサイチュー測定ツールを備えている。エッチング処理中に、インサイチュー測定ツールは、紫外線および遠紫外線の波長、例えば約200〜800nmを有する放射線(つまり入射放射線)を作り出すために広帯域放射線源を使って、基板200を照射できる。一般に、そのような放射線は基板200に対してほぼ鉛直に向けられ、約1mm未満の形状寸法を有する領域を照射する。さらに詳細には、インサイチュー測定ツールは、幅206のような限界寸法を有する構造物(例えば構造物250)によって占められた基板200の領域を照射する。EyeD(登録商標)による膜厚測定および制御(または終点)についての詳細は、2002年7月2日付けで出願され同一出願による米国特許出願番号6,413,867の「Film Thickness Control Using Spectral Interferometry」と、2002年11月1日付けで出願された米国特許出願番号10/286,402の「Interferometric Endpoint Determination In A Substrate Etching Process」とに開示されている。
上述の文献で説明されているように、エッチング深度とエッチング処理の終点とは、ウエハ(または基板)の表面からの反射光線の建設的または破壊的な干渉縞(強度最大または強度最小)をカウントすることで決定可能である。1つの典型的な干渉縞のトレンド信号グラフが図9Cで示される。ピーク301からピーク302まで(または底部から底部まで)の期間t1はピーク302からピーク303までの期間t2と等しい。t1(またはt2)中にエッチングされた材料の厚さはλ/2と等しい(λは、モニタリングされた波長)。等しい期間は、安定したエッチング速度を示し、また、ピーク301,302,303における一定のピーク強度I1は一定の信号強度を示す。その後、強度対時間グラフを周波数対時間グラフに変換するために離散フーリエ変換(DFT)を使用して、図9Cに示す時間T3からT4の信号が処理される。強度対時間グラフが正弦波形である場合には、変換された強度対周波数グラフは、図9Dに示すように、f1で鉛直線C1になる。図9Cの強度グラフが正弦波形でない場合には、変換されたグラフは、図9Dの曲線C2のようになり、その曲線のピークがf1になる。f1はt1の逆数であり(1/t1)、それは、モニタリングされた波長の半分のエッチング時間である(λ/2)。強度対時間曲線は幅広対時間であるので、T3およびT4を決定するためにピーク301,302の場所を正確に決定することは容易でない。T3およびT4を正確に決定できない場合には、t1(エッチング時間)の値を正確に計算できない。それに対し、離散フーリエ変換された強度対時間の曲線はより狭く、t1の逆数であるf1(1/t1)を正確に決定するためにグラフのはるかに正確なピーク308を生み出すことができる。期間t1が期間t2と等しいので、時間T3から(T4よりも上の)T5までに選択される時間分はやはり1つのピーク周波数f1しか生じない。
しかしながら、多くの場合、干渉のトレンド信号グラフは、図9Cで示されるものほど理想的にならない。干渉縞強度対時間の非理想的なグラフの1例が図9Eで示される。ピーク304からピーク305までの期間t7は、ピーク305からピーク306までの期間t8よりも長い。減少する期間は、おらくエッチング負荷効果により、エッチ速度の増加を表す。また、ピーク304,305,306,307のピーク強度I3,I4,I5,I6はエッチング時間と共に減少し、それは、反射された信号が時間と共に減少することを示す。コンタクト、ビア、およびトレンチのエッチングの間では、減少する信号に伴って時間と共にエッチング速度の増加が観察される。図9Fは、時間T10と時間T11との間で図9EのグラフにおけるDFT処理した部分を示している。T10とT11の間の期間t6が全ての強度サイクル(ピーク・トゥ・ピーク)よりも短いので、図9Dに示すように、DFTは周波数の最大値をもたらすことがなかった。DFTが時間T10と時間T12との間での信号に実施される場合には、結果として生じる強度対周波数グラフは、期間t7の正確な測定を提供する最大値f2(1/t7)をもたらす。しかしながら、DFTが時間T10と時間T13との間での信号に実施された場合には、結果として生じる強度対周波数グラフは特異な最大値をもたらさないが、図9Hに示すようなデュアルピークと双対曲線との組合せた結果をもたらす。そのため、DFTを実施する適切な時間窓を選択することが重要である。図9Eに示す強度曲線に対して、DFTを実施してエッチング時間を正確に決定する適合可能な時間窓のサイズを使用することが重要となる。エッチング速度の増大を伴うプロセスに対して、DFT用の時間窓が時間と共に減少することを必要とする。同様に、エッチング速度の減少を伴うプロセスに対して、DFT用の時間窓が時間と共に増加することを必要とする。
1つの典型的な実施の形態では、インサイチュー測定ツールが、エッチング処理中に材料層202内でエッチングされている構造物250の中間エッチング深度(つまり、エッチング深度265)を周期的にリアルタイムで測定する(例えば、100ミリ秒毎の測定)。エッチング処理および測定は、中間深度が所定の深度275に等しくなるまで続く。
ステップ658では、エッチング処理の鉛直方向エッチング速度が定義される。そのようなエッチング速度は、ステップ656の間に実施された測定の結果を用いて定義される。エッチング深度とエッチング速度とは、ある時系列における特定の波長(λ)の反射縞を追跡することにより測定されても良い。例えば、反射パターンが、ある波サイクルに達する時に、エッチング深度は波長(λ/2)の半分に等しい。エッチング速度は、継続期間によりエッチング深度を分割することによって計算可能である。
ステップ660では、パターニングされたマスク210(例えば、フォトレジストマスク)を有する一バッチの製品基板が準備される。エッチング処理の前に、幅206と層202の厚さとが製品基板で測定される。1つの典型的な実施の形態では、これらの測定は、検査基板に関してステップ654で上述されたものと同一の測定ツール(例えば、TRANSFORMA(登録商標)計測モジュール)および同一の計測方法を用いて実施される。
ステップ662では、エッチングされる構造物の開始幅206(ステップ660で測定)と、エッチング処理における鉛直方向エッチング速度と、エッチングされた構造物の所定の(対象)エッチング深度275との間で規定された相関関係(ステップ108)を用いて、構造物250の対象エッチング速度が各製品基板に対して測定される。
ステップ664では、構造物250のエッチング深度プロフィールをリアルタイムで測定するように適合されたインサイチュー測定ツールを備えたエッチングリアクタを用いて、構造物250は、製品基板の材料層202の中に形成される。エッチング処理の間に、インサイチュー測定ツールが、エッチングされている構造物250の中間深度(つまり、高さ275)をリアルタイムで測定し、これらの測定結果をエッチングリアクタのプロセッサ(または制御装置)に伝える。1つの典型的な実施の形態では、ステップ656に関して上述されたインサイチュー測定ツールを備えたDPS IIモジュールを使用して、エッチング処理が実施される。
ステップ666では、シーケンス650は、構造物250のエッチング深度(つまり、中間深度265)が、上述のステップ662で算出された対象深度275までエッチングされたか否かを問い合わせる。ステップ666の問い合わせが否定的に返答された場合には、シーケンス650はステップ664へ進み、エッチング処理と構造物250の中間高さ275におけるインサイチュー測定とを続ける。ステップ666の問い合わせが肯定的に返答された場合には、シーケンス650はステップ668へ進む。
ステップ668では、シーケンス650は、一バッチのそのような基板から全ての製品基板が処理されたか否かを問い合わせる。ステップ668への問い合わせが否定的に返答された場合には、シーケンス650はステップ660へ進む。ステップ668の問い合わせが肯定的に返答された場合には、シーケンス650はステップ670へ進む。ステップ670で、シーケンス650は終了する。
図10は、本発明を実施するために例示的に使用されることもある典型的なDecoupled Plasma Source(分断されたプラズマ源)(DPS)IIのエッチングリアクタ1000を示す概略図である。DPS IIリアクタ1000は、カリフォルニア州サンタクララのApplied Materials Inc.(アプライド マテリアルズ社)から利用可能なCENTURA(登録商標)集積半導体ウエハ処理システムの処理モジュールである。ここで示したリアクタ1000の特定な実施の形態は、例示的な目的のために提供されたものであり、本発明の特許範囲を制限するために使用されるべきではない。
一般に、リアクタ1000は、導電性本体(壁)1030内の基板ペデスタル1016と制御装置1040とを有するチャンバ1010を提供されている。
チャンバ1010は、ほぼ平坦な誘電性の天井1020を備えている。チャンバ1010の他の変形は、他のタイプの天井、例えばドーム状の天井を有しても良い。天井1020の上方には、選択的に制御されることもある1つまたはそれより多くのコイル要素(2つの同軸要素1012a,1012bを示す)を備えたアンテナ1012が配置されている。アンテナ1012は、第1の整合回路(matching network)1019を介して、プラズマ電源1018に結合されている。一般に、プラズマ電源1018は、50kHz〜13.56MHzの範囲内の調整可能な周波数で3000Wまで生成可能である。
基板ペデスタル(陰極)1016は、第2の整合回路1024を介して、バイアス電源1022に結合されている。一般に、バイアス電源1022は、連続的またはパルス的な電力を生成できる約13.56MHzの周波数で500Wまでの電源である。他の実施の形態では電源1022は直流電源またはパルス的な直流電源であっても良い。
制御装置1040は、中央処理ユニット(CPU)1044、メモリ1042、および、CPU1044のための支持回路1046からなり、処理チャンバ1010の構成要素と、そのようなものとしてさらに詳細に後述されるようなエッチング処理との制御を容易にする。
エッチャントガスおよびパッシベーションガスが、ガスパネル1038から処理チャンバ1010に供給される。一般に、エッチャントガスは、基板ペデスタル1016に設置された1つまたはそれより多くの注入口1026(図中では2つの注入口)を介して供給される。パッシベーションガスは、ガスパネル1038から、複数の注入口1028(例えば、開口および注入器など)を介して供給される。注入口1028(図中では2つの注入口)は、一般に、基板ペデスタル1016の周囲に、エッチングされている半導体ウエハ1014とほぼ同一面にほぼ等間隔で設置されている。注入口1028の形態および位置は、ウエハ1014の周辺領域(すなわち、端部に近い環状領域)においてパッシベーションガスの制御された高濃度を提供するように選択されている。
エッチャントガスとパッシベーションガスとは、別個のガス管(管1037,1039のそれぞれ)を使用して処理チャンバ1010に送られ、チャンバの反応容積1053の中へ拡散されるまで混合しない。図示した実施の形態では、エッチャントガスは、環状ガス流路1027を用いて注入口1026に供給され、同様に、パッシベーションガスは、環状ガス流路1029を用いて注入口1028に供給される。ガス流路1027,1029は、壁1030に形成されても良いし、または、当該壁1030に接続されるガスリング(図示のとおり)に形成されても良い。処理チャンバ1010内において、エッチャントガスとパッシベーションガスとがガス状混合物1050を形成する。
エッチング処理中に、プラズマ源1018からの電力をアンテナ1012に適用することで、ガス状混合物1050がプラズマ1055内で点火される。
チャンバ1010内のガス圧力が、スロットル弁1063と真空ポンプ1036とによって制御される。壁1030の温度は、壁1030を通る液体含有管(図示せず)を使って制御されても良い。一般に、チャンバの壁1030は、金属(例えば、アルミニウム(Al)、およびステンレス鋼など)から形成され、電気接地1034に接続されている。
作動中、ウエハ1014の温度が、基板ペデスタル1016の温度を安定させることで制御される。一実施の形態では、ガス源1048からのバックサイドガス(例えば、ヘリウム(He))が、ウエハ1014の下のペデスタル表面に形成された流路にガス管1049を介して供給される。バックサイドガスは、ペデスタル1016とウエハ1014との間で熱移動を容易にするために使用される。処理中に、ペデスタル1016は、埋め込まれた抵抗ヒータ1032によって、安定状態の温度まで加熱されても良いし、それから、ヘリウムガスがウエハ1014の均一な加熱を容易にする。そのような熱的制御を使用して、ウエハ1014がセ氏約0〜350度の温度で維持されても良い。
一実施の形態では、基板ペデスタル1016は、静電チャック1060、端部リング1015、抵抗ヒータ1032、ヒートシンク1066、および装着組立部品1006を備えている。静電チャック1060は、少なくとも1つのクランプ電極1080からなり、チャック電源1076によって制御される。抵抗ヒータ1032は、一般に、少なくとも1つの加熱要素1082からなり、ヒータ電源1078によって調整される。他の実施の形態では、基板ペデスタル1016は、サセプタのクランプリング、メカニカルチャック、および、同様の基板保持機構を備えても良い。
作動中、リフト機構1062を使用して、ウエハ1014を基板支持部1016から上昇させ、または、基板を基板支持部上に降下させる。一般に、リフト機構1062は、各々のガイド孔1088を通る複数のリフトピン1072(図中では1本)からなる。また、処理チャンバ1010は、プロセス制御、内部診断、および終点検出などの従来システムからなる。そのようなシステムは支持システム1007として集合的に図示されている。
遠隔プラズマ源を備えるチャンバおよび電子サイクロトロン共鳴(ECR)プラズマチャンバなどを含めて、エッチングチャンバの他の形態が本発明を実施するために使用されても良いことは当業者によって理解される。
処理チャンバ1010の制御を容易にするために、制御装置1040は、様々なチャンバおよびサブプロセッサを制御するために産業的な背景において使用可能な汎用コンピュータプロセッサの形態の1つであっても良い。CPU1044におけるメモリまたはコンピュータ読み取り可能媒体1042は、ランダムアクセスメモリ(RAM)、読み取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、または、局部的または遠隔的なデジタル記憶装置の他の形態などのような1つまたはそれより多くの読み取り可能なメモリであっても良い。支持回路1046は、従来の方法でプロセッサをサポートするために、CPU1044に接続されている。これらの回路には、キャッシュ、電源、クロック回路、入力/出力回路、およびサブシステムなどが含まれる。本発明の方法は、概して、メモリ1042内にソフトウェアルーチンとして記憶される。あるいはまた、そのようなソフトウェアルーチンは、CPU1044によって制御されているハードウェアから遠隔に設置されている第2のCPU(図示せず)によって記憶および/または実行されても良い。
CDと膜厚とを計測する機能を備えたエクスサイチュー測定ツールに一体形成されたエッチングシステムの一例は、Applied Materials(アプライド マテリアルズ)のTRANSFORMA system(トランスフォーマシステム)800(図11)である。Applied MaterialsのTRANSFORMA システムを記述する詳細な情報は、同一出願人による2003年5月1日付けで出願された米国特許出願番号10/428,145の「Method and Apparatus for Controlling Etch Processes During Fabrication of Semiconductor Devices」に開示されている。複数の処理チャンバ802を装着するためのCENTURA(登録商標)処理システムのようなシステムは、チャンバまたは「主フレーム」801、例えば、DPS II(登録商標)シリコンエッチングチャンバのような従来のエッチングリアクタと、「ロードロック」とも呼ばれる1つまたはそれより多くの転送チャンバ803とを備えている。本発明における一実施の形態では、4つのエッチング処理チャンバ802が主フレーム801に装着されている。1つの典型的な実施の形態では、3つのエッチング処理チャンバ802がエッチング用に使用され、1つは後のエッチング洗浄用に(つまり、エッチング後にウエハからフォトレジストポリマーおよび他の残余物を除去するために)選択的に使用される。ロボット804が、処理チャンバ802と転送チャンバ803との間でウエハを移動するために、主フレーム801内に設けられている。転送チャンバ803は、制御された環境を維持する「ミニ環境」としても周知の工場接触面805に接続されている。計測(または測定)ツール806は、ロードロック領域805の中で高速データ捕捉機能および分析機能を伴って集積可能であり、システム800に入る各ウエハの膜厚がエッチング処理の前後で測定可能である。また、測定ツール806は、処理システム800内の異なる場所に配置可能である。本発明の概念が成膜プロセスにも適用されるので、1つまたはそれより多くの処理チャンバ802は成膜チャンバであることがある。
本発明が、好ましい外観を達成するためにパラメータを調整する他のエッチング処理を使って、本発明の趣旨から逸脱せずに本明細書で開示された技術を利用することにより実施されても良いことは当業者によって理解される。
本発明が、好ましい外観を達成するために処理パラメータを調整する他の半導体ウエハ処理システムを使って、本発明の趣旨から逸脱せずに本明細書で開示された技術を利用することにより実施されても良いことは当業者によって理解される。
前述の説明が、パターニングされたマスクのトリミング処理中における終点検出に関連しているが、集積回路で使用されている他のデバイスおよび構造物の製造が本発明によって利益を得ることできる。
前述の説明が本発明の例示的な実施の形態に向けられたが、本発明の他の実施形態およびさらなる実施形態は、本発明の基本的な請求の範囲から逸脱せずになされたものであり、その請求の範囲は請求項により規定される。
本発明によるエッチング処理をモニタリングする方法を示すフロー図である。 干渉的および/または分光的な測定組立部品と、光学的電磁放射(OES)モニタリング組立部品とを備えたインサイチュー測定ツールを示す。 処理チャンバの概略図であって、処理チャンバ内部のウエハから光捕捉装置への反射光の通路を示すものである。 本発明の一実施の形態によるマスクトリミング処理をモニタリングする方法を示すフロー図である。 本発明の一実施の形態によるマスクトリミング処理をモニタリングする方法を示すフロー図である。 トリミングされパターニングされたマスクを有する基板の概略的な断面図である。 トリミングされパターニングされたマスクを有する基板の概略的な断面図である。 マスクトリミング処理の間において鉛直方向エッチング速度と水平方向エッチング速度との相関関係を表すグラフである。 本発明の他の実施の形態によるマスクトリミング処理をモニタリングする方法を示すフロー図である。 本発明の他の実施の形態によるマスクトリミング処理をモニタリングする方法を示すフロー図である。 マスクトリミング処理の間において基板から反射された放射線のスペクトル強度の変化を表す一連のグラフである。 マスクトリミング処理の間において基板から反射された放射線のスペクトル強度の変化を表す一連のグラフである。 反射された放射線のスペクトルにおける最小値のスペクトル位置と、トリミングされパターニングされたマスクの外観の幅との相関関係を示すグラフである。 本発明の他の実施の形態による材料層のエッチング処理をモニタリングする方法を示すフロー図である。 本発明の他の実施の形態による材料層のエッチング処理をモニタリングする方法を示すフロー図である。 エッチングされている材料層を備えた基板における一連の概略的な断面図である。 エッチングされている材料層を備えた基板における一連の概略的な断面図である。 安定した強度の期間における干渉縞の強度対時間を示すグラフである。 干渉縞の強度対周波数を示すグラフである。 減少する強度の期間における干渉縞の強度対周波数を示すグラフである。 図9Eの時間T10から時間T11における干渉縞の強度対周波数を示すグラフである。 図9Eの時間T10から時間T12における干渉縞の強度対周波数を示すグラフである。 図9Eの時間T10から時間T13における干渉縞の強度対周波数を示すグラフである。 本発明の一部分を実施する際に使用されるタイプのインサイチューモニタリングモジュールを含んだ典型的なエッチングリアクタを示す概略図である。 本発明の一部分を実施する際に使用されるタイプの典型的な処理システムを示す概略図である。
符号の説明
50 EyeDチャンバモジュール
51 チャンバ
54 光ファイバケーブル
55 信号収集装置
56 信号ケーブル
58 光源
59 分光計
60 プロセッサ
61 制御装置
71 窓
72 光
73 信号ケーブル
74 ビーム形成光学系
75 ウエハ
76 プラズマ

Claims (49)

  1. エッチング処理をモニタリングする方法であって、
    (a)基板のエッチング前測定を実施してエッチング前測定情報を生成し、
    (b)前記エッチング前測定情報と一緒に前記基板をエッチングリアクタに設け、
    (c)エッチング処理を使って前記エッチングリアクタ内の前記基板をエッチングし、エッチング処理モニタリングと組合せて前記エッチング前測定情報を使用してエッチング処理終点をモニタリングし、
    (d)前記エッチング処理が前記エッチング処理終点に到達したことを識別する前記エッチング処理モニタリングに基づいて、前記エッチング処理を終了することを特徴とするエッチング処理のモニタリング方法。
  2. 請求項1記載の方法において、
    前記エッチング前測定情報の中の異常値を除去するために異常値フィルタを適用することをさらに有することを特徴とするエッチング処理のモニタリング方法。
  3. 請求項1記載の方法において、
    工程(d)の前記エッチング処理モニタリングが、前記エッチング処理の所定のエッチング深度を達成することをさらに有することを特徴とするエッチング処理のモニタリング方法。
  4. 請求項1記載の方法において、
    工程(d)の前記エッチング処理モニタリングが、前記エッチング処理の間に形成された構造物の所定の外観寸法を達成することをさらに有することを特徴とするエッチング処理のモニタリング方法。
  5. 請求項1記載の方法において、
    前記エッチング前測定情報が、材料層の膜厚測定と限界寸法(CD)測定とのうち少なくとも1つからなるようにしていることを特徴とするエッチング処理のモニタリング方法。
  6. 請求項1記載の方法において、
    前記エッチング前測定情報が光学計測を用いて実施されることを特徴とするエッチング処理のモニタリング方法。
  7. 請求項6記載の方法において、
    前記光学計測が、干渉計測、散乱計測、反射率測定、および偏光解析から構成されたグループから選択される1つまたはそれより多くのものからなることを特徴とするエッチング処理のモニタリング方法。
  8. 請求項1記載の方法において、
    前記エッチング処理モニタリングが光学計測を用いて実施されることを特徴とするエッチング処理のモニタリング方法。
  9. 請求項8記載の方法において、
    前記光学計測が、干渉計測、散乱計測、反射率測定、および偏光分析から構成されたグループから選択される1つまたはそれより多くのものからなることを特徴とするエッチング処理のモニタリング方法。
  10. 請求項1記載の方法において、
    前記エッチング処理モニタリングが、鉛直方向エッチング速度と水平方向エッチング速度との相関関係を使用することをさらに有することを特徴とするエッチング処理のモニタリング方法。
  11. 請求項1記載の方法において、
    前記エッチング処理モニタリングが、
    放射線を前記基板に向け、
    前記基板から反射された放射線の一部分を捕捉し、
    干渉計測の測定技術を使用して層の厚さを測定することをさらに有することを特徴とするエッチング処理のモニタリング方法。
  12. 請求項11記載の方法において、
    前記放射線が前記基板に対してほぼ鉛直に向けられることを特徴とするエッチング処理のモニタリング方法。
  13. 請求項11記載の方法において、
    前記基板に向けられた前記放射線のスペクトルが、約200〜800nmの範囲の波長からなることを特徴とするエッチング処理のモニタリング方法。
  14. 請求項11記載の方法において、
    前記放射線の強度が約10Hzの周波数で変調されることを特徴とするエッチング処理のモニタリング方法。
  15. 請求項1記載の方法において、
    前記エッチング処理モニタリングが、
    前記基板に放射線を向け、
    前記基板から反射された放射線の一部分を捕捉し、
    前記基板から反射された前記放射線のスペクトル内における波長の強度を測定することを特徴とするエッチング処理のモニタリング方法。
  16. 請求項15記載の方法において、
    前記エッチング処理モニタリングが、前記スペクトルの最小値におけるスペクトル位置と、前記基板に形成された構造物の幅との相関関係を使用することをさらに有することを特徴とするエッチング処理のモニタリング方法。
  17. 請求項1記載の方法において、
    前記エッチング前測定が、エッチングリアクタを備えた処理システムに結合された計測モジュールと、前記処理システムから除去された計測モジュールとのうちの1つによって提供されることを特徴とするエッチング処理のモニタリング方法。
  18. マスクトリミング処理の終点をモニタリングする方法であって、
    (a)上部にマスクを有する基板のエッチング前測定を実施して、そのようなマスクのエッチング前測定情報を生成し、
    (b)前記エッチング前測定情報と一緒に前記基板をエッチングリアクタに提供し、
    (c)エッチング処理を用いて前記マスクをトリミングし、エッチング処理モニタリングと組合せて前記エッチング前測定情報を使用してトリミング処理をモニタリングし、
    (d)前記マスクが所定の寸法までトリミングされたことを前記エッチング処理モニタリングが示す時に、前記トリミング処理を終了することを特徴とするマスクトリミング処理終点のモニタリング方法。
  19. 請求項18記載の方法において、
    前記エッチング前測定情報の中の異常値を除去するために、異常値フィルタを適用することをさらに有することを特徴とするマスクトリミング処理終点のモニタリング方法。
  20. 請求項18記載の方法において、
    前記マスクがフォトレジストのパターニングされたマスクであることを特徴とするマスクトリミング処理終点のモニタリング方法。
  21. 請求項18記載の方法において、
    前記マスクが、プラズマ処理を用いてトリミングされることを特徴とするマスクトリミング処理終点のモニタリング方法。
  22. 請求項18記載の方法において、
    前記エッチング前測定情報が、前記マスクの限界寸法(CD)測定値を有することを特徴とするマスクトリミング処理終点のモニタリング方法。
  23. 請求項18記載の方法において、
    前記エッチング前測定情報が光学計測を用いて実施されることを特徴とするマスクトリミング処理終点のモニタリング方法。
  24. 請求項23記載の方法において、
    前記光学計測が、干渉計測、散乱計測、反射率測定、偏光分析から構成されるグループから選択された1つまたはそれより多くのものからなることを特徴とするマスクトリミング処理終点のモニタリング方法。
  25. 請求項18記載の方法において、
    前記エッチング処理モニタリングが光学計測を用いて実施されることを特徴とするマスクトリミング処理終点のモニタリング方法。
  26. 請求項25記載の方法において、
    前記光学計測が、干渉計測、散乱計測、反射率測定、および偏光分析から構成されるグループから選択された1つまたはそれより多くのものからなることを特徴とするマスクトリミング処理終点のモニタリング方法。
  27. 請求項18記載の方法において、
    前記エッチング処理モニタリングが、鉛直方向エッチング速度と水平方向エッチング速度との相関関係を用いることをさらに有することを特徴とするマスクトリミング処理終点のモニタリング方法。
  28. 請求項18記載の方法において、
    前記エッチング処理モニタリングが、
    放射線を前記基板に向け、
    前記基板から反射された放射線の一部分を捕捉し、
    干渉計測の測定技術を用いて層の厚さを測定することをさらに有することを特徴とするマスクトリミング処理終点のモニタリング方法。
  29. 請求項28記載の方法において、
    前記放射線が、前記基板に対してほぼ鉛直に向けられることを特徴とするマスクトリミング処理終点のモニタリング方法。
  30. 請求項28記載の方法において、
    前記基板に向けられた前記放射線のスペクトルが、約200〜800nmの範囲内の波長からなることを特徴とするマスクトリミング処理終点のモニタリング方法。
  31. 請求項28記載の方法において、
    前記放射線の強度が約10Hzの周波数で変調されることを特徴とするマスクトリミング処理終点のモニタリング方法。
  32. 請求項18記載の方法において、
    前記エッチ処理モニタリングが、
    放射線を前記基板に向け、
    前記基板から反射された放射線の一部分を捕捉し、
    前記基板から反射された前記放射線のスペクトルにおける波長の強度を測定することを特徴とするマスクトリミング処理終点のモニタリング方法。
  33. 請求項32記載の方法において、
    前記エッチング処理モニタリングが、前記スペクトルの最小値におけるスペクトル位置と、前記基板に形成された構造物の幅との相関関係を使用することをさらに有することを特徴とするマスクトリミング処理終点のモニタリング方法。
  34. 請求項18記載の方法において、
    前記エッチング前測定が、前記エッチングリアクタを備えた処理システムに結合された計測モジュールと、前記処理システムから除去された計測モジュールとによって提供されることを特徴とするマスクトリミング処理終点のモニタリング方法。
  35. エッチング処理をモニタリングするシステムであって、
    エッチング処理を実施する少なくとも1つのリアクタと、
    前記少なくとも1つのエッチングリアクタにエッチング前測定情報を提供する少なくとも1つの計測モジュールと、
    少なくとも1つの基板ロボットとを備え、
    前記少なくとも1つのリアクタが、前記エッチングリアクタ内のエッチング処理終点をモニタリングするためのエッチング処理測定モジュールを備えていることを特徴とするエッチング処理のモニタリングシステム。
  36. 請求項35に記載のシステムにおいて、
    前記少なくとも1つのエッチングリアクタがプラズマリアクタであることを特徴とするエッチング処理のモニタリングシステム。
  37. 請求項35に記載のシステムにおいて、
    前記少なくとも1つの計測モジュールが、非破壊性の光学測定技術を使用していることを特徴とするエッチング処理のモニタリングシステム。
  38. 請求項35に記載のシステムにおいて、
    前記エッチング処理測定ツールが干渉計測の測定技術を使用していることを特徴とするエッチング処理のモニタリングシステム。
  39. 請求項35に記載のシステムにおいて、
    前記エッチング処理測定ツールが、前記基板上の領域を照射する放射線源と、干渉計測計とをさらに備えることを特徴とするエッチング処理のモニタリングシステム。
  40. 請求項35に記載のシステムにおいて、
    前記放射線源が、前記基板に対してほぼ鉛直な放射線を提供することを特徴とするエッチング処理のモニタリングシステム。
  41. 請求項35に記載のシステムにおいて、
    前記放射線源が、約200〜800nmの範囲の波長で放射線を提供することを特徴とするエッチング処理のモニタリングシステム。
  42. 請求項39に記載のシステムにおいて、
    前記放射線減が、約10Hzの周波数で前記放射線の強度を変調することを特徴とするエッチング処理のモニタリングシステム。
  43. インサイチュー計測ツールであって、
    ウエハ処理を実施する少なくとも1つのプラズマリアクタと、
    前記少なくとも1つのプラズマリアクタに結合され、基板上の層の膜厚と限界寸法とのうち少なくとも1つを測定する少なくとも1つの測定モジュールと、
    前記少なくとも1つのプラズマリアクタに結合され、前記少なくとも1つのプラズマリアクタの範囲内でプラズマ状態をモニタリングする少なくとも1つのプラズマ状態モニタリングモジュールとを備えることを特徴とするインサイチュー計測ツール。
  44. 請求項43記載のツールにおいて、
    前記膜厚測定モジュールが干渉計測の測定技術を使用していることを特徴とするインサイチュー計測ツール。
  45. 請求項43記載のツールにおいて、
    前記限界寸法測定モジュールが非破壊的な光学測定技術を使用していることを特徴とするインサイチュー計測ツール。
  46. 請求項43記載のツールにおいて、
    前記プラズマ状態モニタリングモジュールが、光学的電磁気放射の測定技術を使用していることを特徴とするインサイチュー計測ツール。
  47. 請求項43記載のツールにおいて、
    前記プラズマリアクタがプラズマエッチングリアクタであることを特徴とするインサイチュー計測ツール。
  48. 半導体ウエハ処理システムにおけるインサイチュー計測ツールによって捕捉されたデータを処理する方法であって、
    前記インサイチュー計測ツールによって捕捉された信号強度対時間を示すデータを検査し、
    前記データに基づいて、前記データの少なくとも一部分に対して離散フーリエ変換を実施するための時間窓を選択することを特徴とする捕捉データの処理方法。
  49. 請求項48記載の方法において、
    信号強度対時間を示す前記データがピーク・トゥ・ピーク期間を減少させる場合には前記時間窓を小さくし、
    信号強度対時間を示す前記データがピーク・トゥ・ピーク期間を増大させる場合には前記時間窓を大きくすることを特徴とする捕捉データの処理方法。

JP2004177875A 2003-06-18 2004-06-16 エッチング処理をモニタリングする方法およびシステム Pending JP2005012218A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47960103P 2003-06-18 2003-06-18
US10/674,568 US8257546B2 (en) 2003-04-11 2003-09-29 Method and system for monitoring an etch process

Publications (1)

Publication Number Publication Date
JP2005012218A true JP2005012218A (ja) 2005-01-13

Family

ID=33424138

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004177875A Pending JP2005012218A (ja) 2003-06-18 2004-06-16 エッチング処理をモニタリングする方法およびシステム

Country Status (6)

Country Link
US (2) US8257546B2 (ja)
EP (1) EP1492153A3 (ja)
JP (1) JP2005012218A (ja)
KR (1) KR101046918B1 (ja)
CN (1) CN1319141C (ja)
TW (1) TWI356466B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100683400B1 (ko) * 2005-12-28 2007-02-15 동부일렉트로닉스 주식회사 저유전 물질 측정 방법
JP2010519771A (ja) * 2007-02-23 2010-06-03 アプライド マテリアルズ インコーポレイテッド スペクトルを使用した研磨終了点の決定
JP2017510827A (ja) * 2013-12-22 2017-04-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 堆積用監視システム及びその操作方法

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2858333B1 (fr) * 2003-07-31 2006-12-08 Cit Alcatel Procede et dispositif pour le depot peu agressif de films dielectriques en phase vapeur assiste par plasma
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7306746B2 (en) * 2004-01-30 2007-12-11 Taiwan Semiconductor Manufacturing Co. Ltd. Critical dimension control in a semiconductor fabrication process
DE102004018454A1 (de) * 2004-04-16 2005-11-03 Infineon Technologies Ag Verfahren und Vorrichtung zum Überwachen des Ätzvorgangs einer regelmässigen Tiefenstruktur in einem Halbleitersubstrat
US20050247894A1 (en) * 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US20060012796A1 (en) * 2004-07-14 2006-01-19 Susumu Saito Plasma treatment apparatus and light detection method of a plasma treatment
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7342641B2 (en) * 2005-02-22 2008-03-11 Nikon Corporation Autofocus methods and devices for lithography
US7465590B1 (en) * 2005-06-30 2008-12-16 Nanometrics Incorporated Measurement of a sample using multiple models
CN101055422B (zh) * 2006-04-14 2012-05-02 应用材料公司 用于透明基材的整合式测量室
US7341953B2 (en) * 2006-04-17 2008-03-11 Lam Research Corporation Mask profile control for controlling feature profile
US7312161B2 (en) * 2006-05-05 2007-12-25 Fsi International, Inc. Advanced process control for low variation treatment in immersion processing
KR100868083B1 (ko) 2006-05-19 2008-11-14 세종대학교산학협력단 웨이브릿을 이용한 플라즈마장비의 센서정보 감시방법
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
JP5441332B2 (ja) * 2006-10-30 2014-03-12 アプライド マテリアルズ インコーポレイテッド フォトマスクエッチングのための終点検出
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
US20090004875A1 (en) * 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
US7981812B2 (en) * 2007-07-08 2011-07-19 Applied Materials, Inc. Methods for forming ultra thin structures on a substrate
CN101494160B (zh) * 2008-01-22 2011-05-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种工艺终点控制方法和装置
CN102163567B (zh) * 2008-01-22 2012-10-31 北京北方微电子基地设备工艺研究中心有限责任公司 一种工艺终点控制方法和装置
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
CN101717935B (zh) * 2008-10-09 2011-11-23 欣兴电子股份有限公司 基板的金属层的蚀刻方法
CN101764075B (zh) * 2008-12-25 2011-10-05 中芯国际集成电路制造(上海)有限公司 晶片背面缺陷的监测方法和系统
CN101834128B (zh) * 2009-03-12 2012-03-21 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN101859689B (zh) * 2009-04-07 2012-03-07 北京北方微电子基地设备工艺研究中心有限责任公司 干法清洗时间的确定方法、装置及等离子体处理设备
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
WO2013018093A1 (en) * 2011-08-01 2013-02-07 Nova Measuring Instruments Ltd Monitoring system and method for verifying measurements in patterned structures
CN102931071B (zh) * 2011-08-08 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种图形化蓝宝石衬底的方法及装置
CN102955363B (zh) * 2011-08-19 2014-10-08 上海华虹宏力半导体制造有限公司 光学临近效应修正在线监控的方法
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
US9840778B2 (en) * 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US9059038B2 (en) * 2012-07-18 2015-06-16 Tokyo Electron Limited System for in-situ film stack measurement during etching and etch control method
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US9305753B2 (en) * 2013-03-06 2016-04-05 Kla-Tencor Corporation Thickness change monitor wafer for in situ film thickness monitoring
US8956886B2 (en) * 2013-03-14 2015-02-17 Applied Materials, Inc. Embedded test structure for trimming process control
TWI497632B (zh) * 2013-04-01 2015-08-21 Process monitoring method and surface analysis system
US11175589B2 (en) * 2013-06-03 2021-11-16 Kla Corporation Automatic wavelength or angle pruning for optical metrology
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
CN104882389B (zh) * 2014-02-28 2017-12-26 无锡华润上华科技有限公司 一种半导体器件量测方法
US9287386B2 (en) 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
CN105321845A (zh) * 2014-07-28 2016-02-10 Psk有限公司 基板处理装置及基板处理装置监控方法
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
KR101628762B1 (ko) * 2014-11-21 2016-06-21 주식회사 신성미네랄 복토재의 제조방법
US9870935B2 (en) 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
KR20180011119A (ko) 2015-05-22 2018-01-31 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
WO2017146785A1 (en) * 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
JP6541599B2 (ja) * 2016-03-28 2019-07-10 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
US10790203B2 (en) 2016-04-26 2020-09-29 Active Layer Parametrics, Inc. Methods and systems for material property profiling of thin films
US11289386B2 (en) 2016-04-26 2022-03-29 Active Layer Parametrics, Inc. Methods and apparatus for test pattern forming and film property measurement
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
JP6878853B2 (ja) * 2016-11-28 2021-06-02 住友電気工業株式会社 半導体素子を作製する方法
CN106504971B (zh) * 2017-01-03 2018-03-16 京东方科技集团股份有限公司 一种等离子刻蚀方法及等离子刻蚀装置
US10707331B2 (en) * 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
CN108987224A (zh) * 2017-06-01 2018-12-11 北京北方华创微电子装备有限公司 反应腔室及检测反应腔室内晶片状态的方法
CN107527830B (zh) * 2017-08-16 2019-12-31 武汉新芯集成电路制造有限公司 一种晶圆倾斜薄膜的监测方法
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US10998215B2 (en) * 2018-06-27 2021-05-04 Facebook Technologies, Llc Monitoring dry-etching of polymer layer for transferring semiconductor devices
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11421977B2 (en) 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
CN110071059B (zh) * 2019-03-29 2020-12-22 福建省福联集成电路有限公司 一种监控蚀刻的工艺方法及系统
US10871396B2 (en) 2019-04-05 2020-12-22 Samsung Electronics Co., Ltd. Optical emission spectroscopy calibration device and system including the same
CN110426451B (zh) * 2019-07-15 2021-12-24 Tcl华星光电技术有限公司 蚀刻速率量测装置及侧向蚀刻速率的量测方法
CN110850690B (zh) * 2019-11-19 2023-05-23 上海华力微电子有限公司 去胶设备、顶针监控方法和去胶工艺
CN111063627A (zh) * 2019-12-30 2020-04-24 中电国基南方集团有限公司 Bcb厚度的在片监测与控制方法及装置
CN111370344A (zh) * 2020-03-03 2020-07-03 武汉大学 一种用于在线监测半导体基片刻蚀过程的监测系统
WO2021181545A1 (ja) * 2020-03-11 2021-09-16 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
CN111430257B (zh) * 2020-04-02 2023-04-07 长江存储科技有限责任公司 测量装置及方法
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
JP2024522123A (ja) * 2021-06-03 2024-06-11 ノヴァ リミテッド 半導体デバイスの時間領域光計測および検査
CN115497866A (zh) * 2021-06-18 2022-12-20 中微半导体设备(上海)股份有限公司 一种升举顶针组件及等离子体反应装置
KR20230030346A (ko) 2021-08-25 2023-03-06 삼성전자주식회사 편광 계측 장치 및 편광 계측 장치를 이용한 반도체 소자 제조 방법
TWI835455B (zh) * 2022-12-08 2024-03-11 東龍投資股份有限公司 製程檢測方法、製程檢測圖案及形成方法,及光罩
CN117954340A (zh) * 2024-01-10 2024-04-30 苏州恩腾半导体科技有限公司 一种选择性蚀刻方法及装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02303022A (ja) * 1989-04-28 1990-12-17 Internatl Business Mach Corp <Ibm> パターン形成方法
JP2000155023A (ja) * 1998-11-20 2000-06-06 Nkk Corp 鋼板の板厚測定装置
JP2002518823A (ja) * 1998-06-11 2002-06-25 アプライド マテリアルズ インコーポレイテッド 改善したプロセスモニタウィンドウを有するチャンバ
JP2003077898A (ja) * 2001-09-03 2003-03-14 Matsushita Electric Ind Co Ltd プラズマエッチングシステム及びエッチング工程管理方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US56700A (en) * 1866-07-31 Improvement in bed-recoil springs for printing-presses
US4767496A (en) 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
GB2257507B (en) * 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
JPH0534280A (ja) * 1991-07-26 1993-02-09 Kaijo Corp 赤外線吸収式湿度変動計
US5329381A (en) * 1992-02-20 1994-07-12 Payne John H Automatic engraving method and apparatus
EP0735565B1 (en) 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
US5798529A (en) 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
US5948203A (en) 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5965309A (en) 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR100257903B1 (ko) 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
JP3833810B2 (ja) * 1998-03-04 2006-10-18 株式会社日立製作所 半導体の製造方法並びにプラズマ処理方法およびその装置
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6136712A (en) * 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
US6130415A (en) * 1999-04-22 2000-10-10 Applied Materials, Inc. Low temperature control of rapid thermal processes
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
JP2001068446A (ja) * 1999-06-30 2001-03-16 Applied Materials Inc 半導体ウェーハの汚染物質の検出
EP1089318A1 (en) * 1999-09-30 2001-04-04 Infineon Technologies AG Method for determining the endpoint of etch process steps
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
GB0016562D0 (en) * 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6368982B1 (en) * 2000-11-15 2002-04-09 Advanced Micro Devices, Inc. Pattern reduction by trimming a plurality of layers of different handmask materials
JP4437611B2 (ja) 2000-11-16 2010-03-24 株式会社ルネサステクノロジ 半導体装置の製造方法
US6319767B1 (en) * 2001-03-05 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors via plasma ashing and hard masking technique
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US6566025B1 (en) 2002-01-16 2003-05-20 Xerox Corporation Polymeric particles as external toner additives
JP4118071B2 (ja) * 2002-03-28 2008-07-16 株式会社ニデック レジスト外周除去幅検査装置
US7042564B2 (en) * 2002-08-08 2006-05-09 Applied Materials, Israel, Ltd. Wafer inspection methods and an optical inspection tool
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
US7265382B2 (en) 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02303022A (ja) * 1989-04-28 1990-12-17 Internatl Business Mach Corp <Ibm> パターン形成方法
JP2002518823A (ja) * 1998-06-11 2002-06-25 アプライド マテリアルズ インコーポレイテッド 改善したプロセスモニタウィンドウを有するチャンバ
JP2000155023A (ja) * 1998-11-20 2000-06-06 Nkk Corp 鋼板の板厚測定装置
JP2003077898A (ja) * 2001-09-03 2003-03-14 Matsushita Electric Ind Co Ltd プラズマエッチングシステム及びエッチング工程管理方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100683400B1 (ko) * 2005-12-28 2007-02-15 동부일렉트로닉스 주식회사 저유전 물질 측정 방법
JP2010519771A (ja) * 2007-02-23 2010-06-03 アプライド マテリアルズ インコーポレイテッド スペクトルを使用した研磨終了点の決定
US8569174B2 (en) 2007-02-23 2013-10-29 Applied Materials, Inc. Using spectra to determine polishing endpoints
US9142466B2 (en) 2007-02-23 2015-09-22 Applied Materials, Inc. Using spectra to determine polishing endpoints
JP2017510827A (ja) * 2013-12-22 2017-04-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 堆積用監視システム及びその操作方法

Also Published As

Publication number Publication date
CN1319141C (zh) 2007-05-30
US20040203177A1 (en) 2004-10-14
US20120291952A1 (en) 2012-11-22
CN1619788A (zh) 2005-05-25
US8257546B2 (en) 2012-09-04
EP1492153A2 (en) 2004-12-29
TWI356466B (en) 2012-01-11
EP1492153A3 (en) 2006-05-10
KR101046918B1 (ko) 2011-07-07
KR20040111072A (ko) 2004-12-31
TW200507143A (en) 2005-02-16

Similar Documents

Publication Publication Date Title
KR101046918B1 (ko) 식각공정을 모니터링하기 위한 방법 및 시스템
JP7269296B2 (ja) 方法およびエッチングシステム
US7658969B2 (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7838433B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
US9601396B2 (en) 3D NAND staircase CD control by using interferometric endpoint detection
JP6019043B2 (ja) 光学計測及びセンサ装置を用いるエッチングプロセス制御
US7648916B2 (en) Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US20070296980A1 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US8173451B1 (en) Etch stage measurement system
TWI409657B (zh) 閘極最佳化評估程式庫之產生方法與儲存媒體
CN100382233C (zh) 监测处理室中处理的方法以及基底处理设备
TW200305250A (en) Methodology for repeatable post etch cd in a production tool
US20080165367A1 (en) Roughness evaluation method and system
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
US8173450B1 (en) Method of designing an etch stage measurement system
Barna et al. In Situ Metrology
Stutzman Correlation of process with topography evolution during reactive ion etching

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070604

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100713

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101013

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101018

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110719

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111017

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111115