JPH02303022A - パターン形成方法 - Google Patents

パターン形成方法

Info

Publication number
JPH02303022A
JPH02303022A JP2097815A JP9781590A JPH02303022A JP H02303022 A JPH02303022 A JP H02303022A JP 2097815 A JP2097815 A JP 2097815A JP 9781590 A JP9781590 A JP 9781590A JP H02303022 A JPH02303022 A JP H02303022A
Authority
JP
Japan
Prior art keywords
pattern
etching
reduction
layer
width
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2097815A
Other languages
English (en)
Other versions
JPH0614510B2 (ja
Inventor
Bernard Auda
ベルナール・オダ
Roland Chanclou
ローラン・シヤンクロ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH02303022A publication Critical patent/JPH02303022A/ja
Publication of JPH0614510B2 publication Critical patent/JPH0614510B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 A、産業上の利用分野 本発明は、新型の半導体製品の製造に関するものであり
、具体的には、標準のフォトレジスト組成物を、各槌の
従来の紫外線フォトリソグラフィ装置と併用して、サブ
ミクロン級のパターンを生成させる方法に関するもので
ある。
B、従来の技術 半導体デバイス、たとえば短チヤネル多結晶シリコン・
ゲート(0,8μm)FETの小型化の傾向が続く中で
、これまでの大きな問題は、従来の紫外線フォトリソグ
ラフィ装置が標準のフォトレジスト層上で達成できるよ
りも、幅の狭い多結晶シリコンの線を画定し、制御する
ことである。
このような従来の画像露出技術では、バリアは、約0.
8μmと考えられ、作像したフォトレジスト層からの転
写では、これより小さい寸法のパターンは作成できない
直接画像印刷用の高性能の露出装置、たとえばエキシマ
・レーザ及びX線装置は、動作波長が短いために注目さ
れているが、現在のところ実際に重版されていない。X
線装置に関しては、X線用のマスクや膜の製作の難しさ
や、X線の発生方法(主要X線源はシンクロトロンであ
る)など未解決の問題がある。一方、エキシマ・レーザ
の使用は、パイロット・プラントまたは実験室規模に限
られ、これまでのところ、製造ラインでの使用はまだ考
えられない。
これらの欠点を除去するため、数年前に、半導体製造工
程そのものを改良することを目的とする2つの主要な技
術が開発された。すなわちいわゆる「側壁画像転写J(
SIT)技術、及び「多層レジス)J  (MLR)技
術である。どちらの技術も、乾式エツチング技術に基づ
き、従来の紫外線フォトリングラフィ装置を用いて細線
形状を作成するものである。乾式エツチング技術は、細
線作成能力、方向性の高いエツチング(異方性)、及び
良好な選択性により、精密なデバイスの作成が可能なた
め、急速にVLS I Cの製造で湿式エツチングに代
りつつある。基本的に、乾式エツチングには、プラズマ
・エツチング、高圧法、及び低圧法である反応性イオン
・エツチング(RIE)が含まれる。通常の条件では、
RIEは異方性であり、エツチングされた層に垂直な断
面形状を形成するが、後で述べるように、高圧で操作を
行なえば等方性となる。
FETを製造するためのSIT技術は、基本的に、厳密
なチャネル制御により、サブミクロン級のFETデバイ
スを形成する一連の付着及びエツチング工程からなる。
この技術によれば、線の幅は、きわめて薄く正確なフン
フォーマル層の厚みのみによって決まる。この技術の詳
細は、米国特許第4430791号、第4419809
号、第4419810号、4648837号各明細書に
記載されている。半導体製造でSIT技術を実施するに
は、21もの主要ステップと、4つの特殊マスクが必要
である。
MLR技術は、基本的に少なくとも2つのレジスト層と
、その間のPECVD酸化物等の、耐エッチ性バリア材
料の中間層の使用に基づくものである。半導体製造でM
LR技術を実施するには、8つの主要なステップが必要
である。MLR技術は特に米国特許第3873381号
及び第4003044号明細書に記載されている。
周知のMLR技術を、多結晶シリコン・ゲートの製作等
、多結晶シリコンの細線形状の画定に適用した場合につ
いて、第3A図ないし第3F図を参照して説明する。
第3A図を参照すると、その上に多結晶シリコンの層1
1(厚み500nm)が形成された絶縁基板10、及び
厚い(1200nm)下部フォトレジスト皮膜12と、
厚み200nmのPECVD酸化物中間層13と、薄い
(800nm)上部フォトレジスト皮膜14とからなる
上部多層フォトリングラフィ・マスクを有する半導体構
造を示す。0MO8FET技術では、上記の絶縁基板は
半導体(たとえばシリコン)の上の、ソース拡散領域と
ドレイン拡散領域の間に形成された、薄いゲート二酸化
シリコン(8102)Julとすることができる。多結
晶シリコン層11を従来の付着技術により形成し、高性
能のFETを得るために、細線形状すなわちパターンを
形成して、所定の精密なたとえば0.8μmの線幅の0
MO8FETのゲート電極を画定する。
この多層フォトリングラフィ・マスクを形成する方法は
下記のとおりである。まず、多結晶シリコン層11を、
ヘキサメチルジシラザン(HMDS)等のフォトレジス
ト接着促進剤で処理する。
下部レジスト皮膜をスピン・コーティングで塗布し、乾
燥する。この目的には、標準的なレジストであればどん
なものでも使用できる。次に、PECVD酸化物の薄い
層を付着させる。これには、アプライド・マテリアルズ
(Applied Materials)の5000型
等の低温付着装置が適している。このステップの後、上
部レジスト皮膜のコーティング及びベーキングを行う。
次に、硬化の後、上部レジスト皮膜を、従来の紫外線フ
ォトリングラフィ装置で所要の形状のマスクを介して紫
外線に露光する。露光した上部レジストを標準のKOH
溶液で現像して、第3B図に14aで示す所要の残留部
すなわちパターンを形成する。パターン14aの幅LW
e’は、上記の装置を解像度仕様の限界で運転するとき
に可能な最小値、たとえばLWe ’=0.8μmにす
ることが好ましい。次に、下のPECVD酸化物層13
をRIEエツチングして、PECVDパターン13aを
画定するためのマスクとして、このパターンを使用する
。好ましい運転条件は、CHF s 75 m !1 
、O25m Q s圧力50mT (8,8Pa) 、
高周波電力1350Wである。次に、厚い下部フォトレ
ジスト層12中に、垂直な壁を有する対応するパターン
12aを画定するためのマスクとして、PECVDパタ
ーンを使用する。このステップは、代表的な運転条件、
0250 m Q N CF 43 m Q %圧力3
5mT (4゜7 P a ) 、高周波電力iooo
wで、RIE装置により行ない、所要の異方性を得る。
少量のCF4の添加により、エッチ速度と清浄度が改善
される。得られた構造を第3B図に示す。次のステップ
では、パターン12aをRIE装置で、同じ条件すなわ
ち0250mQ1CF+3mfi1圧力35mT (4
,7Pa) 、高周波電力1000Wで異方性侵食を行
なって、所要の等方性エツチングを実現する。このオー
バエツチングの間に、パターンの横方向の寸法が減少し
て、所定のmctw ’のエッチ・バイアスが得られる
。この異方性エッチング・ステップは時間制御式プロセ
スであることに注目されたい。このステップの間に、残
った上部のレジスト・パターンが除去される。このオー
バエッチング・ステップの終了時に、パターンの横方向
の寸法が両側でdWf’ずつ減少し、第30図に示すよ
うに、最終的なパターンの幅はLWf’となる。次に、
PECVD層13aの残部を、上記と同じ運転条件で除
去する。得られた構造を第3D図に示す。図では、横方
向の寸法の減少後、パターン12aから得られたレジス
ト・パターン12a°が示されている。最後に、パター
ン12a°を使用して、第3E図に示すように、多結晶
シリコン層11中に所要のパターンllaを異方的に(
1方向エツチング)画定する。この最後のステップは、
標準として塩素化ガスを使用した各種の装置で行なわれ
る。レジスト・パターン12a“を剥がした後、最終的
に得られる構造を第3F図に示す。上記のMLR法で形
成したパターン11aは、横方向の寸法、すなわち幅L
Wf“がたとえば0.6μmで、元の寸法LWe“の0
゜8μmより小さい。第3F図で、パターンllaは、
細線形状、たとえばFETのゲート電極の断面である。
ただし、パターンllaは、゛ウェーハ基板上に同時に
形成される、すべての線形のゲート電極を含む保全体の
一部であることを理解されたい。上記の製造工程を、下
記の第1表に要約して示す。この場合、6つの重要なス
テップ、すなわち2.6.7.8.9.10があること
が明らかである。
第1表 1、前処理及び底部レジスト・コーティング2、PEC
VD酸化物付着 3、上部レジスト・コーティング 4、マスクの位置合せ及び露光 5、現像 8、PECVD酸化物(7) RI E −f−ッf 
7 り7、異方性レジストRIEエツチング 8、異方性レジストRIEオーバエツチング(時間制御
) 9、PECVD酸化物除去 106異方性多結晶シリコンRIEエツチング11、レ
ジストの剥奪 C0発明が解決しようとする課題 上記のMLRに基づく方法は、本明細書の冒頭部分に述
べた問題を解決するが、依然として多くの不便さがある
。この方法は、6つの重要なステップを含む多くの工程
を必要とし、比較的複雑である。さらに、エツチングの
間に、底部レジスト・パターンの寸法を制御するためP
ECVD酸化物層を使用し、したがって特定の付着装置
を使用する必要がある。その結果、種々の装置の使用が
必要となる。全体として見ると、この方法は高価であり
、製造の収率は、汚染に左右されるところが大きい。最
後に、オーバエッチを行なうのは時間制御のプロセスで
ある(第1表、ステップ8参照)。最適時間は実験的に
決定され、当業者には周知のように、温度、ガス圧、流
量、エッチ速度、高周波電力等、多数のプロセス・パラ
メータに依存する。したがって、慎重に行なっても、オ
ーバエッチ工程は正確に制御できず、そのためこの方法
では必要な精度と再現性が得られない。たとえば、最終
幅LWf”が0.6μmの場合、精度は±0.25μm
(3σ)であり、再現性も比較的低い。
C1発明が解決しようとする課題 本発明の目的は、標準のフォトレジスト組成物と、従来
の紫外線フォトリソグラフィ装置を使用して、この装置
で通常得られる鮮明度を上回わる高解像度で再現性のあ
るパターンを生成する方法を提供することにある。
本発明の目的には、重要なステップ数のきわめて少ない
単層レジスト(SLR)法に基づいて、高解像度で再現
性のあるパターンを生成する方法を提供することも含ま
れる。
本発明の目的には、たとえばPECVD酸化物層等の耐
エッチ性バリアの付着を避けて、高解像度で精密なパタ
ーンを生成する方法を提供することも含まれる。
本発明の目的には、細線形状またはパターンの横方向の
寸法が、時間制御技術でなく、正確な厚み制御技術によ
り画定されるという、単層レジスト法に基づいて、高解
像度で再現性のあるパターンを生成する方法を提供する
ことも含まれる。
本発明の目的には、厚みを正確に測定するため、干渉計
モードで作動する分光計の使用に基づいて、高解像度で
再現性のあるパターンを生成する方法を提供することも
含まれる。
本発明の目的には、等方性または異方性のエツチング工
程がすべて単一のRIB装置によりその場で完了すると
いう、単層レジスト法に基づいて、高解像度で再現性の
あるパターンを生成する方法を提供することも含まれる
00課題を解決するための手段 上記及びその他の目的は、本発明によれば、基板上に形
成したRIEエツチング可能な材料の層上に、高解像度
で再現性のあるパターンを生成する方法、及び厚みを正
確に測定するための新規の監視・追跡システムによって
達成される。
第1の好ましい実施例によれば、この方法は− 上記の
層上に放射線感受性の皮膜を形成するステップ、 −上記の放射線感受性の皮膜に第1の幅(LWe)の第
1のパターンを描くステップ、−上記の第1のパターン
の等方性エツチングを行なって、横方向及び縦方向の寸
法を減少させるステップ、 −エツチングした厚み(cjTH)を正確に測定するこ
とにより、縦方向の寸法の減少を監視するステップ、 −対応する横方向の寸法の減少(dW)を相関させるス
テップ、 −適切な横方向の寸法の減少が行なわれ、元の第1の幅
(LWe)より制御可能に小さい最終の所要の幅(L、
Wf)を有する第2のパターンが形成されたときに、上
記のエッチング・ステップを終了するステップ、及び −上記の第2の誘導されたパターンを、下のRIEエツ
チング可能な層に転写して、最終の所要のパターンを形
成するステップ を含む。
第2の実施例では、この方法は −上記のRIEエツチングが可能な材料の層に第1の幅
(LWe)の第1の所要のパターンを描くステップ、 −上記の第1のパターンの等方性エツチングを行なって
、横方向及び縦方向の寸法を減少させるステップ、 −エツチングした厚み(dTH)を正確に測定すること
により、縦方向の寸法の減少を監視するステップ、 −対応する横方向の寸法の減少(dW)を相関させるス
テップ、及び −元の第1の幅(LWe)より制御可能に小さい゛最終
の所要の幅(LWf)を有する第2のパターンが形成さ
れたときに、上記のエッチング・ステップを終了するス
テップ を含む。
この方法は、高解像度で再現可能なパターン、たとえば
多結晶シリコンのきわめて細い線を作成する方法である
。この方法の好ましい実施例によれば、(第1A図ない
しID図参照)標準の放射線感受性レジスト17の層を
、基板15上に形成した多結晶シリコン層16の上に塗
布する。従来の紫外線リングラフィ装置で、フォトレジ
ストに通常通り第1のレジスト・パターン17aを描く
次にこの構造を反応性イオン・エツチング(RIE)装
置内に置き、レジスト・パターンを等方的に侵食して全
体の寸法を減少させる。エツチングした厚み(dTH)
を干渉計技術で正確に測定し、対応する横方向の寸法減
少(dW)を連続的に監視する。エツチングは、所要の
最終幅(LWf)を有する第2のレジスト・パターン1
7a1を得るのに適した横方向の寸法減少が行なわれた
時点で停止する。次に、第2のレジスト・パターン17
a’をRIEにより下の多結晶シリコン層18に異方的
に転写する。最後に、上記の第2のレジスト・パターン
を除去すると、所要の最終幅(LWF)を有する所要の
多結晶シリコンのパターンleaが残る。これにより、
線幅が0.8μmの範囲の、既知の紫外線リソグラフィ
装置で最高の解像度を有するレジスト・パターンが得ら
れる。
上記の方法により、これまでより線幅の小さいレジスト
・パターンが形成され、したがって線幅が0.6μmま
たはさらに小さい多結晶シリコンの線が形成される。こ
のように線幅を小さくできることは、将来の進歩した半
導体製品の開発に必要な短チャネルCMO8FET用の
ゲート電極の製造にとってきわめて重要である。
上記の方法のほか、本発明は、エツチングされた厚みを
正確に測定するため、分光計を干渉計モードで使用する
という、新規の監視・追跡システムをも開示する。
E、実施例 本発明の方法による好ましい実施例を、第1A図ないし
第1D図を参照して説明する。第1A図ハ、製造の中間
ステップにおける半導体構造の断面の概略を部分的に示
したものである。この構造は、従来の技術により、上記
で第3A図を参照して説明したのと同じ仕様で形成した
、薄い(500nm)多結晶シリコンの層16と、単一
の比較的厚い(1200nm)標準のフォトレジスト材
料の皮膜17を有する絶縁基板15を有する。まず、従
来の紫外線フォトリソグラフィ装置で適当なマスクを介
して紫外線に露光してこの構造を作像し、次に95℃で
露光後ベーキングを行ない、標準の方法でKO)(によ
り現像する。得られる構造を第1B図に示し、フォトに
シスト皮膜の残部を17aで示す。露光及び現像後の代
表的なパターンの寸法は、厚みTHe=0.8μms線
幅LWe=0.8μmである。第1B図から明らかなよ
うに、パターンの壁は通常の垂直な面を有する。
次に、この構造を、アプライド・マテリアルズ社(静p
lied Materials1米国カリフォルニア州
サンタ・クララ)のすME8100シリーズ、具体的に
は8110型等の、標準のRIE装置に入れる。この構
造を等方的にエツチングしてフォトレジスト・パターン
17aの全体寸法を減少させるために、標準の運転条件
を大幅に変更した。実験によれば、等方性エツチングに
適した運転条件は、0297mus CF43m(11
圧力100mT(13,3Pa)、電力1350Wであ
る。このようにRIE装置を通常でない条件(高い圧力
及び高周波電力)で運転することが、本発明の顕著な特
徴である。
パターンの等方性エツチングの間に、厚みTHeが横方
向の寸法LWeと同時に減少する。パターンの厚みの減
少dTHを連続的に測定することにより、横方向の寸法
の減少dWを正確に監視することが、本発明の重要な特
徴である。横方向の寸法の減少dWを、エツチングした
厚みdTHと相関させる技術は、後で詳細に説明する。
横方向の寸法の減少が、エツチングした厚みの所定の値
dTHfに相当する所要の最終値dWfに達すると、エ
ッチング・ステップを終了する。得られた構造を第1C
図に示す。この工程を通常通り続行し、上述のように、
もう1つのRIE装置で多結晶シリコン層16の露出部
分を異方的にエツチングすると、第1D図に示すような
、所要の最終線幅LWfを有する線形の多結晶シリコン
・パターン16aが残る。テガル社(Tegal Co
rp、米国カリフォルニア州ペタルマ)製のTegal
1511等の最新式の乾式エツチング装置を用いると、
上記のRIEエッチング・ステップを同一の装置で行な
うことができる。
別の実施例を、第2A図ないし第2D図に示す。
この代替例は、多結晶シリコン・スペーサの製作に使用
する。最初の構造は、RIEエツチング可能な材料の層
が厚い意思外は、第1A図の構造と同じである。
従来のフォトリングラフィ技術によるマスクの位置合せ
及び露光の後、第2B図に17bで示す残ったフォトレ
ジスト・パターンを、下の多結晶シリコン層16を画定
するマスク15としてその場で使用すると、パターン1
6bが残る。残ったフォトレジストを除去した後に得ら
れる構造を第2C図に示す。多結晶シリコン・パターン
IE3bの寸法を、厚みTHeと幅LWeで示す(長さ
は重要ではないため示していない)。次に、この構造を
RIE装置に入れて、当業者には周知のように、フッ素
化ガス(SF6、NF3等)を使用して等方性エツチン
グを行なう。等方性エツチングの間に、パターンの厚み
THeが、横方向の寸法LWeと同時に減少する。パタ
ーンの厚みの減少dTHを連続して測定することにより
、横方向の寸法の減少dWを正確に監視することが、本
発明の重要な特徴である。所要の最終パターン幅LWf
を存する最終構造を、第2D図に示す。
下記の第1IA表及び第1IB表に、両実施例による本
発明の方法の主要なステップを要約して示す。
この場合、重要なステップは2つしかない(第■A表の
4.5、第18表の4.6)ことが明らかである。
第mA表 1、前処理及びレジスト・コーティング2、マスクの位
置合せ及び露光 3、現像 4、等方性レジストRIEエツチング(厚み制御) 5、異方性多結晶シリコンRIEエツチング6、レジス
トの剥奪 第1IB表 1、前処理及びレジスト・コーティング2、マスクの位
置合せ及び露光 3、現像 4、異方性多結晶シリコンRIEエツチング5、レジス
トの剥奪 6、等方性多結晶シリコンRIEエツチング(厚み制御
) したがって、どの実施例であれ、本発明の方法は、エツ
チングした厚みの正確な測定により、所要の横方向の寸
法の減少を注意深く監視する、等方性エッチング・ステ
ップを含む、単層レジスト(SLR)法に基づくもので
ある。
上記のように、パターンの厚みの減少dTHを連続的に
測定することによって、横方向の寸法の減少dWを正確
に監視することが最も重要である。
乾式エツチング環境で、厚みと共に変化する上記の環境
の何らかの特性を測定することにより、エツチングした
厚みdTHを制御する幾つかの方法が理論的に考えられ
る。米国特許出願第4198261号明細書に記載され
ているような偏光解析法は、サンプルからの光線を光検
出器に反射させるために、狭い帯域幅の光源を使用する
。回転可能な偏光フィルタを、光源と反射光の通路との
両方に置く。発光強度を監視して、強度が急激に低下す
る時を決定する。発光分光分析(OES)は、プラズマ
によって発生する特性波長を有する特定の線の強度を、
制御パラメータとして使用する。
OESのRIEエツチングへの適用に関する詳細は、米
国特許出願第4415402号明細書に記載されている
。分光計のアパーチャをグロー放電の方向に向け、ウェ
ーハを水平に置くことが重要である。これらの条件では
、干渉縞は生じない。
分光計は強度の変化のみを検出する。分光分析及び偏光
解析はエツチングの終点の検出に有用であり、広く使用
されている。分光分析及び偏光解析技術と異なり、光干
渉解析は、エツチングされた部分から反射される光線の
強度の変化を使用する。
これは正確な技術で、エツチングされた厚みを連続的に
監視するために使用すること、ができる。干渉解析は、
上記のAME R工E装置で実施される技術である。こ
の装置は、通常、第4図に概略を示した干渉計システム
18及びエツチング・システム19を備えている。工、
ツチング・システム191″!、基本的に、複数の処理
すべきウェーノ\22を保持する大極管形のサセプタ2
1を取り囲むエッチ処理室20から構成されている。処
理室には2つの石英のビュー・ポートすなわちのぞき窓
23A、23Bがある。その1つは干渉計システムが使
用し、他の1つは目視による観察のために使用する。第
4図では、干渉計システムは18で示す。ヘリウム・ネ
オン・レーザ等のレーザ24が、単色放射光線25Aを
発生し、それがビュー・ポート23Aを通してウェーハ
を垂直に照射する。
反射した光線25Bは、基本的にフォトダイオードから
構成される干渉計27に供給される。ビーム・スプリッ
タ28A及びミラー26Bを使って、入射光及び反射光
を適切に運ぶ。次に、測定技術の基本を簡単に説明する
。好ましい操作モードでは、のぞき窓からチップの一部
分の大きさに相当するウェーハの領域が見える。したが
って、フォトレジスト皮膜と下の多結晶シリコン層(第
1八図ないし第1D図参照)が観察できることが保証さ
れる。光線間の位相差は、フォトレジスト皮膜の厚みと
、皮膜及び層の屈折率の関数である。したがって、干渉
が生じ、位相差の大きさに応じて、全反射エネルギーの
強度が増大または減少する。
エッチ工程が進むにつれて層の厚みが減少するため、そ
こから反射されるエネルギーの強度が周期的に変化する
。これを一般に干渉縞の運動という。
垂直入射の場合、次の極小値は、1周期Tの間にエツチ
ングされる厚みに相当する距離だけ離れている。
第5図の曲線Cは、波長がλ=632.8nmのHeN
eレーザで得られる、フォトダイオード27が発生する
出力信号の強度と時間の関係を示す。各周期T’=12
0secはエツチングした厚みdTH=0.17μmに
相当する。周知のように、精度を上げるには、半周期(
曲線の最大値)を使って、上記の出力信号を誘導する。
第4図の18に示すシステムは、本発明の方法を実施す
るには、十分正確ではない。もちろん、これより波長の
短い他のレーザも使用できるが、大きいスペースを必要
とし、製造環境には好都合ではない。さらに、HeNe
レーザは、上述のように、チップの所定の局所領域に正
確に位置合せをする必要がある。エツチングの終点を決
めるために使用するHeNeレーザ干渉計は、プラズマ
・エツチング中の各エッチ周期ごとに厚みの侵食を制御
することができるが、このレーザは波長が固定で長いた
め、正確な測定には適当ではない。実際に、エツチング
した厚みを良好に制御するには、少なくとも丸1周期を
カバーする必要がある。
適当なシステムが得られないため、本発明者等は、干渉
計モードで動作する標準の分光計を使用して、新規の正
確な追跡・監視システムを開発した。
本発明によれば、分光計をはじめて干渉計として使用し
て、所要の最終厚み(THf)に、したがって横方向の
寸法すなわち幅(LWf)に達するまで、レジストの部
分的除去を制御することが開示される。本発明の方法の
オーバエッチ・ステップの監視、たとえば第1の実施例
の第1IA表のステップ4の監視用の有効な追跡システ
ムの詳細も第4図に示されている。第4図で、追跡シス
テムは28で示されている。本発明では、もはや中間P
ECVD酸化物層(第3A図の13)は存在しないため
、干渉解析法が使用できる。処理室中のプラズマがグロ
ー放電、すなわち短波長が得られる光源を形成する。あ
る条件では、一部の線が干渉を起こす。プラズマによっ
て発生するグロー放電が、ビュー・ポートを通して観察
できる。このように、光学的分光計が干渉計として使用
できる。エツチング工程の間に室内で生成する各種の化
学種によって発生される放射線を運ぶために、ファイバ
・プローブ29をビュー・ポート23Bに接続する。実
際には、本発明の追跡システム28は、標準のシステム
18にとって代り、ビュー・ポートを使用するが、他方
のビュー・ポートは目視による観察のために残される。
運ばれた放射線は、モータ駆動のモノクロメータ30が
受は取り、監視すべく選択した波長を除くすべての波長
を除去する。次に、選択した特性放射線を検出器31が
受は取る。検出器31は、低ノイズ型のダイオード検出
器でもよいが、増幅器を備えた低ノイズ型の光電子増倍
管が好ましい。モノクロメータ30と検出器31は一体
化して、分光計32、たとえばソフィー社(Sofie
 In5t、 フランス、アルバジロン)の5D20型
となっている。これは広範囲のスペクトルにわたって調
整でき、本発明の場合、309.8nmのCo線をまた
ぐように調整する。
分光計32からのアナログ信号はA/Dコンバータ33
に供給され、次いでコンピュータ34に入力される。分
光計32からの信号は、監視された化学種の放射線の強
度を表す。チャート・レコーダ装置35が、コンピュー
タに接続されている。
コンピュータはまた、モータ38及びエッチ・システム
19を、それぞれ制御線37.38を介して制御する。
コンピュータ34は、処理されたディジタル信号を受は
取り、チャート・レコーダ35によって再生される放射
線の強度のグラフを出力する。第1の実施例について行
なった実験結果によれば、第1C図の多結晶シリコン層
16上のフォトレジスト・パターン17aのエツチング
中に監視される化学柾は、−酸化炭素COである。垂直
な入射で出力信号の最大値及び最小値(ゼロとの交点)
を有する干渉計様のレーザ効果を得るために、光ファイ
バをウェーハに対して垂直に接続することが重要である
。たとえば上記の米国特許第4415402号明細書等
の従来の技術に教示されているように、それが平行な場
合、強度と時間との関係は、連続した曲線のみが記録さ
れる。実験による、1周期にエツチングされた厚みdT
Hを下記の第■表に示す。
第■表 λ=519.8 n m (CO線)   dTH=0
.15μmλ=313.5nm (”  )   dT
H=0.1(1mλ=309.8 n m (″ ) 
  dTH=0.08μm光線の波長が短いほど、1周
期当たりの厚みは小さくなり、したがって厚みの増分及
び監視される精度が増す。高精度のエツチング制御によ
り、線幅の減少がうまく制御できる。適切な線(または
波長)を用いると、各周期中にきわめて小さいステップ
で線幅LWfの制御が可能になる。
第5図は、精度を上げるために最短のCO放射線を使用
した場合の、強度と時間の関係を示す曲線Cである。
最終のエツチングした厚みdTHfは、下記の計算によ
る最終の所要の線幅LWfと正確に対応する。エッチ速
度ERを求める式は下記のとおりである。
ER=(λ/4nT) 上式で、λは、HeNeレーザ源(λ=632゜8nm
)が発生する単色放射線、またはグロー放電中の選択し
た線(たとえば最短のCO線からのλ=309.8nm
)の波長、nは、エツチングされる材料、たとえばフォ
トレジストの屈折率で、層の厚み及び波長に依存し、た
とえばTHf=1μm1λ=309.8nmの場合、n
=1.8、Tは、1周期の時間である。
フォトレジストのエッチ速度は、連続した最小値間の観
察時間すなわち周期Tを用いて決定でき、SEMの断面
で確認することができる。エッチ速度が分れば、エツチ
ングされた厚みdTHを連続的に計算することができる
dTH=ERX を 上式で、tは経過した時間である。
1周期の時間とエツチングされる厚みとの関係が確立さ
れると、横方向の寸法の減少を制御することは容易であ
る。
水平対垂直のエッチ比ERRhvは、 E RRh v = E Rh / E Rv上式で、
ERvは垂直方向のエッチ速度、ERhは水平方向のエ
ッチ速度である。
一般に、ERRhvは1に近いが(理想的な等方性の場
合は、ERRhv=1) 、実際には正確な監視が必要
であり、真のERRhvは予備実験によって決定しなけ
ればならない。基本的には、ERRhvは、主としてパ
ターン・ファクタ、たとえばフォトレジスト皮膜によっ
て被覆されたウェーハの割合等に依存し、0.5〜0.
75の範囲である。パターン・ファクタは、実際にはマ
スクから得られる。
dTHXERRvhは、片側の横方向寸法の減少dWを
表し、したがって全体の減少はその2倍になる。工程終
了時には、 LWf=LWe−2dW =LWe−(2XdTHfXERRhv)となる。この
計算を用いると、連続的な厳密な線幅の制御が可能にな
り、最終の所要の線幅LWfが得られる。
要約すれば、干渉測定のために、標準のRIE装置にH
eNeレーザ源を設ける。しかし、波長が比較的長いた
め(λ= 832.8 nm) 、システム18による
エツチングした厚み(dTH)の測定は精度が十分では
ない。第5図は、dTH=0.17μmに相当する約1
20秒の周期T’を示す曲線Cである。、本発明者等は
、エツチング工程中にグロー放電により自然に発生する
放射線は、波長が短いだけでなく、ある条件下では干渉
縞を形成することを発見した。その結果、第4図に28
で示す本発明の追跡・監視システムは、既知のシステム
より精度がはるかに高い。第5図では、曲線Cは、最短
のCO線(λ=309.8nm)で得られる干渉を表す
。このCO線を用いると、エツチングした厚みをdTH
=0.08μmと薄くシ、対応する周期Tを約60秒(
TはT1の約半分)にすることができる。その結果、本
発明の方法により、線幅が600nm%精度が3σで±
180nmの多結晶シリコン線を形成することができる
F0発明の効果 本発明による、MLR技術でなくSLR技術に基づく、
高解像度で再現性のあるパターンを作成する方法の利点
は下記の通りである。
−工程が簡単で安価となり、従来の11ステツプから6
ステツプに短縮される。重要なステップが従来の6つに
対し、2つである。
−PECVD付着を必要とせず、高価なPECVD装置
を使用しなくてもよくなり、干渉解析法が使用できる。
−異物による汚染やレジストのピンホールの影響を受け
にくい。
−ステップ4及び5(第1IA表)を、T e g a
11511など単一のRIE装置1台で行なうことがで
きる。
− タイマの代りに、精密な干渉測定に基づく正確な現
場でのプロセス制御の監視により、従来より解像度が高
く精密なパターンが得られる。
−エツチングの均一性が改善される。
−再現性がある。
一般に、本発明の方法は、他の材料(たとえば酸化物、
金属等)、他の工程(たとえば自己整合プロセス用のレ
ジストのエッチ・バック)、その他の用途にも使用する
ことができる、 さらに、ウェーハのバッチ全体のエツチングの終点をよ
り正確に決定するためのアルゴリズムが開発可能である
【図面の簡単な説明】
第1A図ないし第1D図は、単層レジスト(SLR)技
術に基づく、本発明の方法の第1の好ましい実施例によ
るサブミクロン級の多結晶シリコン・ゲートの製造の詳
細を示す図である。 第2A図ないし第2D図は、本発明の第2の好ましい実
施例によるサブミクロン級の多結晶シリコン・ゲート(
またはスペーサ)の製造の詳細を示す図である。 第3A図ないし第3F図は、多層レジスト(MLR)技
術に基づく方法による、サブミクロン級の多結晶シリコ
ン・ゲートの製造の詳細を示す図である。 第4図は、従来の干渉計、及び本発明の新規な分光計に
基づく追跡システムを備えた、上記の方法を実施するた
めの標準のRIE装置を示す図である。 第5図は、従来の干渉計、及び本発明の追跡システムに
より発生する代表的な出力信号を示すグラフである。 15・・・・絶縁基板、16・・・・多結晶シリコン皮
膜、17・・・・フォトレジスト皮膜、18・・・・干
渉計システム、19・・・・エツチング・システム、2
0・・・・エッチ処理室、21・・・・サセプタ、22
・・・・ウェーハ、23A123B・・・・ビュー・ポ
ート、24・・・・レーザ、26A・・・・ビーム・ス
プリッタ、26B・・・・ミラー、27・・・・フォト
ダイオード、28・・・・追跡システム、29・・・・
ファイバ・プローブ、30・・・・モノクロメータ、3
1・・・・検出器、32・・・・分光計、34・・・・
コンピュータ、35・・・・チャート・レコーダ。 出願人  インターナシミナル・ビジネス・マシーンズ
φコーポレーシ締ン 代理人  弁理士  頓  宮  孝  −(外1名) 第1A図 峰−−LWe −− 慎IB図 第1C図 ←Lwf → 例ID図 竺2A図 嬌−−LWe  −一争 ◆−−−LWe ’ −一◆ ◆−−LW@’   −一◆ 第3F図         第3F図 第4図 “        銀−

Claims (4)

    【特許請求の範囲】
  1. (1)基板上に形成したエッチング可能な材料の層を含
    む構造中に高解像度で再現性のあるパターンを形成する
    方法であって、 上記の層上に放射線感受性の皮膜を形成するステップ、 上記の放射線感受性の皮膜に第1の幅の第1のパターン
    を描くステップ、 上記の第1のパターンを等方性エッチングを行なって、
    横方向及び縦方向の寸法を減少させるステップ、 エッチングした厚みを正確に測定することにより、縦方
    向の寸法の減少を監視するステップ、対応する横方向の
    寸法の減少を相関させるステップ、及び 適切な横方向の寸法の減少が行なわれ、元の第1の幅よ
    り制御可能に小さい最終の所要の幅を有する第2のパタ
    ーンが形成されたときに、上記のエッチング・ステップ
    を終了するステップ を含むことを特徴とする上記の方法。
  2. (2)基板上に形成した層を含む構造中に高解像度で再
    現性のあるパターンを形成する方法であって、上記の層
    に第1の幅の第1のパターンを描くステップ、 上記の第1のパターンを等方性エッチングを行なって、
    横方向及び縦方向の寸法を減少させるステップ、 エッチングした厚みを正確に測定することにより、縦方
    向の寸法の減少を監視するステップ、対応する横方向の
    寸法の減少を相関させるステップ、及び 第1の幅より制御可能に小さい最終の所要の幅を有する
    第2のパターンが形成されたときに、上記のエッチング
    ・ステップを終了するステップを含むことを特徴とする
    上記の方法。
  3. (3)プラズマを含有し、石英のビュー・ポートを設け
    た処理室と、エッチングすべき構造を支持する半導体ウ
    ェーハを保持するサセプタとを有する、RIE装置中で
    のエッチングを監視する追跡システムであって、 上記のビュー・ポートに近接し、上記のウェーハに垂直
    な光ファイバ手段と、 エッチング中にグロー放電によって発生する所定の化学
    種の1本の線を濾過して特性波長を選択し、その線の強
    度を示し、エッチングされた厚みに応じた最大及び最小
    を有する可変の出力信号を発生する分光計手段と、 エッチングされた厚みを連続的に正確に測定するために
    、上記の出力信号を検出する手段と、を含むことを特徴
    とする上記のシステム。
  4. (4)層上に形成したパターンからなる構造の乾式エッ
    チングの中間終点を、上記のパターンの横方向の所要の
    寸法の減少が行なわれた時点で検出する方法であって、 上記のパターンをエッチング室中でエッチング媒体に露
    出させるステップ、 グロー放電中に発生する化学種の特性波長を選択するス
    テップ、 分光計によりパターンの垂直入射時の選択された波長を
    観察するステップ、 エッチングされた厚みを測定することにより、縦方向の
    寸法の減少を連続的に監視するステップ、対応する横方
    向の寸法の減少を相関させるステップ、及び 上記の横方向の寸法の減少が所要の値に達したときに、
    上記の露出を終了させるステップ を含むことを特徴とする上記の方法。
JP2097815A 1989-04-28 1990-04-16 パターン形成方法 Expired - Lifetime JPH0614510B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP89480070A EP0394597A1 (en) 1989-04-28 1989-04-28 Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
EP89480070.5 1989-04-28

Publications (2)

Publication Number Publication Date
JPH02303022A true JPH02303022A (ja) 1990-12-17
JPH0614510B2 JPH0614510B2 (ja) 1994-02-23

Family

ID=8203058

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2097815A Expired - Lifetime JPH0614510B2 (ja) 1989-04-28 1990-04-16 パターン形成方法

Country Status (3)

Country Link
US (1) US5139904A (ja)
EP (1) EP0394597A1 (ja)
JP (1) JPH0614510B2 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004509463A (ja) * 2000-09-13 2004-03-25 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド ポリゲートエッチング後の無機反射防止コーティングのドライ等方性除去
US6716761B2 (en) 2001-01-16 2004-04-06 Semiconductor Leading Edge Technologies, Inc. Method of forming fine patterns
JP2004530922A (ja) * 2001-03-28 2004-10-07 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド サブリソグラフィフォトレジストフィーチャーを形成するプロセス
JP2004533110A (ja) * 2001-03-28 2004-10-28 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Eビーム放射を使用した、改良されたトランジスタゲート
JP2005012218A (ja) * 2003-06-18 2005-01-13 Applied Materials Inc エッチング処理をモニタリングする方法およびシステム
JP2005303088A (ja) * 2004-04-13 2005-10-27 Oki Electric Ind Co Ltd プラズマ処理装置及びレジストトリミング方法
JP2007531054A (ja) * 2004-03-31 2007-11-01 東京エレクトロン株式会社 マスクをエッチングするためのシステムおよび方法
JP2008505490A (ja) * 2004-06-30 2008-02-21 ラム リサーチ コーポレーション プラズマ処理システムにおけるエッチング耐性を最適にする方法および装置
US7425480B2 (en) 1999-04-28 2008-09-16 Kabushiki Kaisha Tohisba Semiconductor device and method of manufacture thereof
US7824996B2 (en) 2001-03-29 2010-11-02 Kabushiki Kaisha Toshiba Semiconductor device fabrication method and semiconductor device
US8158527B2 (en) 2001-04-20 2012-04-17 Kabushiki Kaisha Toshiba Semiconductor device fabrication method using multiple resist patterns

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5413966A (en) 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5290396A (en) 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
EP0511448A1 (en) * 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
US5248625A (en) * 1991-06-06 1993-09-28 Lsi Logic Corporation Techniques for forming isolation structures
KR960000225B1 (ko) * 1991-08-26 1996-01-03 가부시키가이샤 한도오따이 에네루기 겐큐쇼 절연게이트형 반도체장치의 제작방법
US5175127A (en) * 1992-06-02 1992-12-29 Micron Technology, Inc. Self-aligned interlayer contact process using a plasma etch of photoresist
US5591564A (en) * 1993-04-30 1997-01-07 Lsi Logic Corporation Gamma ray techniques applicable to semiconductor lithography
US5485243A (en) * 1993-04-30 1996-01-16 Lsi Logic Corporation Afocal concentrator for low wavelength lithography, particularly for semiconductor lithography
US5374974A (en) * 1993-04-30 1994-12-20 Lsi Logic Corporation High speed shuttle for gating a radiation beam, particularly for semiconductor lithography apparatus
US5572562A (en) * 1993-04-30 1996-11-05 Lsi Logic Corporation Image mask substrate for X-ray semiconductor lithography
US5512395A (en) * 1993-04-30 1996-04-30 Lsi Logic Corporation Image masks for semiconductor lithography
US5431770A (en) * 1993-10-13 1995-07-11 At&T Corp. Transistor gate formation
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
US6010829A (en) * 1996-05-31 2000-01-04 Texas Instruments Incorporated Polysilicon linewidth reduction using a BARC-poly etch process
US5882537A (en) * 1996-11-25 1999-03-16 United Microelectronic Corp. Metallic precipitate monitoring method
US6930028B1 (en) * 1997-06-09 2005-08-16 Texas Instruments Incorporated Antireflective structure and method
US5962195A (en) * 1997-09-10 1999-10-05 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US6297166B1 (en) * 1999-04-22 2001-10-02 International Business Machines Corporation Method for modifying nested to isolated offsets
US6197687B1 (en) * 1999-09-13 2001-03-06 Advanced Micro Devices, Inc. Method of patterning field dielectric regions in a semiconductor device
JP3479010B2 (ja) * 1999-11-04 2003-12-15 Necエレクトロニクス株式会社 不揮発性半導体記憶装置の製造方法
US6423457B1 (en) * 2000-01-27 2002-07-23 Advanced Micro Devices, Inc. In-situ process for monitoring lateral photoresist etching
US6420097B1 (en) 2000-05-02 2002-07-16 Advanced Micro Devices, Inc. Hardmask trim process
US6514871B1 (en) * 2000-06-19 2003-02-04 Advanced Micro Devices, Inc. Gate etch process with extended CD trim capability
JP2002009056A (ja) * 2000-06-22 2002-01-11 Mitsubishi Electric Corp 微細パターン形成方法およびその方法により製造した装置
KR100811964B1 (ko) * 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 레지스트 패턴 형성장치 및 그 방법
JP3458352B2 (ja) * 2000-10-05 2003-10-20 Tdk株式会社 レジストパターンの作製方法、薄膜のパターニング方法、及びマイクロデバイスの製造方法
DE10051380C2 (de) * 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6500755B2 (en) * 2000-12-06 2002-12-31 Advanced Micro Devices, Inc. Resist trim process to define small openings in dielectric layers
US6642152B1 (en) 2001-03-19 2003-11-04 Advanced Micro Devices, Inc. Method for ultra thin resist linewidth reduction using implantation
US6828259B2 (en) * 2001-03-28 2004-12-07 Advanced Micro Devices, Inc. Enhanced transistor gate using E-beam radiation
US6689661B2 (en) * 2001-04-10 2004-02-10 Micron Technology, Inc. Method for forming minimally spaced MRAM structures
US7767928B2 (en) * 2001-09-05 2010-08-03 Lasertec Gmbh Depth measurement and depth control or automatic depth control for a hollow to be produced by a laser processing device
US6884722B2 (en) * 2001-09-27 2005-04-26 International Business Machines Corporation Method of fabricating a narrow polysilicon line
US20030092281A1 (en) * 2001-11-13 2003-05-15 Chartered Semiconductors Manufactured Limited Method for organic barc and photoresist trimming process
US20040018450A1 (en) * 2002-07-25 2004-01-29 United Microlectronics Corp. Method for transferring patterns
JP2005157090A (ja) * 2003-11-27 2005-06-16 Mitsumi Electric Co Ltd 光導波路デバイス
WO2005094231A2 (en) * 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
DE102004031111B4 (de) * 2004-06-28 2007-03-01 Infineon Technologies Ag Verfahren zum Herstellen sublithografischer Gate-Strukturen eines Feldeffekttransistoren
DE102005009514B4 (de) * 2005-02-25 2009-11-26 BAM Bundesanstalt für Materialforschung und -prüfung Testprobe für die Bestimmung der lateralen Auflösung von bildgebenden Messgeräten
US20060251188A1 (en) * 2005-03-28 2006-11-09 Akros Silicon, Inc. Common-mode suppression circuit for emission reduction
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
JP2010087298A (ja) * 2008-09-30 2010-04-15 Toshiba Corp 半導体装置の製造方法
US9059038B2 (en) * 2012-07-18 2015-06-16 Tokyo Electron Limited System for in-situ film stack measurement during etching and etch control method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5650516A (en) * 1979-10-02 1981-05-07 Mitsubishi Electric Corp Endpoint detecting method

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US4003044A (en) * 1974-09-30 1977-01-11 Wolsk Associates, Ltd. Alarm device having an oscillator
US3997367A (en) * 1975-11-20 1976-12-14 Bell Telephone Laboratories, Incorporated Method for making transistors
US4198261A (en) * 1977-12-05 1980-04-15 Gould Inc. Method for end point detection during plasma etching
US4415402A (en) * 1981-04-02 1983-11-15 The Perkin-Elmer Corporation End-point detection in plasma etching or phosphosilicate glass
US4419810A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Self-aligned field effect transistor process
US4430791A (en) * 1981-12-30 1984-02-14 International Business Machines Corporation Sub-micrometer channel length field effect transistor process
US4419809A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
USRE33622E (en) * 1986-09-04 1991-06-25 At&T Bell Laboratories Integrated circuits having stepped dielectric regions

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5650516A (en) * 1979-10-02 1981-05-07 Mitsubishi Electric Corp Endpoint detecting method

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7425480B2 (en) 1999-04-28 2008-09-16 Kabushiki Kaisha Tohisba Semiconductor device and method of manufacture thereof
JP2004509463A (ja) * 2000-09-13 2004-03-25 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド ポリゲートエッチング後の無機反射防止コーティングのドライ等方性除去
US6716761B2 (en) 2001-01-16 2004-04-06 Semiconductor Leading Edge Technologies, Inc. Method of forming fine patterns
JP2004530922A (ja) * 2001-03-28 2004-10-07 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド サブリソグラフィフォトレジストフィーチャーを形成するプロセス
JP2004533110A (ja) * 2001-03-28 2004-10-28 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Eビーム放射を使用した、改良されたトランジスタゲート
US7824996B2 (en) 2001-03-29 2010-11-02 Kabushiki Kaisha Toshiba Semiconductor device fabrication method and semiconductor device
US8163611B2 (en) 2001-03-29 2012-04-24 Kabushiki Kaisha Toshiba Semiconductor device fabrication method and semiconductor device
US8183119B2 (en) 2001-03-29 2012-05-22 Kabushiki Kaisha Toshiba Semiconductor device fabrication method using multiple mask patterns
US8158527B2 (en) 2001-04-20 2012-04-17 Kabushiki Kaisha Toshiba Semiconductor device fabrication method using multiple resist patterns
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
JP2005012218A (ja) * 2003-06-18 2005-01-13 Applied Materials Inc エッチング処理をモニタリングする方法およびシステム
JP2007531054A (ja) * 2004-03-31 2007-11-01 東京エレクトロン株式会社 マスクをエッチングするためのシステムおよび方法
JP2005303088A (ja) * 2004-04-13 2005-10-27 Oki Electric Ind Co Ltd プラズマ処理装置及びレジストトリミング方法
JP2008505490A (ja) * 2004-06-30 2008-02-21 ラム リサーチ コーポレーション プラズマ処理システムにおけるエッチング耐性を最適にする方法および装置

Also Published As

Publication number Publication date
JPH0614510B2 (ja) 1994-02-23
US5139904A (en) 1992-08-18
EP0394597A1 (en) 1990-10-31

Similar Documents

Publication Publication Date Title
JPH02303022A (ja) パターン形成方法
KR101046918B1 (ko) 식각공정을 모니터링하기 위한 방법 및 시스템
US4680084A (en) Interferometric methods and apparatus for device fabrication
KR100299635B1 (ko) 반도체웨이퍼제조를모니터하고평가하기위한시스템및방법
JP4861987B2 (ja) 膜スタックをエッチングするための方法およびシステム
US5223914A (en) Follow-up system for etch process monitoring
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
CN110326089B (zh) 等离子处理方法以及等离子处理装置
JPH05118825A (ja) トレンチ形成プロセスの現場及びオンラインの監視方法及び装置
JPH04225232A (ja) エッチング終点検出方法及び装置
US20020115276A1 (en) A method of etching polycrystalline silicon film by using two consecutive dry-etching processes
US7291446B2 (en) Method and system for treating a hard mask to improve etch characteristics
WO2006025944A1 (en) Method and system for etching a gate stack
JP3713426B2 (ja) エッチング深さ測定方法および装置、エッチング方法
TW200402762A (en) Method for controlling the extent of notch or undercut in an etched profile using optical reflectometry
JP2002064047A (ja) 半導体装置の製造方法および半導体製造装置
Huang et al. Extended chamber matching and repeatability study for chrome etch
US20050136666A1 (en) Method and apparatus for etching an organic layer
JPH0567590A (ja) 半導体装置のエツチングにおける終点検出方法
CN113314407A (zh) 一种局部减薄制造方法
Bersin et al. Faithful Pattern Transfer: What Are The Limits In Production?
JPH05333523A (ja) 位相シフトマスクの製造方法
Stutzman Correlation of process with topography evolution during reactive ion etching