JP2007531054A - マスクをエッチングするためのシステムおよび方法 - Google Patents

マスクをエッチングするためのシステムおよび方法 Download PDF

Info

Publication number
JP2007531054A
JP2007531054A JP2007506163A JP2007506163A JP2007531054A JP 2007531054 A JP2007531054 A JP 2007531054A JP 2007506163 A JP2007506163 A JP 2007506163A JP 2007506163 A JP2007506163 A JP 2007506163A JP 2007531054 A JP2007531054 A JP 2007531054A
Authority
JP
Japan
Prior art keywords
layer
critical dimension
trim amount
pattern
variable parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007506163A
Other languages
English (en)
Other versions
JP2007531054A5 (ja
Inventor
ホンギュ・ユエ
朝夫 山下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007531054A publication Critical patent/JP2007531054A/ja
Publication of JP2007531054A5 publication Critical patent/JP2007531054A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

パターン内に存在する土地を横方向にトリミングしながら、パターンを上にある層から下にある層に転写するシステムおよび方法を記載する。パターンの転写は、プロセスレシピに基づくエッチング処理を用いて行われ、プロセスレシピ内の少なくとも1つの可変パラメーターは、目標トリム量を仮定して調節される。可変パラメーターの調節は、トリム量データを可変パラメーターに関連付けるために確立されたプロセスモデルを用いて行われる。

Description

このPCT出願は、2004年3月31日に提出された米国仮特許出願番号第10/813,570号に基づき、この出願に頼っており、その全体の内容は、引用することにより本明細書に援用する。
本発明は、マスクをエッチングするためのシステムおよび方法に関し、特に、パターンを上にある層からマスク層に転写し、その際、エッチングによってマスク内のパターンを横方向にトリミングするシステムおよび方法に関する。
半導体プロセスでは、(ドライ)プラズマエッチング処理は、微細な線に沿っているか、またはシリコン基材上にパターン化されたビアホール(via)または接点内の材料を除去またはエッチングするために使用することができる。プラズマエッチング処理は、一般に、上にあるパターン化された保護層、たとえばフォトレジスト層を有する半導体基材を処理チャンバ内に配置するステップを含む。基材をチャンバ内に配置した後、イオン化可能な解離性ガス混合物が、予め指定された流量でチャンバ内に導入され、その際、真空ポンプは、周囲処理圧を達成するためにスロットルされる。その後、プラズマは、存在するガス種の一部分が、誘導的もしくは容量的な無線周波(RF)電力の伝達、またはたとえば電子サイクロトロン共鳴(ECR)を使用したマイクロ波電力の伝達を介して加熱された電子によってイオン化される時に形成される。さらに、加熱された電子は、周囲のガス種のいくつかの種を分解し、露出面のエッチング化学作用に適する反応種を形成する。
プラズマが形成されると、基材の選択した表面が、プラズマによってエッチングされる。このプロセスは、様々なフィーチャ(feature)(たとえばトレンチ、接点、ゲートなど)を基材の選択領域にエッチングするのに適する条件、たとえば望ましい反応物質およびイオン群の適切な濃度などを達成するように調節される。エッチングが必要なこのような基材材料としては、二酸化シリコン(SiO)、低k誘電材料、ポリシリコン、および窒化シリコンが挙げられる。
材料処理時、フィーチャなどのエッチングは、上にある層内に形成されたパターンを、内部に個々のフィーチャが形成される下にある層に転写することを含む。上にある層は、たとえば、(ネガ型またはポジ型)フォトレジストなどの感光材料を含むことができる。パターンが上にある層から下にある層内に転写されると、下にある層は、単独で、または上にある層と共に、下にあるフィルムをエッチングするためのマスクとして機能することが可能である。
本発明の一態様では、1ステップマスク開放プロセスは、第1層を基材上に形成するステップと、パターンを第2層に形成するステップであって、パターンが、第1限界寸法を有する第2層内のフィーチャを含むステップと、第1限界寸法を第2限界寸法に縮小するために、目標トリム量を設定するステップと、目標トリム量を使用するプロセスレシピの可変パラメーター、およびトリム量データを可変パラメーターに関連付けるプロセスモデルを決定するステップと、プロセスレシピを使用して、パターンを第2層から第1層に転写し、その際、第1層内のフィーチャの第2限界寸法を達成するステップとを含む。
本発明のもう1つの態様では、プロセスモデルを作成する方法は、第1のフィーチャのサイズを有するパターンを基材上の上にある層から下にある層に転写するための名目プロセスレシピを画定するステップであって、名目プロセスレシピが、可変プロセスパラメーターおよび少なくとも1つの定数プロセスパラメーターを含むステップと、可変パラメーターの1つまたは複数の値に関するトリム量を測定することによって、トリム量データを可変パラメーターの関数として蓄積するステップと、可変パラメーターの関数として、トリム量データをカーブフィットするステップとを含む。
本発明のさらにもう1つの態様では、エッチングシステムは、処理チャンバと、処理チャンバに結合され、基材を支持するように構成された基材保持具と、処理チャンバに結合され、プラズマを処理チャンバ内に形成するように構成されたプラズマ源と、処理チャンバに結合され、プロセスガスを処理チャンバに導入するように構成されたガス射出システムと、処理チャンバ、基材保持具、プラズマ源、およびガス射出システムの少なくとも1つに結合され、プロセスレシピを実行して、基材上にある層内の第1限界寸法を持つフィーチャを有するパターンを下にある層に転写し、その際、プロセスモデルによって設定された目標トリム量によって、第1限界寸法を第2限界寸法に縮小するための制御装置とを備える。
材料プロセス手順では、パターンエッチングは、フォトレジストなどの感光性材料の薄い層を基材の上面に塗布し、次に、この層はパターン化され、エッチング時に、このパターンを下にある薄膜に転写するためのマスクを提供する。感光性材料のパターン化は、一般に、たとえばマイクロリソグラフィシステムを用いて感光性材料のレティクル(および関連する光学系)を通して放射線源に暴露し、次に、現像溶剤を使用して、感光性材料の照射領域(ポジ型フォトレジストの場合と同様)、または非照射領域(ネガ型レジストの場合と同様)を除去する。
さらに、多層マスクは、フィーチャを薄膜にエッチングするために実施することが可能である。たとえば、二層マスクを使用して、フィーチャを薄膜にエッチングする場合、感光性材料層など、上にあるマスク層のマスクパターンは、薄膜の主エッチングステップの前に、別個のエッチングステップを使用して下にあるマスク層に転写される。たとえば、下にあるマスク層は、有機薄膜、たとえば反射防止コーティング(ARC、もしくはボトムARC(BARC))、無機薄膜、またはハイブリッド有機−無機薄膜を含むことが可能である。
薄膜に形成されるフィーチャのサイズを縮小するため、下にあるマスク層は横方向にトリミングし、上にあるマスク層に形成されるパターンを下にあるマスク層に転写する。たとえば、図1Aは、薄膜12が上に配置された基材10を含む積層膜11を示す。積層膜11は、薄膜12上に形成された第1層14をさらに備え、第1層14上に第2層16が形成される。第1層14および第2層16は、スピンオン塗布(SOD)技術、および/または化学蒸着(CVD)などの蒸着技術を用いて形成することが可能である。これらの技術はどちらも、材料塗布の当業者には十分周知されている。
第2層16は、フォトレジストなどの感光性材料の層を含むことが可能である。第2層16は、追跡システムを使用して形成することができる。追跡システムは、248nmレジスト、193nmレジスト、157nmレジスト、EUVレジスト、(トップ/ボトム)反射防止コーティング(TARC/BARC)、およびトップコートをプロセスするように構成することができる。たとえば、追跡システムは、東京エレクトロン株式会社(TEL)から市販されている、クリーントラックACT8、またはACT12レジストコーティングおよび現像システムを構成することができる。基材上にフォトレジスト膜を形成するためのその他のシステムおよび方法は、スピンオンレジスト技術の当業者には十分周知されている。第2層16が形成されると、マイクロリソグラフィを用いて、第2層16にパターン20が形成される。照射(露出)された第2層16を現像した後、フィーチャ21は、図1Aに示すように、第1限界寸法(CD:critical dimension)22を有する状態を保つ。
次に、図1Bを参照すると、パターン20は、プラズマエッチングなどのエッチングによって、第1層14に転写される。エッチング処理時に、縦方向(長手方向)エッチング(longitudinal etching)(参照符号24で示す)はパターン転写を完了し、横方向エッチング(lateral etching)(参照符号26で示す)は、第1限界寸法22が第2限界寸法28になるように横方向の寸法でフィーチャ21をトリミングする。
縦方向および横方向エッチングの両方を行うエッチング処理は、1ステッププロセスを完了させる。1ステップ化学作用のための処理化学物質としては、C含有ガス(x、yは、1以上の整数)、および酸素含有ガスが挙げられる。たとえば、C含有ガスとしては、CF、C2F、C、C、CもしくはC、またはこれらの2種類以上の何らかの組合せが挙げられる。さらに、酸素含有ガスとしては、O、CO、CO、NO、NO、NO、またはこれらの2種類以上の何らかの組合せが挙げられる。任意に、1ステップ処理化学物質として、希ガスなどの不活性ガス(たとえばHe、Ar、Kr、Xe、もしくはNe、またはこれらの2種類以上の任意の組合せ)、および/またはNを含むことが可能である。
一実施形態によると、1ステップエッチング処理を行うためのプラズマ処理は図2に示されており、このシステムは、プラズマ処理チャンバ10と、プラズマ処理チャンバ10に結合された診断システム12と、診断システム12およびプラズマ処理チャンバ10に結合された制御装置14とを備える。制御装置14は、上記の化学物質(つまり、C含有ガス、および酸素含有ガスなど)の少なくとも1つを含むプロセスレシピを実行して、第1マスク層をエッチングするように構成される。さらに、制御装置14は、終点信号を診断システム12から受信し、少なくとも1つの終点信号を後処理して、処理の終点を正確に決定する。図示の実施形態では、図2に示すプラズマ処理1は、プラズマを材料処理に使用する。プラズマ処理1は、エッチングチャンバを備える。
図3の実施形態によると、プラズマ処理1は、プラズマ処理チャンバ10と、処理される基材(基板)25が上に取り付けられる基材保持具20と、真空ポンプシステム30とを備えることができる。基材25は、たとえば、半導体基材、ウェハまたは液晶ディスプレーで良い。プラズマ処理チャンバ10は、基材25の表面に隣接する処理領域15におけるプラズマの生成を促進するように構成することができる。イオン化可能ガス、またはガスの混合物はガス射出システム(図示しない)を介して導入され、処理圧が調節される。たとえば、制御機構(図示しない)を使用して、真空ポンプシステム30をスロットルすることが可能である。プラズマは、予め決められた材料処理に特有の材料を生成するか、および/または材料を基材25の露出面から除去するために使用することができる。プラズマ処理1aは、200nm基板、300nm基板、または任意のサイズの基材を処理するように構成されることができる。
基材25は、たとえば、静電クランプシステムを介して基材保持具20に取り付けられる。さらに、基材保持具20は、たとえば、再循環冷却液流を含む冷却システムをさらに含むことができ、冷却液流は、基材保持具20から熱を収容し、熱を熱交換器システム(図示しない)に移動させるか、または加熱時に、熱を熱交換器から移動させる。さらに、ガスは、たとえば、後部ガスシステムを介して基材25の裏側に供給し、基材25と基材保持具20との間のガス−隙間熱伝導を改善することができる。このようなシステムは、温度が上昇または低下した場合に、基材の温度制御が必要な時に使用することができる。たとえば、後部ガスシステムは、ヘリウムガス隙間圧力を単独で基材25の中心と縁部との間で変化させることができる2領域ガス分配システムから構成することができる。その他の実施形態では、抵抗加熱要素、または熱電加熱器/冷却器などの加熱/冷却要素を基材保持具20、並びにプラズマ処理チャンバ10のチャンバ壁部、およびプラズマ処理1a内のその他の構成要素内に含むことができる。
図3に示す実施形態では、基材保持具20は電極を備えることができ、RF電力は、処理空間15において、この電極を通して処理プラズマに結合される。たとえば、基材保持具20は、RF発電機40からインピーダンス整合ネットワーク50を通して基材保持具20に至るRF電力の伝達を介して、RF電圧で電気的にバイアスすることができる。RFバイアスは、電極を加熱して、プラズマを形成および維持するために使用することができる。この構成では、システムは、チャンバおよび上部ガス射出電極が接地表面として機能する反応性イオンエッチング(RIE)反応器として動作することができる。RFバイアスの周波数は、約0.1MHz〜約100MHzの範囲で良い。プラズマ処理用のRFシステムは、当業者には十分周知されている。
別法によると、RF電力は、複数の周波数で基材保持具の電極に印加される。さらに、インピーダンス整合ネットワーク50は、反射電力を減少させることによって、プラズマ処理チャンバ10内のプラズマに対するRF電力の伝達を改善する機能を果たす。整合ネットワークの接続形態(たとえば、L型、π型、T型など)および自動制御方法は、当業者には十分周知されている。
真空ポンプシステム30は、たとえば、毎秒約5000リットル以下のポンプ速度が可能なターボ分子ポンプ(TMP)、およびチャンバ圧をスロットルするためのゲートバルブを備えることができる。ドライプラズマエッチングに使用される従来のプラズマ処理デバイスの場合、一般に、毎秒約1000〜約3000リットルのTMPが使用される。TMPは、一般に約50mTorr未満の低圧処理に有用である。高圧処理の場合(つまり、約100mTorrを超える場合)、メカニカルブースタポンプおよびドライ粗引きポンプを使用することができる。さらに、プラズマ処理チャンバ10には、チャンバ圧(図示しない)を監視するためのデバイスを結合することができる。圧力測定デバイスは、たとえば、MKS Instruments,Inc.(マサチューセッツ州、アンドーバー)から市販されている628B型Baratron絶対静電容量式圧力計で良い。
制御装置14は、マイクロプロセッサ、メモリ、およびプラズマ処理1aと通信し、プラズマ処理1aに対する入力を起動し、プラズマ処理1aからの出力を監視するのに十分な制御電圧を生成可能なディジタルI/Oポートを備える。さらに、制御装置14は、RF発電機40、インピーダンス整合ネットワーク50、ガス射出システム(図示しない)、真空ポンプシステム30、並びに後部ガス供給システム(図示しない)、基材/基材保持具温度測定システム(図示しない)、および/または静電クランプシステム(図示しない)に結合し、これらと情報を交換することができる。たとえば、メモリ内に記憶されたプログラムは、プロセスレシピに従ってプラズマ処理1aの上記構成要素に対する入力を起動して、マスク層をエッチングする方法を実行するために使用することができる。制御装置14の一例は、テキサス州、オースチンのDell Corporationから市販されているDELL PRECISION WORKSTATION610(登録商標)である。
診断システム12は、光診断サブシステム(図示しない)を備えることができる。光診断サブシステムは、プラズマから放射される光度を測定するため、(シリコン)光ダイオードなどの検出器、または光電子増倍管(PMT)を備えることができる。診断システム12は、狭帯域干渉フィルタなどの光フィルタをさらに備えることができる。別法による実施形態では、診断システム12は、線状CCD(電荷結合素子)、CID(電荷注入素子)アレイ、および格子またはプリズムなどの光分散素子の少なくとも1つを備えることができる。さらに、診断システム12は、一定の波長で光を測定するための単色光分光計(たとえば、格子/検出器システム)、または光スペクトルを測定するための分光計(たとえば、回転格子を有する)、たとえば米国特許第5,888,337号に記載されているデバイスを備えることができる。
診断システム12は、Peak Sensor SystemsまたはVerity Instruments,Inc.などが市販している高解像度光学発光分光法(OES)センサを備えることができる。このようなOESセンサは、紫外(UV)、可視(VIS)、および近赤外(NIR)光スペクトルに及ぶ広いスペクトルを有する。解像度は約1.4Åであり、つまり、このセンサは240〜100nmの5550波長を収集することができる。たとえば、OESセンサには、高感度小型光ファイバUV−VIS−NIR分光計を装備することができ、これは、結局、2048ピクセルの線状CDDアレイと一体化される。
分光計は、単一または束状の光ファイバを通して伝達される光を受信し、光ファイバから出力される光は、固定格子を使用して線状CCDアレイ全体に分散される。上記の構成と同様、光真空ウィンドウを通して放射される光は、凸状球面レンズを介して光ファイバの入力端部上に集束される。各々が一定のスペクトル範囲(UV、VISおよびNIR)に合わせて特に調整された3つの分光計は、処理チャンバのセンサを形成する。各々の分光計は、独立するA/D変換器を備える。最後に、このセンサの使用に応じて、0.1〜1.0秒ごとに完全な発光スペクトルを記録することができる。
図4に示す実施形態では、プラズマ処理1bは、たとえば、図2または3の実施形態に類似しており、図2および図3に関して説明した構成要素のほかに、固定しているか、または機械的もしくは電気的に回転する磁界システム60をさらに備え、プラズマ密度を潜在的に増加させるか、および/またはプラズマ処理の均一性を改善することができる。さらに、制御装置14は、回転速度および磁界の強さを調整するため、磁界システム60に結合することができる。回転磁界の設計および実施は、当業者には十分周知されている。
図5に示す実施形態では、プラズマ処理1cは、たとえば、図2または図3の実施形態に類似しており、RF電力をRF発電機72からインピーダンス整合ネットワーク74を通して結合することが可能な上部電極70をさらに備えることができる。RF電力を上部電極に印加するための周波数は、約0.1MHz〜約200MHzの範囲で良い。さらに、電力を下部電極に印加するための周波数は、約0.1MHz〜約100MHzの範囲で良い。さらに、制御装置14は、RF発電機72およびインピーダンス整合ネットワーク74に結合され、上部電極70に対するRF電力の印加を制御する。上部電極の設計および実施は、当業者には十分周知されている。
図6に示す実施形態では、プラズマ処理1dは、たとえば、図2および3の実施形態に類似しており、RF電力が、RF発電機82を介してインピーダンス整合ネットワーク84から結合される誘導コイル80をさらに備えることができる。RF電力は、誘導コイル80から誘電ウィンドウ(図示しない)を通してプラズマ処理領域45に誘導的に結合される。RF電力を誘導コイル80に対して印加するための周波数は、約10MHz〜約100MHzの範囲で良い。同様に、電力をチャック電極に印加するための周波数は、約0.1MHz〜約100MHzの範囲で良い。さらに、スロット付きファラデーシールド(図示しない)を使用すると、誘導コイル80とプラズマとの間の静電結合を減少させることができる。さらに、制御装置14は、RF発電機82およびインピーダンス整合ネットワーク84に結合され、誘導コイル80に対する電力の印加を制御する。別法による実施形態では、誘導コイル80は、変圧器結合プラズマ(TCP)反応器と同様、上からプラズマ処理領域15と連絡する「螺旋」コイルまたは「パンケーキ」コイルで良い。誘導結合プラズマ(ICP)源または変圧器結合プラズマ(TCP)源の設計および実施は、当業者には十分周知されている。
別法によると、プラズマは、電子サイクロトロン共鳴(ECR)を使用して形成することができる。さらにもう1つの実施形態では、プラズマは、ヘリコン波の励起により形成される。さらにもう1つの実施形態では、プラズマは、伝播表面波から形成される。蒸気の各々のプラズマ源は、当業者には十分周知されている。
一実施形態では、1ステップエッチング処理が行われ、それによって縦方向エッチングが、第2層から第1層へのパターンの転写を完了し、横方向エッチングが、エッチング処理後に形成されたフィーチャの目標限界寸法(CD)を達成する。たとえば、プラズマ処理デバイスは、図2〜6の何れかに記載する類の様々な要素、またはこれらの組合せを含むことができる。
一実施形態では、エッチングの方法は、C含有ガスおよび酸素含有ガスを有する処理化学物質を含む。たとえば、処理化学物質としては、CFおよびOが挙げられる。プロセスパラメーター空間は、約1〜約1000mTorrのチャンバ圧、約5〜約1000sccmの範囲のCFプロセスガス流量、約5〜約1000sccmの範囲のOプロセスガス流量、上部電極(たとえば、図5の要素70)の約200〜約2500Wの範囲のRFバイアス、下部電極(たとえば、図5の要素20)の約10〜約2500Wの範囲のRFバイアスを含むことが可能である。また、上部電極バイアス周波数は、約0.1MHz〜約200MHzの範囲、たとえば60MHzで良い。さらに、下部電極バイアス周波数は、約0.1MHz〜約100MHzの範囲、たとえば2MHzで良い。
第1の実施例では、プロセスモデルは、トリム量(たとえば、第1CD22と第2CD28との差、図1Aおよび1B参照)と、ガスの量との間の関係を形成するために作成される。たとえば、プロセスレシピが画定され、それによって、全体のプロセスガス流量(つまり、CFおよびO)、チャンバ圧、上部電極上のRFバイアス、下部電極上のRFバイアス、基材保持具の温度、およびチャンバの温度は、O比が変化する際に一定に保たれる。O比は、プロセスガス全体の量(たとえば、Oのモル流量およびCFのモル流量)に対するOの量(たとえば、Oのモル流量)の比率である。
図7は、縦方向(つまり、垂直方向)のエッチング速度をO比の関数として示す。縦方向エッチング速度は、第1層14の既知の厚さ、第1層14をエッチングする時に終点に達するために要する時間を考慮に入れて決定することができる。アスタリスク(*)はデータを表し、実線は、データのカーブフィット(多項式フィット、べき法則フィット、または指数関数フィットなど)を表し、点線は、予測される95%信頼限界を示す。図7のデータのカーブフィットは、ER1(エッチング速度)=3.328 x + 0.976(ここで、xは、横座標を表す)によって与えられる。
図8は、横方向エッチング速度をO比の関数として表す。縦方向エッチング速度は、測定トリム量、および第1層14をエッチングする時に終点に達するために要する時間を考慮に入れて決定することができる。アスタリスク(*)はデータを表し、実線は、データのカーブフィット(多項式フィット、べき法則フィット、または指数関数フィットなど)を表し、点線は、予測される95%信頼限界を示す。図8のデータのカーブフィットは、ER2(エッチング速度)=1.233 x + 0.056によって与えられる。
図9は、縦方向エッチング速度に対する横方向エッチング速度の比率を表す。アスタリスク(*)はデータ(つまり、未加工データから)を表し、実線は、データのカーブフィット(多項式フィット、べき法則フィット、または指数関数フィットなど)を表し、点線は、予測される95%信頼限界を示す。図9のカーブフィットは、ERR(エッチング速度比)=(x+0.035)/(2.999+0.685)によって与えられる。縦方向エッチング速度データ(図7)および横方向エッチング速度データ(図8)のカーブフィットからのエッチング速度比の式は、(x+0.044)/(2.699+0.791)(つまり、ERR〜ER2/ER1)である。
図10は、未加工データ、エッチング速度比のカーブフィット(つまり、データモデル)、縦方向および横方向エッチング速度のカーブフィット(つまり、ERモデル)を含む図9のデータを表す。
1ステップエッチング処理時のトリム量(TA)(つまり、第1CD22と第2CD28との差)は、以下の式によって与えられる。
TA=2OE ER横方向(τ/ER縦方向) (1)
ここで、OEは、過剰エッチングの量(たとえば、OE=10%の過剰エッチングの場合1.1)を表し、ER横方向は横方向のエッチング速度を表し、ER縦方向は縦方向のエッチング速度を表し、τは第1層14の厚さを表す。方程式(1)を見ると、トリム量(TA)はエッチング速度比(ERR)に正比例する。次に、図11を参照すると、トリム量データは、O比の関数として表されている。アスタリスク(*)はデータ(つまり、未加工データから)を表し、実線はデータのカーブフィットを表し、点線は予測される95%信頼限界を示す。カーブフィットは、以下の形態である。
TA=x+a)/bx+c) (2)
ここで、a、b、およびcは定数である。図12に示すように、モデルの本来の境界(たとえば、0.25<O比<0.4)外におけるプロセスモデルの外挿は、たとえば、多項式フィットの改善を示す。たとえば、表1は、二次多項式、三次多項式、および方程式(2)の形態の式(つまり、ERに基づくモデル)のカーブフィット統計を示す。カーブフィット統計としては、予測R、二乗平均平方根誤差(RSME)、最大予測誤差、平均予測誤差、および予測RMSEが挙げられる。
表1および図13に示すとおり、ERに基づくモデルは三次多項式に十分匹敵するが、三次多項式によって提示される過剰フィットを提示しない。
図14は、フローチャート100を使用して1ステップエッチング処理を行うための方法を示す。フローチャート100は、第1層を基材上に形成する110で開始する。第1層は、たとえば有機層を含むことができる。
表1
Figure 2007531054
120では、第2層は第1層上に形成される。第2層は、たとえば感光性材料層を含むことができる。130では、パターンは第2層内に形成され、このパターンは、第1限界寸法を有する第2層内のフィーチャを含む。パターンは、たとえばマイクロリソグラフィを使用して形成することができる。
140では、目標トリム量は、第1限界寸法を第2限界寸法にトリミングするように設定される。150では、プロセスレシピの可変パラメーターは、目標トリム量、およびトリム量データを可変パラメーターに関連付けループプロセスモデルを使用して決定される。たとえば、可変パラメーターとしては、プロセスガスの量、チャンバ圧、RF電力、温度などが挙げられる。さらに、たとえば、ガス量としては、質量、モル数、質量流量、モル流量、質量分率、部分圧力、または濃度が挙げられる。さらに、たとえば、プロセスモデルは、図11〜13に示すように、トリム量をモル分率と関連付けることができる。
160では、パターンは、プロセスレシピによるエッチング処理を使用して、第2層(つまり、上にある層)から第1層(つまり、下にある層)に転写される。パターンを第1層に、および第1層を通して転写する際、第2層に形成されたフィーチャの第1限界寸法は、フィーチャが第1層に形成される時に、第2限界寸法に縮小される。
別法による一実施形態では、パターンを第1層に転写した後、第2限界寸法を測定し、第1限界寸法と第2限界寸法との差を決定する。この差を目標トリム量と比較し、この比較から、定常偏差(つまり、誤差)を決定する。その後、以前に実行した基材の次に、別の基材の新しい目標トリム量を選択する場合、新しい目標トリム量は、偏差を使用して調節される。たとえば、調節には、以下などのフィルタを使用することができる。
new,a=(1−λ)xnew+λy (3)
ここで、xnew,aが調節された新しい目標トリム量である場合、xnewはこの新しい目標トリム量であり、yは偏差、λはフィルタ定数(0<λ<1)である。
次に、図15を参照すると、プロセスモデルを作成する方法が記載されている。この方法は、第1のフィーチャのサイズを有するパターンを基材上の上にある層から下にある層に転写するための名目プロセスレシピを画定する210で開始するフローチャート200を含み、名目プロセスレシピは、少なくとも1つの可変パラメーターおよび少なくとも1つの定数パラメーターから成る。
220では、トリム量データは、可変パラメーターの1つまたは複数の値のトリム量を測定することによって、少なくとも1つの可変パラメーターの関数として蓄積される。230では、可変パラメーターの関数としてのトリム量データは、カーブフィットされる。たとえば、カーブフィットは、y=(x+a)/(bx+c)の式を含むことができ、式中、a、b、およびcは定数、xは少なくとも1つの可変パラメーター、yはトリム量である。
本発明の特定の実施形態のみを上記で詳細に説明したが、当業者は、本発明の新規な示唆および利点から著しく逸脱することなく、実施形態に多くの変更を加えることが可能であることが容易に分かるであろう。したがって、このような変更はすべて、本発明の範囲内に含まれることを意図する。
積層膜の略図である。 積層膜の略図である。 本発明の一実施形態によるプラズマ処理の単純化された略図を示す。 本発明のもう1つの実施形態によるプラズマ処理の略図を示す。 本発明のもう1つの実施形態によるプラズマ処理の略図を示す。 本発明のもう1つの実施形態によるプラズマ処理の略図を示す。 本発明のもう1つの実施形態によるプラズマ処理の略図を示す。 ガス比の関数としてのエッチング速度データを示す。 ガス比の関数としての追加のエッチング速度データを示す。 図7および8に示されているエッチング速度データの比率をガス比の関数として示す。 エッチング速度および2つのプロセスモデルの比率をガス比の関数として示す。 トリム量データをガス比の関数として示す。 トリム量データをガス比に関連付けるプロセスモデルを示す。 図12のプロセスモデルと、トリム量データの二次多項式フィットおよび三次多項式フィットとを比較する。 本発明の一実施形態による1ステップマスク開放プロセスを行う方法を示す。 本発明の一実施形態によるプロセスモデルを作成する方法を示す。

Claims (20)

  1. 1ステップマスク開放プロセスを行う方法であって、
    第1層を基材上に形成するステップと、
    第2層を第1層上に形成するステップと、
    パターンを前記第2層に形成するステップであって、前記パターンが、第1限界寸法を有する前記第2層にフィーチャを含むステップと、
    前記第1限界寸法を第2限界寸法に縮小するための目標トリム量を設定するステップと、
    前記目標トリム量、およびトリム量データを前記可変パラメーターに関連付けるプロセスモデルを使用してプロセスレシピの可変パラメーターを決定するステップと、
    前記プロセスレシピを使用して、パターンを前記第2層から前記第1層に転写し、その際、前記第1層内の前記フィーチャの前記第2限界寸法を達成するステップとを含む方法。
  2. 前記目標トリム量を設定する前記ステップが、前記第1限界寸法と前記第2限界寸法との差を決定するステップを含む、請求項1に記載の方法。
  3. 前記可変パラメーターを決定するステップが、第1プロセスガスの量、第2プロセスガスの量、前記第1プロセスガスおよび前記第2プロセスガス全体の量、チャンバ圧、もしくは少なくとも1つのRF電力、またはこれらの2種類以上の任意の組合せを設定するステップを含む、請求項1に記載の方法。
  4. 前記可変パラメーターを決定するステップが、CFの流量、Oの流量、チャンバ圧、上部電極に対するRF電力、もしくは下部電極に対するRF電力、またはこれらの2種類以上の任意の組合せを設定するステップを含む、請求項3に記載の方法。
  5. 前記可変パラメーターを決定するステップが、前記第1プロセスガスの前記量を前記プロセスモデルから決定するステップと、前記第2プロセスガスの前記量を前記第1プロセスガスの前記量、並びに前記第1プロセスガスおよび前記第2プロセスガスの前記全体量から決定するステップとを含む、請求項3に記載の方法。
  6. 前記可変パラメーターを決定する前記ステップが、トリム量データ(y)をy=(x+a)/(bx+c)、ここで、a、b、およびcは定数の形式の前記可変パラメーター(x)に関連付けるプロセスモデルを使用することを含む、請求項1に記載の方法。
  7. 前記第1層を形成するステップが、スピンオン塗布および/または蒸着を使用することを含む、請求項1に記載の方法。
  8. 前記第1層を形成する前記ステップが、有機層を形成することを含む、請求項1に記載の方法。
  9. 前記第2層を形成するステップが、スピンオン塗布および/または蒸着を使用することを含む、請求項1に記載の方法。
  10. 前記第2層を形成する前記ステップが、感光性材料の層を形成することを含む、請求項1に記載の方法。
  11. 前記パターンを前記第2層に形成する前記ステップが、マイクロリソグラフィを使用することを含む、請求項1に記載の方法。
  12. 前記パターンを前記第2層から前記第1層に転写する前記ステップが、前記プロセスレシピに従ってドライプラズマエッチングを使用することを含む、請求項1に記載の方法。
  13. パターンを前記第2層から前記第1層に転写する前記ステップが、縦方向エッチングを介して行われ、前記第2限界寸法を前記第1限界寸法から達成するステップが、横方向エッチングを介して行われる、請求項1に記載の方法。
  14. 前記縦方向エッチングおよび前記横方向エッチングが同時に行われる、請求項1に記載の方法。
  15. 前記パターンの転写後、前記第2フィーチャの前記第2限界寸法を測定するステップと、
    前記目標トリム量を前記第1限界寸法と第2限界寸法との差と比較するステップと、
    前記比較から偏差を決定するステップと、
    別の基材の新しい目標トリム量を決定するステップと、
    前記偏差を使用して、前記新しい目標トリム量を調節するステップとをさらに含む、請求項1に記載の方法。
  16. 前記新しいトリム量を調節する前記ステップがフィルタを使用することを含む、請求項15に記載の方法。
  17. 前記フィルタを使用するステップが、xnew,a=(1−λ)xnew+λy、ここで、xnew,aは調節された新しいトリム量、xnewは新しいトリム量、2はフィルタ定数、yは偏差である形式のフィルタを使用することを含む、請求項16に記載の方法。
  18. プロセスモデルを作成する方法であって、
    第1のフィーチャのサイズを有するパターンを基材の上にある層から下にある層に転写するための名目プロセスレシピを画定するステップであって、前記名目プロセスレシピが、少なくとも1つの可変パラメーターおよび少なくとも1つの定数パラメーターを含むステップと、
    前記少なくとも1つの可変パラメーターの1つまたは複数の値のトリム量を測定することによって、トリム量データを前記少なくとも1つの可変パラメーターの関数として蓄積するステップと、
    前記トリム量データを前記少なくとも1つの可変パラメーターの関数としてカーブフィットするステップとを含む方法。
  19. 前記カーブフィットが、y=(x+a)/bx+c)、ここでa、b、およびcは定数、xは少なくとも1つの可変パラメーター、およびyはトリム量である形式の式を使用して、前記トリム量データを前記可変パラメーターの関数としてフィットするステップを含む、請求項18に記載の方法。
  20. エッチングシステムであって、
    処理チャンバと、
    前記処理チャンバに結合され、基材を支持するように構成された基材保持具と、
    前記処理チャンバに結合され、プラズマを前記処理チャンバ内に形成するように構成されたプラズマ源と、
    前記処理チャンバに結合され、プロセスガスを前記処理チャンバに導入するように構成されたガス射出システムと、
    前記処理チャンバ、前記基材保持具、前記プラズマ源、もしくは前記ガス射出システム、またはこれらの2種類以上の任意の組合せに結合され、プロセスレシピを実行して、第1限界寸法のフィーチャを有するパターンを前記基材上の上にある層から下にある層に転写し、その際、プロセスモデルによって設定された目標トリム量によって前記第1限界寸法を第2限界寸法に縮小するように構成された制御装置とを備えるエッチングシステム。
JP2007506163A 2004-03-31 2005-02-08 マスクをエッチングするためのシステムおよび方法 Pending JP2007531054A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/813,570 US6893975B1 (en) 2004-03-31 2004-03-31 System and method for etching a mask
PCT/US2005/004070 WO2005104217A2 (en) 2004-03-31 2005-02-08 System and method for etching a mask

Publications (2)

Publication Number Publication Date
JP2007531054A true JP2007531054A (ja) 2007-11-01
JP2007531054A5 JP2007531054A5 (ja) 2008-02-28

Family

ID=34574882

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007506163A Pending JP2007531054A (ja) 2004-03-31 2005-02-08 マスクをエッチングするためのシステムおよび方法

Country Status (7)

Country Link
US (2) US6893975B1 (ja)
EP (1) EP1730769B1 (ja)
JP (1) JP2007531054A (ja)
KR (1) KR101142709B1 (ja)
CN (1) CN100511621C (ja)
TW (1) TWI270121B (ja)
WO (1) WO2005104217A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011040757A (ja) * 2009-08-17 2011-02-24 Tokyo Electron Ltd 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US8075732B2 (en) * 2004-11-01 2011-12-13 Cymer, Inc. EUV collector debris management
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7291285B2 (en) * 2005-05-10 2007-11-06 International Business Machines Corporation Method and system for line-dimension control of an etch process
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
CN101296554B (zh) * 2008-06-19 2011-01-26 友达光电股份有限公司 等离子体处理装置及其上电极板
US8039399B2 (en) * 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
US8334083B2 (en) 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
WO2015031163A1 (en) * 2013-08-27 2015-03-05 Tokyo Electron Limited Method for laterally trimming a hardmask
US9159561B2 (en) 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
CN105609415B (zh) * 2015-12-25 2018-04-03 中国科学院微电子研究所 一种刻蚀方法
KR102576706B1 (ko) * 2016-04-15 2023-09-08 삼성전자주식회사 반도체 소자의 제조 방법
US11675274B2 (en) * 2017-02-24 2023-06-13 Asml Netherlands B.V. Etch bias characterization and method of using the same
CN109950140B (zh) * 2019-04-18 2021-11-05 上海华力微电子有限公司 一种自对准双层图形的形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02303022A (ja) * 1989-04-28 1990-12-17 Internatl Business Mach Corp <Ibm> パターン形成方法
JP2002217170A (ja) * 2001-01-16 2002-08-02 Semiconductor Leading Edge Technologies Inc 微細パターンの形成方法、半導体装置の製造方法および半導体装置
JP2004022747A (ja) * 2002-06-14 2004-01-22 Hitachi Ltd エッチング処理装置及び処理方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3388986B2 (ja) * 1996-03-08 2003-03-24 株式会社東芝 露光用マスク及びその製造方法
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
US6235609B1 (en) * 2000-04-03 2001-05-22 Philips Electronics North America Corp. Method for forming isolation areas with improved isolation oxide
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US20030092281A1 (en) * 2001-11-13 2003-05-15 Chartered Semiconductors Manufactured Limited Method for organic barc and photoresist trimming process
US6858361B2 (en) * 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6716570B2 (en) * 2002-05-23 2004-04-06 Institute Of Microelectronics Low temperature resist trimming process
US6849151B2 (en) * 2002-08-07 2005-02-01 Michael S. Barnes Monitoring substrate processing by detecting reflectively diffracted light
US20050081781A1 (en) * 2003-10-17 2005-04-21 Taiwan Semiconductor Manufacturing Co. Fully dry, Si recess free process for removing high k dielectric layer
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02303022A (ja) * 1989-04-28 1990-12-17 Internatl Business Mach Corp <Ibm> パターン形成方法
JP2002217170A (ja) * 2001-01-16 2002-08-02 Semiconductor Leading Edge Technologies Inc 微細パターンの形成方法、半導体装置の製造方法および半導体装置
JP2004022747A (ja) * 2002-06-14 2004-01-22 Hitachi Ltd エッチング処理装置及び処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011040757A (ja) * 2009-08-17 2011-02-24 Tokyo Electron Ltd 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法

Also Published As

Publication number Publication date
US20050221619A1 (en) 2005-10-06
EP1730769B1 (en) 2016-07-06
EP1730769A2 (en) 2006-12-13
KR101142709B1 (ko) 2012-05-03
WO2005104217A3 (en) 2005-12-29
US6893975B1 (en) 2005-05-17
TWI270121B (en) 2007-01-01
TW200537598A (en) 2005-11-16
CN1906747A (zh) 2007-01-31
WO2005104217A2 (en) 2005-11-03
CN100511621C (zh) 2009-07-08
KR20070005921A (ko) 2007-01-10

Similar Documents

Publication Publication Date Title
JP2007531054A (ja) マスクをエッチングするためのシステムおよび方法
JP4861987B2 (ja) 膜スタックをエッチングするための方法およびシステム
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
US7732340B2 (en) Method for adjusting a critical dimension in a high aspect ratio feature
JP2007529899A (ja) エッチング特性を改良するためのハードマスクを処理する方法およびシステム。
US7465673B2 (en) Method and apparatus for bilayer photoresist dry development
KR100989107B1 (ko) 다층 포토레지스트 건식 현상을 위한 방법 및 장치
KR20070051846A (ko) 게이트 스택 에칭을 위한 방법 및 시스템
US20050136681A1 (en) Method and apparatus for removing photoresist from a substrate
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
US7767926B2 (en) Method and system for dry development of a multi-layer mask using sidewall passivation and mask passivation
US20050136666A1 (en) Method and apparatus for etching an organic layer

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070910

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20070921

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080109

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100514

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100831