JP2002518823A - 改善したプロセスモニタウィンドウを有するチャンバ - Google Patents

改善したプロセスモニタウィンドウを有するチャンバ

Info

Publication number
JP2002518823A
JP2002518823A JP2000553977A JP2000553977A JP2002518823A JP 2002518823 A JP2002518823 A JP 2002518823A JP 2000553977 A JP2000553977 A JP 2000553977A JP 2000553977 A JP2000553977 A JP 2000553977A JP 2002518823 A JP2002518823 A JP 2002518823A
Authority
JP
Japan
Prior art keywords
window
process chamber
gas
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000553977A
Other languages
English (en)
Inventor
マイケル, エヌ. グリムバーゲン,
キュー−ユ チアン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002518823A publication Critical patent/JP2002518823A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 基板(30)を処理し、基板(30)上で行う処理をモニタするプロセスチャンバ(35)が、支持体(45)と、ガス分配器と、排気装置(85)とを備える。プロセスチャンバ(35)は、光が透過できるウィンドウ(130)を備えた壁を有する。基板(30)の処理中、ウィンドウ(130)に残留するプロセスガスからのプロセス残留物の堆積を低減する手段が設けられる。一例では、ウィンドウ(130)は、被覆マスク(140)で覆われた透明プレート(135)を備え、マスク(140)にはそこを延びる少なくとも1つの孔(145)があることで、孔(145)と透明プレート(135)を光が透過できる。

Description

【発明の詳細な説明】
【0001】 (技術分野) 本発明はプロセスチャンバとプロセスモニタウィンドウをに関する。
【0002】 (背景技術) 集積回路の製造において、例えばポリシリコン、二酸化シリコン、アルミニウ
ム、銅の層などの半導体材料、誘電材料及び導電材料の層は基板上に堆積され、
次に、例えば、エッチャントプラズマを用いたエッチングで処理してアクティブ
なデバイスを形成する。これらの層は、化学的気相成長法(CVD)、物理的気
相成長法(PVD)、熱酸化、イオン注入、イオン拡散などのプロセスによって
プロセスチャンバ中の基板上に堆積される。堆積後は、光レジスト又はハードマ
スクから成るレジスト層を堆積層にあてがってフォトリソグラフィでパターニン
グする。レジスト外形同士間にある堆積層の部分はRF又はマイクロ波で付勢さ
れたハロゲン及び他の反応性ガスを用いてエッチングされた外形を形成する。
【0003】 このような製造プロセスにおいては、基板上で実行中のプロセスを現場でプロ
セスモニタシステムを用いてモニタすることが望ましい場合がしばしばある。例
えば、CVDプロセスやPVDプロセスでは、所望の厚さの層が堆積されたら堆
積プロセスを停止させることが望ましい。エッチングプロセスでは、エンドポイ
ント検出方法を用いて、エッチング中の層の過剰エッチングを防止する。一般的
なプロセスモニタ方法には、例えば、プラズマ放出分析、偏光測定法、干渉測定
法などがある。プラズマ放出分析においては、参照してここに組み込む米国特許
第4,328,068号に教示されているように、プラズマの放出スペクトルを
測定して、処理中の層における変化に対応する化学成分の変化を測定する。偏光
測定法では、例えば両者とも参照してここに組み込む米国特許第3,874,7
97号と第3,824,017号に開示されるように、偏光ビームが基板上の層
から反射されて分析され、これによって位相のシフト量と、層の厚さが変化する
に連れて発生する反射光の量の変化と、を測定する。干渉測定法においては、例
えば参照してここに組み込む1990年9月4日に発行された米国特許第4,9
53,982号に述べるように、非偏光ビームが層で反射されて分析されて、基
板上の層の頂部と底部からの反射光成分の干渉によって発生する反射光の量の変
化を測定する。これらのプロセスモニタ方法では、ウィンドウを通過する光伝達
信号の強度が高くなければならず、また、基板の比較的大きい表面積を観測した
り信号サンプリングしたりする必要がある。
【0004】 一般的なプロセスモニタシステムは、プロセスチャンバのへ着のウィンドウを
介して光り放射や光反射を検出して測定する光センサーシステムを備えている。
このウィンドウは特定の光の波長に対して透過性を持ち、これによって、チャン
バとの真空密封性を維持しながらもその光をチャンバとの間で伝達させる。基板
上の層をモニタする場合、この透明なウィンドウは、基板の直接的な視線上にあ
るチャンバ壁に開けられる。プロセスモニタウィンドウは一般的には、高温耐性
がある石英から構成されて、自身のエッジに沿って位置付けされているOリング
シールでチャンバ表面に対して密封されている。
【0005】 しかしながら、多くの堆積やエッチングのプロセスでは、残留堆積物や副産物
から成る薄い曇った膜が、基板がチャンバ中で処理されるに連れてプロセスモニ
タウィンドウに堆積する。このプロセス残留物はプロセス動作25から50分当
たり1ミクロンを越える速度でウィンドウに堆積することがしばしばある。プロ
セス残留物が堆積した膜によって、ウィンドウを通過する光伝達の強度の特性が
変化する。例えば、プラズマ放出分析では、残留堆積物は、プラズマの光放出ス
ペクトルに基づいて光の特定の波長を選択的にフィルタリングして除去し、この
結果、プロセスモニタ測定に誤差を生じる。偏光測定法では、残留堆積物はによ
って、ウィンドウを通って伝達された又は反射された光ビームの偏光状態が変化
し、このため、偏光測定値が誤ったものとなってしまう。別の例として、干渉測
定法では、堆積物がウィンドウを通過する光を吸収してその強度を減衰させ、こ
のため、信号対雑音比が低下する。
【0006】 このような問題を回避するために、従来の処理モニタウィンドウは定期的に交
換又は清浄化して、ウィンドウに付いた残留堆積物を除去する。例えば、典型的
なエッチングプロセスでは、ある数のウエハをエッチングしたり、累積的に約1
0時間にわたって操作したら、チャンバを雰囲気に対して開いて「湿式清浄化」
プロセスで清浄化するが、この場合、操作者は酸や溶剤を用いて、ウィンドウと
チャンバ壁に累積した堆積物を洗い落として溶解させる。清浄化後は、チャンバ
を2から3時間にわたってッポンプダウンし、これによって、揮発性の酸や溶剤
の種をガス抜きし、また、1連のエッチングの動作をダミーウエハに対して実行
する。競争の激しい半導体業界では、このような清浄化プロセス中におけるチャ
ンバのダウンタイムは、プロセスのスループットをかなり減少させ、また、基板
1枚当たりの処理コストを増加させかねない。また、手動で実行された湿式清浄
化プロセスはしばしば煩雑で、また、清浄化の質もセッション毎に変動する。
【0007】 この残留物の堆積という問題を解決する1つのアプローチは、チャンバ中に開
口する長尺のチューブに開いている窪みウィンドウを用いるものである。チャン
バ中のプロセスガス又はプロセスプラズマは、この窪みウィンドウに到達する前
にチューブの全長を走行しなければならないため、チューブの内側にある窪みウ
ィンドウの表面に対するプロセス残留物の堆積が著しく減少する。しかしながら
、細長チューブのアスペクト比(長さ/直径)が高いため、チャンバ中のサンプ
リング領域を十分な長さにわたってモニタすることは困難であり、光束の合計値
も減少する。これによって、1バッチの基板、場合によっては1枚の基板でさえ
も、その処理中でのプロセスモニタシステムの精度が制限される。加えて、この
細長チューブはチャンバの外部でかなりの空間を占めるが、これは狭いクリーン
ルームでは好ましくなく、また、このチューブによって、プロセスチャンバの中
間にある他の構成部品を適合させるのが困難となる。
【0008】 別の解決策では、1992年7月14日に発行された、エビング(Ebbin
g)らに対する、共通譲受された米国特許代5,129,994号に記載されて
いるように、プロセスモニタウィンドウは選択的に過熱され、これによって、プ
ロセス残留物の堆積を防止している。しかしながら、あるタイプのプロセスには
適しているが、過熱によって全ての形態の残留物の凝縮とウィンドウへの堆積を
防止できるわけではなく、また、プロセスによっては、過熱すると、プロセス残
留物がウィンドウに堆積する速度が実際に増すことがある。
【0009】 さらに別のアプローチでは、例えば、1998年4月14日に発行された、リ
ウ(Liu)に対する米国特許第5,738,756号に記載されているように
、感光装置を用いて、チャンバ/基板からの光の放出信号又は反射信号をサンプ
リングして、このサンプリングデータを数学的に操作して、曇ったウィンドウを
通過する光信号の信号対雑音比を増すようにしている。しかしながら、複雑な数
学的操作のため、プロセスの反応時間が遅延しかねない。エッチングプロセスで
は、小時間の遅延でも、下位の層、特に下位のポリシリコン層の好ましくない帯
電や格子破損をもたらしかねない。加えて、これらのプロセスは、識別される信
号量が十分であっても、信号対雑音比を増すことができるとは必ずしも限らない
。信号があまりに小さい場合、製造プロセスが決して終了しないかも知れず、ま
た信号があまりにも大きいと、プロセスは早期に終了するかもしれない。
【0010】 比較的薄い下位層をエッチングして貫通してしまう前に厚い上位層のエッチン
グを停止させなければならないエッチングプロセスをモニタする場合には、ウィ
ンドウに堆積したプロセス残留物は特に問題である。例えば、比較的厚い層をエ
ッチングするために用いられるエッチャントガスを包含している腐食性のハロゲ
ンは、正確で信頼性のあるプロセスモニタシステムがないと、制御不能にエッチ
ングして、なんらかの薄い下位層を貫通したり破損したりすることがしばしばあ
る。これは、ポリシリコンの上位層をエッチングして、薄いゲート酸化物の下位
層を露出する場合には特に問題である。ポリシリコンのエッチングプロセスの後
では、ゲート酸化物層の参与の層厚が公称の所定厚値に非常に近づいているのが
望ましい。ゲート酸化物層が薄くなるに連れて、ゲート酸化物層の内部までエッ
チングすることなくポリシリコンの上位層を正確にエッチングして貫通すること
がますます困難となる。シリコンを付勢用のエッチャントプラズマに暴露するこ
とによって、下位層のシリコンに対して帯電や格子破損をもたらすことなく、ゲ
ート酸化物層に対するエッチングプロセスを停止することがさらに望ましい。こ
のタイプのプロセス制御は、信頼性があって首尾一貫して動作するプロセスモニ
タシステムがあって始めて可能となる。
【0011】 したがって、基板に対する連続処理中に過度の信号損失を伴うことなくチャン
バ中で基板の処理のモニタを可能とするチャンバとプロセスモニタシステムを有
することが望ましい。自身の表面に対するプロセス残留物の堆積を防止又は減少
させ、また、反応性ハロゲンガスとプラズマ中での浸食速度を低下させるプロセ
スモニタウィンドウを有することがさらに望ましい。また、特に、薄い下位層上
の厚い上位層をエッチングする場合は、正確で再現性のある処理結果をもたらす
ような基板モニタ処理方法を有するのが望ましい。
【0012】 (発明の開示) 本発明は、基板を処理してこの基板に対して実行中のプロセスを、高い精度と
信頼性でモニタするプロセスチャンバを提供する。このチャンバは、サポート、
プロセスガス整流板及び排気システムを備えている。このチャンバは、光が通過
して伝達できるウィンドウを備えた壁を有している。このウィンドウは、光が後
出のマスクアパーチュアを通って伝達され得るようにマスクを介して延長する少
なくとも1つのアパーチュアを有するマスクによって被覆されている透明プレー
ト、すなわち、基板に対して実行中のプロセスをモニタするための透明プレート
を備えている。この透明プレートを被覆しているこのマスクは、基板がサポート
上に保持されて、ガス整流板によって分配され、排気システムによって排気され
るプロセスガスで処理されるプロセス中に、ウィンドウ上のプロセスガス副産物
と他の堆積物が堆積されるのを減少させる。
【0013】 別の態様では、本発明は、基板を支持するようになっている受領表面を有する
サポートを含むプロセスチャンバを備えている。ガス整流板はプロセスガスをプ
ロセスチャンバ中に提供し、これによって、基板は処理され、プロセスガスの副
産物が形成される。基板がプロセスチャンバ中で処理されている間にプロセスチ
ャンバとの間で光を伝達させる第1の手段が提供されている。第1の手段をマス
クして、プロセスチャンバ中に形成されるプロセスガス副産物の堆積を減少させ
る第2の手段が提供されている。ポンプを含む排気がプロセスガスとその副産物
をプロセスチャンバから排気する。
【0014】 さらに別に態様では、本発明は、基板をプロセスゾーン中に置くステップと、
第1のプロセス条件を維持して基板を処理するステップと、を含む基板処理方法
を含むが、第1のプロセス条件は、付勢されたプロセスガスをプロセスゾーンに
提供する動作を含んでいる。入射光ビームはプロセスゾーンに隣接したウィンド
ウから基板上に入射するように方向付けされる。アパーチュアを有するマスクを
ウィンドウに対面させてウィンドウにプロセスガス副産物が堆積するのを抑える
ようにして、ウィンドウを通過する反射光ビームの測定可能強度を測定する。基
板から反射した反射光ビームの特性を測定する。第1のプロセス条件を、反射光
ビームの特定の測定値に関連させて第2のプロセス条件に変更する。
【0015】 さらに別の態様では、本発明は、実質的に下位層をエッチングしたり破損した
りすることなく基板上の層をエッチングする方法を含んでいる。この方法は、プ
ロセスゾーン中に基板をおいてプロセスゾーン中のプロセス条件を維持して、基
板上の層をエッチングして、プリセスガス副産物を形成するステップを含んでい
るが、このプロセス条件は、プロセスガスの組成及び流量、プロセスガス付勢器
の出力レベル、プロセスガス圧力並びに基板温度の内の1つ以上の含んでいる。
(1)プロセスゾーンに隣接したウィンドウを通って入射光ビームを基板上に入
射するように方向付けするステップと、(2)アパーチュアを有するマスクをウ
ィンドウに対面させて保持して、プロセスガス副産物がウィンドウに堆積するの
を抑えることによってウィンドウを通る反射光ビームの測定可能強度を維持する
ステップと、(3)基板上の層をエッチングして貫通する直前に基板から反射し
た反射光ビームの特性を測定するステップと、によって基板上の層をエッチング
して貫通する直前に、エッチングのエンドポイントが検出される。チャンバ中の
プロセス条件は、反射光ビームの特性の測定に関連させて変更される。
【0016】 さらに別に態様では、本発明は、基板上に実行中のプロセスをモニタするプロ
セスチャンバの壁にウィンドウを有するプロセスチャンバと、ウィンドウ上に磁
束を提供するようになっている磁界発生源と、に関する。このチャンバは、サポ
ート、プロセスガス整流板、及び、サポート上に保持されている基板を付勢され
たプロセスガスによって処理して、プロセス残留物をプロセスチャンバ中に形成
させる排気シスムを含み、一方、磁界発生源は、ウィンドウ上に磁束を提供して
、ウィンドウにプロセス残留物が堆積されるのを抑える。
【0017】 さらに別の態様では、本発明は、ウィンドウと、このウィンドウ上に磁束を提
供する手段と、を含んでいる半導体基板を処理するプロセスチャンバを備えてい
る。このプロセスチャンバはさらに、サポート、プロセスガス整流板及び排気シ
ステムを含んでいる。サポート上に保持された基板は付勢されたプロセスガスに
よって処理され、これによって、プロセスチャンバ中にプロセス残留物を形成す
る。ウィンドウの上に磁束を提供する手段はウィンドウにプロセス残留物が堆積
されるのを抑える。
【0018】 本発明はまた、プロセスチャンバ中に基板を置くステップと、第1のプロセス
条件をプロセスチャンバ中で維持して基板を処理するステップと、を含む基板を
処理する方法を含んでいるが、この第1のプロセス条件は、付勢されたプロセス
ガスをプロセスチャンバに提供する操作を含んでいる。磁束をプロセスチャンバ
の壁のウィンドウ上に維持する。入射光ビームをウィンドウから方向付けして、
第1のプロセス条件を、反射光ビームの特定の測定に関連させて第2のプロセス
条件に変更する。
【0019】 さらに別の態様では、本発明は、基板上に実行中のプロセスをモニタするプロ
セスチャンバの壁にウィンドウを有するプロセスチャンバと、このウィンドウに
電気エネルギをカップリングするようになっている磁界発生源とに関する。この
チャンバはさらに、サポート、プロセスガス整流板及び排気システムを含んでい
る。これによって、サポート上に保持されている基板が付勢プロセスガスによっ
て処理されて、プロセス残留物をプロセスチャンバ中に形成し、また、ウィンド
ウにカップリングされた電気エネルギによってウィンドウにプロセス残留物が堆
積されるのを抑える。
【0020】 さらに別の態様では、本発明は半導体基板を処理するプロセスチャンバを含む
が、このプロセスチャンバは、ウィンドウと、このウィンドウを電気的にバイア
スする手段と、を備えている。このプロセスチャンバはさらに、サポート、プロ
セスガス整流板及び排気システムを備えている。これによって、サポート上に保
持されている基板が付勢されたプロセスガスによって処理され、これで、プロセ
スチャンバ中でプロセス残留物が形成され、また、ウィンドウを電気的にバイア
すする手段によってウィンドウにプロセス残留物が堆積されるのを抑える。
【0021】 さらに別の態様では、本発明は、プロセスチャンバ中に基板を置くステップと
、プロセスチャンバ中でプロセス条件を維持して基板を処理する基板を処理する
ステップと、を含む方法を含むが、このプロセス条件には、付勢されたガスをプ
ロセスチャンバ中に提供する動作が含まれる。プロセスチャンバの壁にウィンド
ウを開け、また、電気的フラックスをウィンドウの表面上に維持する。この電気
的フラックスは、ウィンドウの平面に直角な電界成分を有している。
【0022】 (発明を実施するための最良の形態) 本発明の半導体処理装置20とプロセスモニタシステム25は半導体基板30
上に集積回路を製造するのに有効である。図1に略図を示す処理装置20は、基
板30を処理するプロセスーン40を有するプロセスチャンバ35と、プロセス
ゾーン40内の基板30を支持するサポート45とを備える。静電チャック50
は基板30の処理のあいだサポート45上の基板30を保持する。プロセスゾー
ン40は基板30を取り囲み、一般的に約10,000から50,000cm3
の体積を持つ。プロセスチャンバ35は平坦な矩形の天井55、又はアーチ形、
ドーム形又は多半径ドーム形の天井を備えることができる。天井55はドーム形
状であるのが望ましく、それによってガス付勢器60はプロセスゾーン40の全
体積にわたって均一に力をカップリングして、平坦な天井55より均一な付勢ガ
ス種の濃度を提供することが可能となる。
【0023】 プロセスガスは、プロセスガス発生源70、ガス流制御バルブ75及びプロセ
スガス整流板80を含むガス整流システム65を通ってプロセスチャンバ35内
に導入される。ガス整流板80は、基板30の周辺に又はその周りに配置された
(図示されるような)ガス取り出し口、又はプロセスチャンバ35の天井55に
取り付けられた取り出し口内蔵のシャワーヘッド(図示せず)を備えている。使
用済プロセスガスとエッチャントの副産物は、プロセスチャンバ内で約10-3
Torrの最小圧力を達成できる(一般的に、1000リットル/秒の荒引きポ
ンプと1000から2000リットル/秒のターボ分子ポンプのような真空ポン
プ90を含む)排気システム85を通ってプロセスチャンバ35から排気される
。スロットルバルブ95が排気システム85内に設置され、プロセスチャンバ3
5内の使用済みガスの流れとプロセスガスの圧力を制御する。
【0024】 ガス付勢器60は電磁エネルギーとプロセスガスとをカップリングして付勢さ
れたガス状の種を形成する。図1に示す実施形態ではガス付勢器60は、プロセ
スチャンバ35を取り巻いて、RF電流をインダクタアンテナ100に印加する
ことによる誘導カップリングを通じてプロセスゾーン40内のプロセスガスを直
接付勢するインダクタアンテナ100を備えている。代りに、サポート45とプ
ロセスチャンバ35の天井55によって形成されるプロセス電極を含むガス付勢
器60にRF電圧を印加することによる容量カップリングによって、プロセスガ
スは付勢される。図1のプロセスチャンバ35の内で天井55は半導体の材料で
構成されて、RFエネルギーをプロセスチャンバ35内に容量カップリングする
ためのプロセス電極として、及びRFエネルギーをプロセスチャンバ35内に誘
導カップリングするためのウィンドウとして機能する。インダクタアンテナ10
0又はプロセス電極45、55に印加されるRFエネルギーの周波数は一般的に
約50KHzから約60KHzであり、より一般的には約13.56MHzであ
る。電極電源102によってプロセス電極45,55に印加されるRF電圧は約
1から約500ワットのバイアス出力レベルであり;コイル電源104によって
インダクタアンテナ100に印加されるRF電流は約500から約2000ワッ
トの電源出力レベルである。
【0025】 図2には処理装置20の代りの実施形態が示されるが、そこではプロセスガス
はプロセスチャンバ35に隣接するチューブ又はシリンダ等の遠隔チャンバ10
5内のガス付勢器60によって付勢される又はアクティブにされる。「遠隔」と
いう用語は、遠隔チャンバ105の中心がプロセスチャンバ35の中心から上流
方向にある一定の距離に位置するということを意味する。遠隔チャンバ105は
、適切な発生源からの電磁エネルギーのマイクロ波又は他の周波数を遠隔ゾーン
110内にカップリングさせて遠隔チャンバ105内に導入されるプロセスガス
をアクティブにするガス付勢器60を備える。適切なマイクロ波発生源はマイク
ロ波アプリケータ115、マイクロ波チューニングアセンブリ120及びマグネ
トロンマイクロ波発振器125を備えており、一般的に約200から約3000
ワットの出力レベル及び約800MHzから約3000MHzの周波数で動作す
る。
【0026】 処理装置20のさらに別の実施形態では、付勢されたプロセスガス内のイオン
の均一性及び密度は、永久磁石又は電磁コイル129のような電子サイクロトロ
ン共鳴又は磁界発生器127を用いて高められる。例えば、カリフォルニア州サ
ンタクララのアプライドマテリアル社製で、参照してここに組み込まれる198
9年1月27日出願の共通譲渡された米国特許第4,842,683号の中で一
般的に説明されているMxP+OXIDEチャンバが挙げられる。図3Aを参照
すると、プロセスガスはプロセスゾーン40内に導入されて、RFの出力をサポ
ート45及びプロセスチャンバ35の天井55又は側壁に印加して発生した電界
によって付勢される。磁界は、基板30の平面に平行に回転する磁界の軸を持つ
回転磁界を含んでいることが望ましい。プロセスチャンバ35内の磁界は付勢プ
ロセスガス内に形成されたイオンの密度を増大させるために十分強く、また基板
30上に形成されたCMOSゲート等の外形への充電破損を低減するために十分
均一でなければならない。一般的に基板30表面上で測定される磁界は、約50
0ガウス以下、より一般的には約10から約100ガウス、さらにより一般的に
は約10から30ガウスである。
【0027】 プロセスモニタシステム25は、プロセスチャンバ35から発した又はその方
向へ発する光を透過するプロセスチャンバの天井55又は壁内のウィンドウ13
0を通して、プロセスチャンバ35内で行われるプロセスの進行をモニタする。
プロセスモニタシステム25は、エッチングされる層の進行をモニタして基板3
0上の下の層の破損を防止するのに特に有効である。適切なプロセスモニタシス
テム25には、光の放出、偏光測定法及び干渉測定法に基づいた検出器が含まれ
ている。光放出検出器は付勢プロセスガス内の種から放出される光のスペクトル
のスペクトル線の変化を検出して、下位層のエッチングの開始を示す化学的変化
を検出する。偏光計は、基板30表面に光ビームを鋭角に放出して、基板30上
の透明な膜の頂部表面と底部表面から反射された光ビーム部分の間の位相のシフ
ト量を検出する。干渉計もまた、基板30上の透明膜の頂部表面と底部表面から
光ビームを反射する。しかしながら干渉計は、反射された光ビーム間の建設的干
渉と破壊的干渉の大きさを測定することによって基板30上の膜の厚さを決定し
て、基板30表面に対して鋭角な入射光ビームの放出を必要としない。実際一般
的には干渉計は、光ビームを基板30表面に対しておよそ直角に、すなわち90
度に近い角度で方向づける。光放出検出器とは異なって干渉計は、エッチングさ
れている層より下の層に達する前に半導体のエッチングプロセスを検出してそれ
を停止するために用いることができる。また光ビームはおおよそ直角に方向づけ
られるので、偏光計の低角度のビームを遮る高いアスペクト比の外形をエッチン
グするために用いることができる。従って一般的に、プロセスチャンバ35内で
行われるエッチングプロセスのエンドポイントを検出するためには干渉計システ
ムを用いるのが望ましい。
【0028】 図4Aと4Bを参照すると、本発明によるウィンドウ130は透明プレート1
35と、その上に置かれるマスクを通って延長する1つ以上のアパーチュア14
5を有するマスク140を備えている。マスク140は、光が透明プレート13
5とマスク140のアパーチュア145を通って基板30上で行われているプロ
セスをモニタできるように、プロセスチャンバ35の内部に面する透明プレート
135の表面を覆う。透明プレート135を覆うマスク140は、ウィンドウ1
30上へのプロセスの残留物、副産物及び他の堆積物を減少する働きをし、従っ
て基板30上で行われているプロセスの正確で再現性のあるモニタを可能にする
。ウィンドウ130を透過した光は、プラズマ放出分析のためのプラズマスペク
トル、又は干渉測定法又は偏光測定法の原理に基づくプロセスモニタシステム2
5に用いられる基板30から反射された光を含んでいる。ウィンドウ130を通
る光が基板30の中央近傍で垂直な入射光になるように十分大きな角度で基板3
0に入射するように、ウィンドウ130の形状、大きさ、設置位置が決められる
。一般的にウィンドウ130は、円形、楕円形又は多角形を含むいかなる形状を
も取り得る。
【0029】 ウィンドウの透明プレート135は、プロセスモニタシステム25内で用いら
れる電磁放射の選択された周波数を透過させる固体の透明な材料でできている。
透明プレート135は、エンドポイント検出システムのための入射光ビーム14
8aを提供するために用いられる光源150からの紫外光、可視光及び赤外光を
透過させるのが望ましい。散乱による入射光ビーム148aの減衰を防ぐために
、透明プレート135の両面は、光がそこを通過可能になるために十分小さいピ
ークツーピークRMS粗さまで平滑に研磨される。透明プレート135のピーク
ツーピークRMS粗さは約1μm以下であるのが望ましく、約0.1μm以下で
あればなお望ましい。
【0030】 透明プレート135の表面は全ての適切な手段によって、例えばフレーム研磨
又は従来型のラッピング及び/又はアブレーティングの方法によって平滑に研磨
することが可能である。透明プレート135はセラミック製で単結晶の材料から
作られ、その材料は単結晶材料であり、又は同じ結晶方向を向いたいくつかの(
一般的には10個以下の)大きな結晶から成る材料であり、またそれは特定波長
の光又は可視線を透過させる。単結晶の材料には、例えばAl23、Si、Si
2、TiO2、ZrO2の中から1つ以上のセラミック又はそれらの混合又は組
み合せが含まれるのが望ましい。単結晶材料は、特定のプラズマ又は他のプロセ
ス環境の中で高い耐腐食性を示すものが選択される。好ましい実施形態では、透
明プレート135は研磨されたサファイヤを含み、それはハロゲンプラズマ環境
において、特にフッ素を含む環境において高い耐化学侵食性を示す。単結晶サフ
ァイヤはまた、1000℃、好ましくは2000℃を超える温度におけるウィン
ドウ130の使用を可能にするような高い融解温度を持つ。
【0031】 1つの実施形態では、透明プレート135は基板30表面に対してわずかな角
度で傾斜させられて、透明プレート135から反射された光がプロセスモニタシ
ステム25内に反射し戻されず、従ってプロセスのモニタに際してより大きな信
号の取得が可能となる。適切な傾斜の角度は少なくとも約2度であり、約2度か
ら15度であればより望ましい。例えば図3Aには、基板30の平面に対して約
3度の角度を成す傾斜透明プレート135が示される。透明プレート135のエ
ッジの下方に一段高いステップを設置することによって、透明プレート135の
1側面又はエッジを反対の側面/エッジよりも高くすることによって、傾斜プレ
ート135は傾けられる。ステップの大きさはその上昇又は傾斜の角度によって
決まり、それは一般的には透明プレート135の直径に応じて約0.5から5m
mであるのが望ましい。
【0032】 透明プレート135を覆うマスク140は、プロセスチャンバ35内で透明プ
レート135上に形成されたプロセスガスの副産物の堆積を減少させる働きをす
る。マスク140は、プロセスガス及び/又はプロセスガスから形成されるプラ
ズマによる腐食に対して耐久性を有する材料から作られる。マスク140は、A
23、SiO2、AlN、BN、Si、SiC、Si34,TiO2又はZrO 2 の中の1つ以上を含む耐プラズマ材料から作られるのが望ましい。図4Bを参
照するとマスクの1つの実施形態はウィンドウの透明プレート135の全露出部
分を実質的に覆う形状を持つ酸化アルミニウムの厚いディスクから成る。透明プ
レート135がディスクから成る場合、マスク140はそのディスクの表面を覆
う形状と大きさを持つ直円柱から成るのが望ましい。マスク140が、隆起した
中央部分153とそれを取り囲む環状のリップ154を持つディスクから成れば
より望ましい。ディスクの隆起した中央部分の厚さは約0.5mmから500m
mであるのが望ましい。マスクの隆起した中央部分153の直径は約50mmか
ら200mmである。環状リップ154の厚さは約0.5mmから10mmであ
り、また滑らかに丸く湾曲したエッジは、ディスクの隆起した中央部と環状リッ
プとのあいだの移行部分を形成する。
【0033】 マスク140は1つ以上のアパーチュア145を含むが、それによって十分な
強度の光がアパーチュアを通過してプロセスモニタシステム25を作動させ、ま
た付勢プロセスガス種のウィンドウ130の透明プレート135への出入りを制
御できるようになる。アパーチュア145の断面の面積は十分に大きな量の光束
がプロセスチャンバ35を出入りすることができるくらい十分に大きい。アパー
チュア145は円筒形又は三角形、六角形、四角形及び長方形を含む多角形であ
り得るが、その中では六角形が望ましい。その理由は、それによって複数のアパ
ーチュア145がよりぎっしりと配置されることが可能となって、プロセスチャ
ンバ35内への又はそれからの光の改善された伝達が実現されるからである。ア
パーチュア145のアスペクト比によって、ウィンドウ130の透明プレート1
35への付勢プロセスガス種の出入りが制御される。アパーチュア145のアス
ペクト比は約0.25:1から約12:1であるのが望ましい。
【0034】 1つの実施形態では、プロセスガスの透明プレート135への出入りを制限し
又は減少させ、それによって透明プレート135上へのプロセスガス副産物及び
他の堆積物の堆積を防止するように、アパーチュア145の形状と大きさが決め
られる。これは、アパーチュア145のアスペクト比(アパーチュアの直径/幅
に対する高さの比)を十分に大きく又は高くして、アパーチュア145の内部へ
の及びウィンドウ130の下の透明プレート135上へのニュートラルなプロセ
スガス束の出入り、従って濃縮してプロセス残留物を形成する揮発プロセスガス
副産物の出入りを制限することによって達せられる。アスペクト比は約1:1か
ら約12:1が望ましく、3:1から7.5:1であればより望ましい。
【0035】 別の実施形態では図3Bに示すように、ウィンドウ130の下の透明プレート
135上へのプロセス残留物の累積を異なったメカニズムによって減少させるよ
うに、マスク140内のアパーチュア145の形状と大きさが選択される。この
バージョンでは、アパーチュア145のアスペクト比は十分に小さく取られて、
付勢プロセスガスのイオンがアパーチュア内へ入ってアパーチュア145の側壁
上に形成された及び/又は透明プレート135の表面上に形成されたプロセス残
留物をエッチングして取り除く。一般的にこの実施形態のアパーチュア145は
その高さに対してより大きな直径又は幅を持つので相対的に低いアスペクト比を
持つ。低いアスペクト比は付勢プラズマ種を優先的にフィルタリングして除去す
ることによって、高い割合の高方向性付勢プラズマ種がアパーチュア145に内
に入ることを可能にし、またアパーチュア145の側壁上に及び透明プレート1
35の表面上に堆積したプロセス残留物をスパッタリングしエッチングして除去
することを可能にする。適切なアスペクト比は約0.25:1から約3:1であ
り、約0.5:1から約2:1であればより望ましい。
【0036】 マスク140はまた複数のアパーチュア145から構成でき、また既述のアパ
ーチュアの配列から構成されていればなお望ましい。アパーチュア145の面積
の合計は、入射光ビーム148a及び反射光ビーム148bの両方、又はプラズ
マ放出分析のための所望のレベル又は強度のプラズマスペクトル束を伝達できる
ほど十分大きい。干渉測定法又は偏光測定法システムから成るプロセスモニタシ
ステム25に対しては、アパーチュア145によって露出される透明プレート1
35の合計面積は、入射光ビーム148aが基板30の表面を横切って移動又は
走査して、バイア又は深くて狭いトレンチ、又はプロセスのエンドポイントの測
定が可能になる適当に平坦で及び/又は透明なポイントを見つけることが可能と
なるように、選択されるのが望ましい。例えば300mmの基板を処理するため
の用いられるプロセスチャンバ35では、アパーチュア145の面積は約200
から約2000mm2(0.3から約3in2)であるのが望ましく、また約30
0から600mm2(0.6から約0.9in2)であればなお望ましい。
【0037】 図4A及び4Bに示される別の実施形態では、マスク140はまた互いに離れ
て配置された複数のアパーチュア145から成る。例えばマスクは、十分な強度
の光を通過させてプロセスモニタシステム25を作動させることが可能な全開口
面積を持つように大きさが決められ配置されたアパーチュア145の配列で構成
することができる。アパーチュア145の実際の大きさ、数又は配置は、特定の
プロセスチャンバ35、基板の直径、プロセス及びプロセスモニタシステム25
のタイプによって決まる。干渉測定法の光学システムに特に有効な1つの実施形
態ではマスク140は、例えば約0.1から50mmの直径又は幅と、約0.5
から約500nmの高さの開口寸法を持つアパーチュア145から構成される。
配列は図4Bに示すように、約3から800個のアパーチュア145から成り、
より望ましくは約7から約200個のアパーチュア145から成る。アパーチュ
ア145は互いに約0.25から約15mmの距離を置いて配置される。また図
3Bに示すように、配列は異なる大きさのアパーチュア145から構成すること
も可能であり、例えばそれらは約3.5から5mmの平均直径を持つ中央部分の
第1アパーチュア145aと、その周縁部において2から3mmの直径を持つ第
2アパーチュア145bとである。
【0038】 ウィンドウ130の上にあるマスク140はウィンドウ130の透明プレート
135上へのプロセス残留物の堆積をかなり減少させることがわかった。例えば
ウィンドウ130とその上に置かれるマスク140は、ポリシリコンのエッチン
グプロセス中のエッチャント残留物の堆積を約3から約10Å/時にまで低下さ
せることが分かったが、それは従来型の未保護のウィンドウの場合よりも10倍
低い数字である。さらにマスク140はウィンドウ130を高度に化学的な反応
プロセスガスによる侵食から保護して、ウィンドウ130の寿命を延ばすことが
分かった。また本発明によるマスク140を持つウィンドウ130を含むプロセ
スチャンバ35の「フットプリント」(クリーンルームの占有領域)は、従来型
のクリーンウィンドウシステムを持つ他のプロセスチャンバ35のそれよりも非
常に小さい。
【0039】 本発明のプロセスチャンバ35とウィンドウ130によって、干渉測定法、偏
光測定法又はプラズマ放出分析等のプロセスモニタ方法を用いることが可能にな
る。プロセスモニタウィンドウ130上への堆積物の減少によって、多数の基板
30を処理した後でも正確で信頼できる読み取りが可能となるレベルにまで、プ
ロセスモニタウィンドウ25の信号対雑音比が増大する。これらの測定技法の正
確さは、基板30上へのより薄い膜の堆積とエッチングのために必要なプロセス
制御を与えて、より速くて高い動作周波数の集積回路を提供する。さらにプロセ
スチャンバ35はウィンドウ130の表面を清浄化するために頻繁に開ける必要
がないので、プロセスチャンバの効率と基板のスループットもまた向上する。
【0040】 ここで本発明によるウィンドウ130を用いたプロセスチャンバとプロセスモ
ニタシステム25の動作を説明する。前記のようにプロセスモニタシステム25
は干渉測定法又は偏光測定法のシステムであってもよく、それは強度及び/又は
位相角等の反射光ビーム148bの特性を既知の又は保存された特性値と比較し
て、エッチングプロセスのエンドポイントを計算する。プロセスモニタシステム
25は、プロセスチャンバ35内のプロセスの条件を調整するコンピュータコン
トローラ155を備えていることが望ましい。プロセスエンドポイントが検出さ
れると、コンピュータコントローラ155は第1のプロセス条件を第2のプロセ
ス条件に変えて、層の全体がエッチングされて貫通される前に基板30上の層の
エッチング速度を変えるか、又はエッチングプロセスを停止する。例えば腐食性
のエッチャントガスを取り除くためにプロセスガスの組成を変えることによって
エッチング速度を低下させることができ、プロセスガスにカップリングしたRF
出力を低減でき、又は基板温度を低下させることができる。
【0041】 適切なコンピュータコントローラ155はプロセスチャンバ35を操作するコ
ンピュータプログラムコード製品を含み、また例えばカリフォルニア州サンタク
ララのインテル社製のペンティアムマイクロプロセッサ等の周辺制御構成部品を
持つメモリーシステムと相互作用する1つ以上の中央制御装置(CPU)も含む
。コンピュータコントローラ155のCPUはさらに、プロセスチャンバ35の
特定の構成部品を操作するASIC(アプリケーション限定集積回路)を含んで
いる。操作者とコンピュータコントローラ155間のインタフェースには、CR
Tモニター及びライトペン(図示せず)、又はキーボード、マウス又はポインテ
ィング通信デバイス等の他のデバイスが含まれる。
【0042】 光源150は単色又は多色の光源150から成り、それは十分に高い強度を持
った入射光ビーム148aを発生して、基板30上の層が適切な厚さを有してい
れば、その層から反射される測定可能な強度の反射光ビーム148bを与える。
1つのバージョンでは、光源150は水銀カドミウムランプのような多色光を与
え、それは約200から600ナノメートルの波長の光の放出スペクトルを発生
する。多色光源150はフィルタリングされて選択された周波数を持つ入射光ビ
ームを与えることができる、又は特定の放出スペクトルの波長を用いることがで
きる、又はカラーフィルタ(図示せず)を光検出器160の前に置いて、反射光
ビーム148bを検出して、光検出器160に入る反射光ビーム148bの強度
測定に先立って、所望の波長以外の不所望の波長をフィルタリングして除去する
。さらに光源150は、例えばHe−Ne又はND−YAGレーザー等の選択さ
れた波長の光を生成する多色光源150を備えることができる。
【0043】 1つ以上の凸面収束レンズ165が、ビームスポット又は平行ビームのような
光源150からの入射光ビーム148aを基板表面上に収束させ、また反射光ビ
ーム148aを光検出器160のアクティブな表面上に収束させるために用いら
れる。ビームスポットの大きさ又は面積は十分大きくて、基板30の表面の形状
の変化を補正して、ビアホール又は深くて狭い溝等の小さな開口を有する高アス
ペクト比の外形をエッチングすることが可能になる。反射光ビーム148aの面
積は十分大きくて、光検出器160のアクティブな光検出表面の大部分をアクテ
ィブにする。
【0044】 光ビームポジショナ170が選択的に用いられて、基板表面を横切って入射光
ビームを移動させて、エッチングする層の適切な部分をエッチングプロセスモニ
タのためにビームスポットが「置かれる」場所に位置づける。光ビームポジショ
ナ170は1つ以上の主鏡175を備え、それは小さな角度で回転して光源15
0からの入射光ビーム148aを基板表面上の異なる位置の上に偏向させ、また
反射された光ビーム148bを遮断してそれを光検出器160へ収束させる。別
の実施形態では光ビームポジショナ170を用いて、プロセス中に光ビームを基
板表面を横切ってラスタパターンに走査する。このバージョンでは光ビームポジ
ショナ170は、上に光源150、収束アセンブリ、収集レンズ及び検出器が取
り付けられる可動ステージ(図示せず)から成る走査アセンブリを備える。可動
ステージはステッピングモータ等の駆動メカニズムによって設定間隔を通って移
動することができ、ビームスポットを基板表面を横切って移動させる。
【0045】 光検出器160は、光電池、フォトダイオード又はフォトトランジスタ等の光
感応性表面を持つ電子構成部品から構成されて、光感応性表面上に入射する反射
光ビーム148bの強度に応答して信号を与える。その信号は電子構成部品を通
る電流レベルの変化の形態、又は電子構成部品の両端に印加される電圧の変化の
形態を取ることができる。反射光ビーム148bは、基板30上の膜又は溝の厚
さの増大又は減少につれて反射光ビーム148bの強度を増大又は減少させる建
設的及び/又は破壊的干渉を受けるのが望ましく、また光検出器160は反射光
ビーム148bの測定強度に関連して電気出力信号を生成する。コンピュータシ
ステムは光検出器160から信号を受け取り、その信号を記憶されている波長の
値と比較して、その信号に関連してプログラムされているガイドラインに従って
プロセスチャンバ35内のプロセス条件を変える。
【0046】 ここで本発明による基板処理方法の例が例示のエッチングプロセスを参照して
説明されるが、そこではゲート酸化物(二酸化珪素)下位層の上のポリシリコン
上位層が、下位層のエッチング及び損傷なしにエッチングされる。最初に、カリ
フォルニア州サンタクララのKLA−TENCOR社製のモデルUV1050の
ような反射度厚み測定マシンを用いて、基板30上にエッチングされる層の初期
厚さを正確に決定する。エッチングプロセスの全体の作動時間を推定するために
、及び/又はエッチングすべき層の厚さを計算してエッチングプロセス後に基板
30上に残る層の所定の厚さを得るために、実際の層の厚さは有効である。
【0047】 基板30はロードロック移送チャンバ180からのロボットアーム(図示せず
)によってスリットバルブを通ってプロセスチャンバ35のプロセスゾーン40
内に移送される。基板30はサポート40上に置かれそこで静電チャック50に
よって保持される。熱伝達ガスが静電チャック50表面内のホール185を通っ
て選択的に供給されて、基板30の温度が制御される。その後プロセスチャンバ
35内のプロセス条件が、基板30上の特定の層を処理してプロセスガスの副産
物を形成するように設定される。プロセスガスの条件は1つ以上のプロセスガス
成分、流量、ガス付勢器60の出力レベル、ガス圧力及び基板温度から成る。プ
ロセスは、例えばそれぞれが異なるプロセス条件を持つ複数の段階で実行できる
。例えばエッチングプロセスでは、基板30をエッチングするためのエッチャン
トガスで構成されるエネルギッシュなプロセスガスの1つ以上の組成がプロセス
チャンバ35内に提供される。基板30上の層のエッチングに適したエッチャン
トガスには、例えばHCl、BCl3、HBr、Br2、Cl2、CCl4、SiC
4、SF5、F、NF3、HF、CF3、CF4、CH3F、CHF3、C222
226、C28、C35、C65、C2HF5、C410、CF2Cl3、CFC
3、O2、N2、He及びそれらの混合物が含まれる。プロセスチャンバ35は
一般的に約0.1から約400mTorrの間で変動する圧力に維持される。高
いエッチング速度、及び下位層に対する上位層のエッチングの高い選択比を得る
ために、エッチャントガスの組成が選択される。複数の層が次々とエッチングさ
れる場合は、第1、第2及び第3のエッチャントガス組成を次々とプロセスチャ
ンバ35内に導入してそれぞれ特定の層をエッチングすることができる。
【0048】 このプロセスガスは付勢され、基板30をエッチングするに適した第1のプロ
セス条件に維持される。図1を参照すると、付勢されたプロセスガスは、図2に
示すように、エネルギをガス付勢器60を用いてプロセスゾーン40中に誘導及
び/又は容量カップリングすることによって、又はマイクロ波を遠隔チャンバ1
05の遠隔ゾーン110中のエッチャントガスに照射することによって、プロセ
スゾーン40中に提供される。付勢されたプロセスガスとは、解離した種、解離
していない種、イオン種及び/又は中性種の内の1つ以上がより高いエネルギ状
態に励起されて化学的により反応性が高くなるようにアクティベート又は付勢さ
れていることを意味する。プロセスガスは、プロセスチャンバ35を巡回してい
るインダクタアンテナ100にRF発生源の電流を印加することによって、又は
RF場イス電圧をプロセス電極に印加することによって付勢するのが望ましい。
基板30上の層をエッチングするイオンとニュートラルを形成するための印加さ
れた電界中でエッチャントガスはイオン化して、プロセスチャンバ35から排気
される揮発性が素性種を形成する。
【0049】 所与の厚さの層が基板30上に形成された後でプロセスモニタシステム25を
用いてプロセス条件を正確に変更する。エッチングプロセスでは、プロセスモニ
タシステム25を用いると、プロセスガスの組成を変更して、特定のエッチング
速度やエッチング選択比を提供することが可能である。例えば、プロセスモニタ
システム25を用いて、エッチャントガス中にあるフッ素化ガスのため高エッチ
ング速度をもたらす第1の高度に腐食性のエッチングステップの後でエッチング
プロセスを停止させ、これによって、第2のそして反応性の低いエッチングステ
ップの開始点を決定することができるが、この第2のステップは、よりエッチン
グを制御するためにより低いエッチング速度で残留誘電層をエッチングするため
にフッ素化ガスの存在しないプロセスガスを用いる。プロセスモニタステム25
を用いて、第1のプロセス条件をより腐食性の低い、すなわち第2のプロセス条
件に変更される又はその逆が可能となるような、シリコン層のほとんど全てがエ
ッチングされる時点を検出して、エッチング速度やエッチング選択比の所望の変
更又は、エッチングプロセスの他の何らかの特定の変更、例えばエッチング速度
の高速化/低速化、異なった組成を持つ下位層のエッチングなどをもたらす。
【0050】 一般的に、本発明の方法においては、入射光ビーム148aをプロセスチャン
バ35のプロセスゾーン40中の付勢済みプロセスガスを介して、基板30を覆
っているエッチング中の層に入射するように伝達される。これらの実験は、Hg
−Cdランプから成る光線150を用いて実行された。この光源150からの光
ビームはウィンドウ140を介してほぼ直角で基板30に入射するように方向付
けされるて、基板30上でエッチング中の1つ以上の外形を覆うに十分な大きさ
のサイズを有するビームスポットとなる。入射光ビーム148aは実質的に非偏
光だけから成っているのが望ましいが、その理由は、偏光はプロセスウィンドウ
130上の薄い残留物によって優先的に吸収されるからである。
【0051】 層の厚さが(ある一定時間後に)十分小さくなっている場合、基板30上の層
の頂部と底部の双方の表面からの反射光ビーム148bの特性を測定する。この
測定された特性、例えば反射光ビームの強度や位相の変化がある時間にわたって
記録されて測定された波形パターンを形成する。この測定された波形パターンは
記憶されている波形パターンと比較され、この2つの信号が実質的に同一であれ
ば、エッチングポロセスのエンドポイントに達したことになる。その時点におい
て、第1のプロセス条件を、反射光ビームの特性の測定に関連して第2のプロセ
ス条件に変更する。例えば、層全体がエッチングで貫通される前に、第1のプロ
セス条件を、エッチングプロセスを呈しさせるような、基板30上の層のエッチ
ング速度を変更するような、又は下位層に対する自身のエッチング選択比を変更
するような第2のプロセス条件に変更される。
【0052】 参照してここに組み込む1998年4月17日に提出された、グリンバーゲン
(Grimbergen)らによる、共同譲受された米国特許出願第09/06
2,520号に述べるように、反射光ビーム148bの測定された強度もまた、
ある時間にわたってプロットして、波形の測定済みパターンを得ることができる
が、この測定された波形パターンは、所定の特徴波形パターンと比較して、これ
ら2つの波形が同一であるか又は実質的に互いに同じであるかしたら、エッチン
グプロセスのエンドポイントが起こったと判断する。この方法では、コンピュー
タコントローラ155が、反射光ビーム148bの強度の電気的出力信号をある
時間にわたってプロットして、反射光ビームの様々な強度に対応する多くの波形
パターンを有する波形スペクトルを提供する。コンピュータコントローラ155
は反射光のリアルタイム波形スペクトルを基板30上で処理中の層の厚さに基づ
いて計算して、測定された強度を持つ波形を記憶されている特徴波形パターンと
比較して、これら2つの波形が実質液に同じ形状と形態を有している場合にプロ
セスチャンバ35中のプロセス条件を調整する。コンピュータプログラムは、測
定された波形パターンが、反射波形パターン中の週末のピークやディップの直前
で発生する反復性の波形発振を含んでいれば層の処理段階の終了と判断する。コ
ンピュータプログラムはまた、リアルタイムで、基板30上に残っているエッチ
ング中の層の厚さを計算し、これによって、プロセスチャンバ35中のプロセス
条件を調整するプログラムコードを含むことがある。コンピュータプログラムは
また、反射光ビームの強度の極大値と極小値の数をカウントして、所定の数の極
値に達したら、プログラミングされている指針に従ってプロセスチャンバ35中
のプロセス条件を変更する。
【0053】 本発明の別の態様では、現場での又は乾式のクリーニングプロセスを本発明の
プロセスモニタ方法と共に実行して、プロセスチャンバ35の動作性能を向上さ
せることが可能である。この方法では、基板30上の第1の層がエッチングプロ
セスの第1の段階で、高エッチング速度を提供するエッチャントガスと残留堆積
物を除去するプロセス清浄化ガスとプロセスガス副産物という組成を、プロセス
チャンバ35の壁に形成されているままに含むプロセスガスでエッチングされる
。この清浄化ガスは、薄い下位のゲート酸化物層を迅速に貫通エッチングするガ
スを包含する極端に腐食的なフッ素であるため、プロセスモニタシステム25を
用いてプロセスのエンドポイントとプロセスガスの組成変化を検出して、第1の
層が貫通エッチングされる直前にこの清浄化ガスを除去する。プロセスの第1の
段階では、この清浄化ガスは、あるバッチの基板の中間的処理においてエッチン
グを停止させる必要なくプロセスチャンバ35を清浄化して、湿式清浄化プロセ
スを実行する。ある好ましい実施形態では、エッチャントガスはCl2、N2、O 2 、HBr及びHe−O2の内の1つ以上を含み;清浄化ガスは、NF3、CF6
びSF6の内の1つ以上などのフッ素化ガスを包含する無機非炭化水素を含んで
いる。エッチャントガスに対する清浄化ガスの体積流量比は、第1の段階が終了
したらプロセスチャンバ表面から実質的にあらゆる残留堆積物とプロセスガス副
産物を除去するように選択するのが望ましい。エッチャントガスに対する清浄化
ガスの体積流量比を、プロセスチャンバ35を清浄化する別の清浄化ステップを
実行することなく、プロセスチャンバ35中の基板30を少なくとも2000個
だけ処理している間に形成された実質的に全ての残留堆積物とプロセスガス服残
物を除去するように選択すればより望ましい。エッチャントガスに対する清浄化
ガスの適切な体積流量比は約1:20から約1:1であり、約1:10から約2
:3であればより望ましく、約2:3であれば最も望ましい。このような体積流
量比では、プロセスチャンバ表面上にある実質的に全てのエッチャント残留物が
プロセスチャンバ表面を浸食することなく除去できることが分かった。加えて、
以外にも、プロセスチャンバ表面は、別のプロセスチャンバ条件決めステップや
枯らしステップを必要とすることなく、エッチャントガスと清浄化ガスを合成す
るステップで清浄化して条件決めされることが分かった。上述の米国特許出願第
09/062,520号に、適切な清浄化ガス組成が記載されている。
【0054】 以下の例では、本発明に従ってウィンドウ130とマスク140を有するプロ
セスチャンバ35の使用法を示す。これらの例では、2500Åのポリシリコン
層を有する1連の200mmシリコン基板30と、45Åの二酸化シリコン層と
、2000Åのパターニングされたレジスト層を有する200mmシリコンの基
板30の連続体がエッチングされた。多段階プロセスを、基板30上のポリシリ
コン層をエッチングするために用いた。第1のすなわち主たるエッチング段階で
は、50sccmのCF4と40SCCMのSF6を含む付勢されたプロセスガ
スをプロセスチャンバ35中に提供して、レジスト層の開口を介して露出されて
いるポリシリコン層の肉厚をほとんど貫通エッチングする。このプロセスガスは
インダクタアンテナ100に750ワットの発生源出力を印加し、90ワットの
バイアス出力をプロセス電極45と55に印加することによって付勢される。プ
ロセスチャンバの圧力は約2ミリトールから約3ミリトールに維持される。プロ
セスのエンドポイントがプロセスモニタシステム25によって検出されると、主
エッチング段階が停止されて、残余のポリシリコンが、実質的に下の二酸化シリ
コン層を破損することなく第2のすなわち過剰エッチング段階で除去される。こ
の過剰エッチング段階では、60sccmのSF6を含む第2の付勢済みプロセ
スガスがプロセスチャンバ35中に導入され、600ワットの発生源出力と1ワ
ットのバイアス出力で付勢される。プロセスチャンバ35は約10ミリトールの
圧力に維持される。
【0055】 プロセスは、透明プレート135上にプロセス残留物が累積されるのを抑える
ように様々な厚さを持つマスク140を含むウィンドウ130と、様々な数、直
径及びアスペクト比を持つアパーチュア145と、を有するプロセスチャンバ3
5中で実行された。一般に、マスク140は、地4Bに示すように薄い環状リッ
プ154によって囲まれた隆起した中心部分153を有する二酸化アルミ製ディ
スクから成っていた。マスク140は透明プレート135から約0.038”の
ところに置かれ、KaptonRのサファイアなどの対エッチング性材料製の小
さいスライド(図示せず)がマスク140と透明プレート135間に置かれ、こ
れによって、各アパーチュア145を介して露出している透明プレート135の
ある部分をシールドしていた。プロセスチャンバ35が動作した合計時間が記録
され、ある規定時間後に、ウィンドウ130が取り除かれて、堆積されたプロセ
ス残留物の厚さ及び/又は透明プレート135から除去された材料の分量が、D
ek TakやAlpha−stepなどの触針段差高さ測定デバイスを用いて
測定された。透明プレート135を介する光の伝達もまた、周知の強度を持つ光
源と伝達光の強度を正確に測定することが可能な光検出器を用いて測定された。
【0056】 例1: 第1の例では、マスク140は19mm(0.75”)の厚さを持つ中心隆起
部分を有し、また、各々が3.8mm(0.15”)幅と5:1というアスペク
ト比を有する19個のアパーチュアから成る六角形パターンから成っていた。こ
の例は、小さい直径と大きいアスペクト比を有するアパーチュア145の配列が
ウィンドウ130の透明プレート135上にプロセス残留物が堆積されるのを抑
えることを示すものである。チャンバ中で80分にわたってエッチングした後で
、ウィンドウ130を分解して、サファイアスライドを取り外し、透明プレート
153を触針段差高さ測定計器で走査して、プロセス残留物の累積と透明プレー
ト135のエッチングの具合を測定した。小さいアパーチュア145のアスペク
ト比が高いためプラズマ種を形成する残留物はどれも透明プレート135に到達
することはなく、透明プレート135が識別され得るほどにエッチングされるこ
とはまったくなかった。そのうえ、透明プレート135上のプロセス残留物の厚
さは測定可能限界未満、すなわち600Å未満であった。透明プレート135を
通過する光の伝達もまた、検出可能限界未満、すなわち1%未満であった。
【0057】 例2: この例では、マスク140は、0.75”の厚さを持つ中心隆起部分153と
、と0.1”から1”まで変化する直径を有する円形アパーチュア145と、か
ら成っていた。プロセスチャンバ35を80分にわたって操作した後では、マス
ク140は、従来のウィンドウと比較して透明プレート135上への残留物の堆
積をかなり減少させているのが分かった。ウィンドウ130は交換され、清浄化
されないまま、さらに18から25時間の動作後に再度検査された。25時間の
動作後の様々なサイズのアパーチュア145に対する残留堆積物の累積及び透明
プレート135のエッチングを表1に要約する。
【0058】
【表1】
【0059】 図5のグラフを参照すると、アパーチュア145の直径が減少しアスペクト比
が増加するに連れて、プロセス残留物堆積物のアパーチュア145中への堆積に
寄与する中性プラズマ種フラックスの流れが徐々に減少して、透明プレート13
5に到達することが完全に防がれた。アパーチュア145の直径が0.5”から
0,25”に減少するに連れて、プロセス残留物の透明プレート135への正味
の堆積が最初は増加し、その後で、アパーチュア145が小さくなり続けるに連
れて減少する。これと対照的に、アパーチュアサイズが0.5”を越えアスペク
ト比が2:1から0.75:1に減少するに連れて、アパーチュア145の中心
で堆積が抑えられ、一方、エッチングはアパーチュア145の側壁又はエッジの
近傍で優勢となる。これと対照的に、約1から約2のアスペクト比を有するアパ
ーチュア145の場合、実質的にエネルギッシュなプラズマ種だけがアパーチュ
ア145中に進入できることからアパーチュアの実質的に全体幅にわたって負の
正味の堆積速度が観測される。
【0060】 磁界の封じ込め 本発明の別の実施形態では、図6Aに示すように、プロセスチャンバ35は、
ウィンドウ130の近傍又はその上で磁束を維持する手段と成る又はその働きを
するようになっている磁界発生源195を含んでいる。サポート45上に保持さ
れている基板30を付勢されたプロセスガスによって処理すると、ウィンドウ1
30のある部分上を延長する磁束が、ウィンドウの透明プレート135にプロセ
ス残留物が堆積されるのを抑える。磁束発生源195は、ウィンドウ130の表
面の少なくともある部分上の磁気エネルギすなわち磁束をカップリングするため
にウィンドウ130に隣接して置かれている少なくとも1つの永久磁石200又
は電磁石(図示せず)を含んでいる。磁界線は一般にウィンドウ130の周りの
空間に封じ込められて、浅い深さにだけしか侵入しない又はプロセスチャンバ3
5中には全く侵入しないのが望ましい。
【0061】 ある好ましい磁界発生源195は、透明プレート135のある部分上でウィン
ドウ130の平面上を延長する磁界成分を提供するようにウィンドウの周りに配
置された永久磁石200を含んでいる。磁界発生源195は、プロセスチャンバ
35の他の部分での密度より高い密度をウィンドウの上に有し、また、ウィンド
ウ130のエッジで終端するウィンドウ130上の局所的な磁束を提供するのが
望ましい。
【0062】 ウィンドウ130上の磁束は、帯電したプロセスガス種が透明プレート135
に到達しないようにする磁界成分を含んでいる。例えば、磁界線すなわち磁束が
ウィンドウ130の平面に平行な方向ベクトルを有している場合、この磁界線は
、帯電したプラズマイオンと付勢されたプロセスガスの電子を透明プレート13
5からある固定した平均距離だけ隔たったところにある円形経路に封じ込め、こ
れによってプロセス残留物がプレート135に堆積しないようにする働きをする
。例えば、ウィンドウ130のある部分上をウィンドウに平行な平面に沿って延
長する磁束のため、磁束の領域に進入する帯電イオンと電子がこの領域で回転し
て円形運動をする。この場合の磁界強度は、帯電した種がこの領域から退出させ
ることが実質的になく、帯電したイオンと電子を磁界の領域に封じ込めるに十分
高くあるべきである。一般に、適切な磁界強度は約10ガウスから約10,00
0ガウスであり、約50ガウスから約2000ガウスであればより望ましい。
【0063】 図6Bから図6Dに示す1つの実施形態では、磁界発生源195は、ウィンド
ウ130の周囲の周りに配置された複数の磁極205を備えている。ウィンドウ
30の周囲のこの磁極205は、互いに対面している互いに反対側の磁気極性を
含んでいる。例えば、図6Bに示すように、磁界発生源195は互いに対面する
少なくとも1対の南北極205aと205bを含むことがある。磁界発生源19
5は、アパーチュア215を有する磁気ヨーク210(すなわち、永久磁石又は
電磁石の強磁性ヨーク)を含むのが望ましい。磁気ヨーク210はアパーチュア
215上で対称性磁界となる。図6Bに、少なくとも1対の半径方向に延長する
互いに対面し逆の磁極性を持つ磁極205aと205bを含む例示磁気ヨーク2
10を示す。代替例としては、図6Dに示すように、磁気ヨーク210は、ウィ
ンドウ130の表面上に磁束を提供するようにアパーチュア215上で互いに対
面する1対の半径方向の両磁極205と成るように配置された磁気材料製の複数
のヨークを含むことがある。
【0064】 環状又は周辺配置された磁気ヨーク210のアパーチュア215は、ウィンド
ウ130を介して光が通過できるようなサイズとなっている。対面する磁極20
5aと205bはは磁気ヨーク210のアパーチュア215上にほぼ直線状に磁
界を印加する。アパーチュア215は、プロセスモニタステム25を動作させる
に十分な強度を持つ光が通過するに十分なサイズとなっている。アパーチュア2
15の合計断面積は、アパーチュア215を介してプロセスチャンバ35から十
分な量の光が潜入したり出現できるように十分な大きさとなっている。アパーチ
ュアの形状は円筒形でも三角形でも矩形でもよいが、この内、円筒形状であると
、磁界発生源の軸対称性が良好となり内部表面も平滑となる。
【0065】 電界の付勢 別の例では、プロセスチャンバ35は、プロセスチャンバ35の壁又は天井5
5のウィンドウ130と、電気的エネルギをウィンドウ130にカップリングす
る電界発生源220と、を備えている。ウィンドウ130にカップリングされた
電気的エネルギによって、付勢されたプロセスガスイオンがエネルギッシュにウ
ィンドウ130に衝突し、また、ウィンドウに堆積されたプロセス残留物を除去
することによってウィンドウに対するプロセス残留物の累積を抑える。電界発生
源220はウィンドウに隣接した電極225を備えている。例えば、図7に示す
ように、電界発生源220は、ウィンドウに隣接してその背後に配置されている
電極225を含み、これによって、ウィンドウの中に電荷を誘導し、ウィンドウ
130の平面に直角な電界を発生し、これがさらに、プロセスチャンバ35中の
エネルギッシュなプラズマイオンと種をウィンドウ130に向けて加速させてこ
れに衝突させ、ウィンドウに形成されているプロセス残留物堆積物をスパッタエ
ッチングして除去する。
【0066】 図8Aに示すさらに別の例では、電界発生源220は1つ以上のアパーチュア
230を有する電極225を備えるが、これは透明プレート135と光源150
間に配置されて、ウィンドウ130の平面に直角な電界となっている。この電界
によって、プロセスチャンバ35中のエネルギッシュなプラズマイオンと種がウ
ィンドウ130に向かって加速され、アパーチュア230を通って透明プレート
135に衝突し、これによってプロセス残留堆積物をスパッタエッチングして除
去する。アパーチュア230の合計面積は、プロセスモニタシステムを動作させ
るに十分な量の光束がプロセスチャンバ35からアパーチュアを介して潜入した
り出現したりできるように十分な大きさであるのが望ましい。
【0067】 加えて、渦電流減衰スロット232もまた、電流の連続的通路が電極中で形成
されないようにすることによって電極225中に誘導された渦電流を減少させる
ようなサイズ、形状及び配置となっている。渦電流は、インダクタアンテナ10
0などの他のプロセス構成部品からカップリングされた電気的エネルギによって
発生する。スロット232は、電極225中の円形電流通路を破壊することによ
って渦電流を減衰又は消滅させる。例えば、図8Bに示すように、電極225は
、電極225中に誘導された渦電流の通路上に少なくとも1つの半径方向に延長
するカットアウト240を有するディスク235を含むことがある。代替例とし
て、図8Cと8Dに示すように、電極225は、1連の半径方向くさび形カット
242又は互いに離間して置かれた円形状穴243の配列を含んでいる。
【0068】 磁界発生源195の場合のように、電界発生源220は、ウィンドウ130の
ある部分又は実質的に全体の表面にわたって延長し、また、ウィンドウ130の
エッジで又は近傍で終端する電界又はフラックスを提供するようになっている。
電極225は、ウィンドウ130の透明プレート135の全面積を覆う電界を提
供するに十分大きいサイズであし、またその形状とサイズがウィンドウ130の
それに類似しているのがより望ましい。電圧源245は、直流電圧、交流電圧又
はRF電圧の内のどれかで電極225を電気的にバイアスする。代替例として、
図8Aに示すように、電極225は、インダクタアンテナ100の選ばれたコイ
ルを電極225に接続するタップ250によって電気的にバイアスすることがで
きる。したがって、コイル電源104は電力をウィンドウ電極225とインダク
タアンテナ100の双方に供給する。コイル電源104は約10ボルトから約1
0,000ボルトの電圧で電極225をバイアスするのが望ましく、約20ボル
トから約4000ボルトであればより望ましい。
【0069】 マスク140もまた、磁界都電界を封じ込める方法と一緒にして用いることが
できる。この方法では、アパーチュア145を有するマスク140は磁気ヨーク
210のアパーチュアで、又は電極225のアパーチュア230上で整合されて
、アパーチュア145をアパーチュア210又は230と整合させる。マスク1
40のアパーチュア145は、磁気ヨーク210のアパーチュア215中に付勢
済みプロセスガスの進入を制限又は抑えて、プロセスガス副産物と他の堆積物が
下の透明プレート135に堆積しないようにするような形状とサイズとなってい
る。代替例では、アパーチュア145は、低エネルギプラズマ種を遮蔽して高エ
ネルギで方向性のあるプラズマ種だけをアパーチュア145に入れるようにする
ようなサイズと形状となっている。この高エネルギで方向性のある種はアパーチ
ュア145の側壁と透明プレート135の表面に衝突して、これらの上に形成さ
れているプロセスガス堆積物をスパッタエッチングして除去する。
【0070】 本発明による基板20とプロセスによって、プロセスモニタシステム25のウ
ィンドウ130に残留堆積物を過度に堆積させることなく、プロセスチャンバ3
5中で実行中のプロセスを正確にそして信頼性高くモニタすることを可能とする
。改良されたウィンドウ130の構造によってさらに、ウィンドウの構成部品か
らの堆積物の剥離が減少し、これによって基板の歩留まりが向上する。ウィンド
ウ130はまた、従来型のウィンドウ130よりプロセスチャンバ35中のプラ
ズマによる浸食性の破損をはるかに受けにくい。ウィンドウ130をしばしば交
換する必要性を減少させることによって、プロセスチャンバ35の操作コストと
基板30の1つ当たりのコストもまたかなり減少する。さらに、ウィンドウ13
0のマスクされた構成によって、プロセスチャンバ壁及びウィンドウ130を含
む構成部品を湿式清浄化するために処理を停止させることなく長時間にわたって
プロセスチャンバ35を使用し、これによってエッチングのスループットを増し
、基板30の1つ当たりのコストをさらに下げることが可能となる。磁界と電界
を封じ込める方法は、マスクする方法と別々に又は組み合わせて用いれば、ウィ
ンドウに対するプロセス残留物の堆積を減少ないしは完全に消滅させることが可
能となる。
【0071】 本発明によるエッチングとエンドポイント検出方法によって、上のシリコン層
をエッチングしている間に、薄いゲート酸化物層の下位層のエッチングや別種の
破損を抑えることによって基板の歩留まりがかなり改善される。特に、ポリシリ
コンをエッチングするプロセスが、ほんの数層分の二酸化シリコン原子を含み、
先行技術によるゲート酸化物層より4から5倍ほど薄い25から65オングスト
ロームの厚さを有する超薄型のゲート酸化物層を貫通エッチングすることなく停
止される。このエッチング方法はまた、高密度RFバイアスプラズマが薄いゲー
ト酸化物層を介してシリコンウエハ中にカップリングされる破損電流を形成して
引き起こしかねない破損を最小に留める。また、薄いゲート酸化物層が腐食性の
エッチングプロセスステップによって破損される前にエッチングプロセスを停止
することによって、このプロセスは集積回路の歩留まりと品質を向上させる。
【0072】 さらに、本発明によるエッチング/清浄化を組み合わせたプロセスは、エッチ
ャント残留物層の厚さや化学量論とは無関係に、エッチングプロセス中にプロセ
スチャンバ35上に堆積したエッチャントを同時に除去しながら、基板30を均
一にエッチングすることが分かっている。先行技術によるエッチングプロセスは
、たった200から300枚のウエハを処理するとプロセスチャンバを清浄化し
て条件決めすることが必要であったが、これは、これほどの数のウエハを処理す
ると、プロセスチャンバ表面に堆積したエッチャント残留物のため、エッチング
速度とエッチング選択比が変動し、また、粒子の汚染レベルが高くなるからであ
る。また、先行技術による清浄化プロセス、特に操作者が実行するプロセスは、
プロセスチャンバ表面上に形成されたエッチャント残留堆積物を均一に清浄化し
て除去することにしばしば失敗し、また、このようなエッチャント堆積物が形成
されると、基板30が剥離したり汚染されたりする。
【0073】 本発明をある好ましい例を参照して説明したが、他の例も可能である。例えば
、本発明による処理と清浄化プロセスを、他の応用分野のプロセスチャンバ35
の処理のために用いることができることが当業者には明らかであろう。例えば、
このプロセスは、当業者には明らかなように、スパッタリング用チャンバや、イ
オン注入チャンバや堆積チャンバなどの処理又は他の清浄化プロセスと組み合わ
せて応用することができる。したがって、添付クレームの精神と範囲は本書に記
載する好ましい例の説明に制限されるべきではない。
【図面の簡単な説明】
本発明の上記のそして他の特徴、態様及び利点は、本発明を例示する次の図面
、説明及び添付クレームを読めばよりいっそう理解されよう。以下の説明と図面
は本発明の例示の特徴を示すものであるが、その特徴の各々は本発明では一般的
に用いられ得るものであり、単に特定の図面の文脈で用いられるものではなく、
本発明はこれらの特徴をいかようにも組合せ得るものである。
【図1】 ウィンドウとその上のマスクを示す、本発明によるプロセスチャンバのある実
施形態の略断面図である。
【図2】 本発明による別のプロセスチャンバの略断面図である。
【図3A】 本発明による傾斜したウィンドウとその上のマスクを有するさらに別のプロセ
スチャンバの略断面図である。
【図3B】 本発明によるマスクの1実施形態の略上面図である。
【図4A】 ウィンドウと上位のマスクの別の実施形態の略側面図である。
【図4B】 図4Aのウィンドウとその上のマスクの略上面図である。
【図5】 試験マスクの様々なサイズのアパーチュアのアスペクト比の関数としてプロセ
ス残留物の正味堆積を示すグラフである。
【図6A】 ウィンドウ上に磁束を維持する磁界発生源を示す別のプロセスチャンバの実施
形態の部分略側面図である。
【図6B】 アパーチュアを間に持つ対面する1対の磁極を有する永久磁石を示す図6Aの
ウィンドウの上面図である。
【図6C】 アパーチュア上の磁束線を示す図6Bのウィンドウの略上面図である。
【図6D】 ウィンドウと、アパーチュアの周りの複数の磁極を含む磁界発生源との略上面
図である。
【図7】 ウィンドウとウィンドウの背後に電極を含む電界発生源を持つ別のプロセスチ
ャンバ実施形態の略断面図である。
【図8A】 ウィンドウとウィンドウの背後の電極をを持つ別のプロセスチャンバ実施形態
の部分略側面図である。
【図8B】 渦電流減衰スロットの配列を示す、図8Aの電極の略上面図である。
【図8C】 電極と薄電流スロットの別の実施形態の略上面図である。
【図8D】 電極と渦電流スロットの別の実施形態の略上面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 チアン, キュー−ユ アメリカ合衆国, カリフォルニア州, サン ノゼ, スカイヴュー ドライヴ 15300 Fターム(参考) 4K030 DA04 FA01 FA04 FA06 KA12 KA30 KA32 KA34 KA37 KA39 KA46 LA15 5F004 AA15 BA20 BB07 BB11 BB13 BB14 CB02 CB09 CB15 DA01 DA04 DA05 DA11 DA13 DA16 DA17 DA18 DA22 DA25 DA26 DA29 DB02 5F045 AA09 BB14 EB02 EH11 GB08 GB09

Claims (78)

    【特許請求の範囲】
  1. 【請求項1】 (a)支持体と、 (b)ガス分配器と、 (c)ガス励起器と、 (d)透明プレートおよび孔付きの被覆マスクとを備えるウィンドウと、 (e)排気装置と を備える、半導体基板を処理するためのプロセスチャンバであって、 前記ガス分配器により分配され、前記ガス励起器により励起され、前記排気装
    置により排気されるプロセスガスにより、前記支持体上に保持された基板を処理
    し、前記ウィンドウにあるマスクによりウィンドウ上のプロセス残留物の堆積が
    低減され、マスクの孔と透明プレートとを介して光が透過する、プロセスチャン
    バ。
  2. 【請求項2】 前記マスクが、前記透明プレートへのプロセスガスのアクセ
    スを低減できる大きさのアスペクト比を有する孔を備える、請求項1記載のプロ
    セスチャンバ。
  3. 【請求項3】 前記マスクが、約1:1から約12:1までのアスペクト比
    を有する孔を備える、請求項1記載のプロセスチャンバ。
  4. 【請求項4】 前記マスクが、励起プロセスガスのイオンが孔に入り、孔の
    側壁と透明プレート上に形成されたプロセス残留物をエッチング除去可能なほど
    小さいアスペクト比を有する孔を備える、請求項1記載のプロセスチャンバ。
  5. 【請求項5】 前記マスクが、約0.25:1から約3:1までのアスペク
    ト比を有する孔を備える、請求項1記載のプロセスチャンバ。
  6. 【請求項6】 前記マスクが、約0.1から約50mmの直径または幅と、
    約0.5から約500mmまでの高さを有する孔を備える、請求項1記載のプロ
    セスチャンバ。
  7. 【請求項7】 前記マスクが、六角形状の孔のアレイを備える、請求項1記
    載のプロセスチャンバ。
  8. 【請求項8】 前記マスクが、プロセスガスに対して耐腐食性のある材料を
    備える、請求項1記載のプロセスチャンバ。
  9. 【請求項9】 前記マスクが、Al23、SiO2、AlN、BN、Si、
    SiC、Si34、TiO2またはZrO2の1以上の材料を備える、請求項8記
    載のプロセスチャンバ。
  10. 【請求項10】 電気エネルギーをウィンドウに結合して、ウィンドウ上の
    プロセス残留物の堆積を低減する電界源をさらに備える、請求項1記載のプロセ
    スチャンバ。
  11. 【請求項11】 ウィンドウにわたって磁束をかけて、ウィンドウ上のプロ
    セス残留物の堆積を低減するのに適した磁界源をさらに備える、請求項1記載の
    プロセスチャンバ。
  12. 【請求項12】 (a)基板を支持するための受入表面を有する支持体と、 (b)プロセスガスをプロセスチャンバに与えるためのガス入口穴を有するガ
    ス分配器と、エネルギーをプロセスガスに結合可能なガス励起器と、 (c)基板の処理をモニタするために、光を透過させることが可能なウィンド
    ウと、 (d)ウィンドウ上のプロセスガスからプロセス残留物の堆積を低減する手段
    と、 (e)プロセスチャンバからプロセスガスを排気する排気装置とを備える、半
    導体基板を処理するためのプロセスチャンバ。
  13. 【請求項13】 ウィンドウ上のプロセス残留物の堆積を低減するための手
    段が、励起されたプロセスガス種のウィンドウへのアクセスを制御するための手
    段を備える、請求項12記載のプロセスチャンバ。
  14. 【請求項14】 ウィンドウ上のプロセス残留物の堆積を低減するための手
    段が、励起されたプロセスガスからウィンドウをマスクするマスク手段を備える
    、請求項12記載のプロセスチャンバ。
  15. 【請求項15】 ウィンドウ上のプロセス残留物の堆積を低減するための手
    段が、約1:1から約12:1のアスペクト比の孔を有する被覆マスクを備える
    、請求項12記載のプロセスチャンバ。
  16. 【請求項16】 前記マスクが、約0.25:1から約3:1のアスペクト
    比を有する孔を備える、請求項15記載のプロセスチャンバ。
  17. 【請求項17】 電気エネルギーをウィンドウに結合して、ウィンドウ上の
    プロセス残留物の堆積をさらに低減する電界源をさらに備える、請求項12記載
    のプロセスチャンバ。
  18. 【請求項18】 ウィンドウにわたって磁束をかけて、ウィンドウ上のプロ
    セス残留物の堆積をさらに低減するのに適した磁界源をさらに備える、請求項1
    2記載のプロセスチャンバ。
  19. 【請求項19】 (a)支持体と、 (b)ガス分配器と、 (c)ガス励起器と、 (d)基板処理中にプロセスチャンバとの間で光を透過するために光透過手段
    と、 (e)前記光透過手段上のプロセス残留物の堆積を低減する手段と、 (f)排気装置とを具備する半導体基板を処理するためのプロセスチャンバで
    あって、 前記ガス分配器により分配され、前記ガス励起器により励起され、前記排気装
    置により排気されるプロセスガスにより、前記支持体上に保持された基板を処理
    し、前記光透過手段上のプロセス残留物の堆積を低減するための手段により、前
    記光透過手段を介して光が透過して、基板処理をモニタすることができる、プロ
    セスチャンバ。
  20. 【請求項20】 プロセス残留物の堆積を低減するための手段が、励起され
    たプロセスガスの光透過手段へのアクセスを制限するための手段を備える、請求
    項19記載のプロセスチャンバ。
  21. 【請求項21】 プロセス残留物の堆積を低減するための手段が、励起され
    たプロセスガスから光透過手段をマスクするマスク手段を備える、請求項19記
    載のプロセスチャンバ。
  22. 【請求項22】 プロセス残留物の堆積を低減するための手段が、光透過手
    段を被覆し、約0.25:1から12:1のアスペクト比の孔を有するマスクを
    備える、請求項19記載のプロセスチャンバ。
  23. 【請求項23】 ウィンドウを被覆するマスクにある孔を通過する光の透過
    をモニタするプロセスモニタシステムをさらに備える、請求項19記載のプロセ
    スチャンバ。
  24. 【請求項24】 (a)プロセスチャンバに基板を配置するステップと、 (b)基板を処理するために、プロセスチャンバにおいて、励起されたプロセ
    スガスをプロセスチャンバに与えることを含む第1のプロセス条件を維持するス
    テップと、 (c)プロセスチャンバの壁にあるウィンドウをマスクして、ウィンドウを透
    過する光の特性を測定するステップと、 (d)透過光の特性の測定結果に基づいて、第1のプロセス条件を第2のプロ
    セス条件に変更するステップとを備える、プロセスチャンバにおいて基板を処理
    する方法。
  25. 【請求項25】 ウィンドウを介して入射光ビームを基板上に入射するよう
    に方向付け、基板から反射されウィンドウを介して透過された反射光ビームの特
    性を測定するステップをさらに含む、請求項24記載の方法。
  26. 【請求項26】 第1のプロセス条件が、基板をエッチングするのに適した
    プロセス条件を含み、第2のプロセス条件が、エッチングプロセスを停止するか
    、または基板のエッチング速度を変更するのに適したプロセス条件を含む、請求
    項24記載の方法。
  27. 【請求項27】 (a)支持体と、 (b)ガス分配器と、 (c)ガス励起器と、 (d)プロセスチャンバの壁にあるウィンドウと、 (e)ウィンドウにわたって磁束を与えるのに適した磁界源と、 (f)排気装置とを具備する半導体基板を処理するためのプロセスチャンバであ
    って、 励起されたプロセスガスで前記支持体上に保持された基板を処理することによ
    り、プロセスチャンバにプロセス残留物が形成され、ウィンドウにわたって磁束
    を与えることにより、ウィンドウ上のプロセス残留物の堆積が低減する、プロセ
    スチャンバ。
  28. 【請求項28】 前記磁界源が、チャンバの他の部分よりもウィンドウにわ
    たって高密度の磁束を与える、請求項27記載のプロセスチャンバ。
  29. 【請求項29】 前記磁界源が、ウィンドウの周辺に設けられた1以上の磁
    極を備える、請求項27記載のプロセスチャンバ。
  30. 【請求項30】 ウィンドウの周辺に互いに対面させて設けた磁極が、反対
    の磁極性を備える、請求項27記載のプロセスチャンバ。
  31. 【請求項31】 磁界源が孔を備え、前記孔にわたって磁束を与える、請求
    項27記載のプロセスチャンバ。
  32. 【請求項32】 前記磁界源が、ウィンドウに隣接した少なくとも1つの永
    久磁石または電磁石を備える、請求項27記載のプロセスチャンバ。
  33. 【請求項33】 前記磁界源が、光がウィンドウを通過することができる大
    きさの穴を有する環状ヨークを備える、請求項27記載のプロセスチャンバ。
  34. 【請求項34】 前記磁界源が、ウィンドウの平面に平行な磁界成分を与え
    るように配設された磁石または電磁石を備える、請求項27記載のプロセスチャ
    ンバ。
  35. 【請求項35】 前記磁界源が、ウィンドウのほぼ全面にわたって延びる磁
    界をかけるように適用される、請求項27記載のプロセスチャンバ。
  36. 【請求項36】 前記磁界源が、ウィンドウの縁の周りで終わる磁界をかけ
    るように適用される、請求項27記載のプロセスチャンバ。
  37. 【請求項37】 前記磁界源が、約10から約10,000ガウスまでの磁
    界をかける、請求項27記載のプロセスチャンバ。
  38. 【請求項38】 ウィンドウを被覆し、光が通過できる孔を備えたマスクを
    さらに備える、請求項27記載のプロセスチャンバ。
  39. 【請求項39】 前記マスクが、約0.25:1から約12:1のアスペク
    ト比を有する孔を備える、請求項27記載のプロセスチャンバ。
  40. 【請求項40】 (a)支持体と、 (b)ガス分配器と、 (c)ガス励起器と、 (d)プロセスチャンバの壁にあり、特定の光波長に対して透過性のあるウィ
    ンドウと、 (e)ウィンドウにわたって磁束を維持する手段と、 (f)プロセスガスをプロセスチャンバから排気する排気装置とを具備する半
    導体基板を処理するためのプロセスチャンバであって、 励起されたプロセスガスで前記支持体上に保持された基板を処理することによ
    り、プロセスチャンバにプロセス残留物が形成され、ウィンドウにわたって磁束
    を維持する手段により、ウィンドウ上のプロセス残留物の堆積が低減する、プロ
    セスチャンバ。
  41. 【請求項41】 ウィンドウにわたって磁束を維持する手段が、荷電プロセ
    スガス種がウィンドウに到達するのを実質的に防止する磁界成分を有する磁束を
    与える、請求項40記載のプロセスチャンバ。
  42. 【請求項42】 ウィンドウにわたって磁束を維持する手段が、ウィンドウ
    のほぼ全面にわたって延びる磁束を与える、請求項40記載のプロセスチャンバ
  43. 【請求項43】 ウィンドウにわたって磁束を維持する手段が、磁石または
    電磁石を備える、請求項40記載のプロセスチャンバ。
  44. 【請求項44】 ウィンドウにわたって磁束を維持する手段が、光が磁束手
    段を通過できるようにする手段をさらに備える、請求項40記載のプロセスチャ
    ンバ。
  45. 【請求項45】 ウィンドウにわたって磁束を維持する手段が、約10から
    約10,000ガウスの磁束を与える、請求項40記載のプロセスチャンバ。
  46. 【請求項46】 (a)プロセスチャンバに基板を配置するステップと、 (b)基板を処理するために、プロセスチャンバにおいて、励起されたプロセ
    スガスをプロセスチャンバに与えることを含むのプロセス条件を維持するステッ
    プと、 (c)プロセスチャンバの壁にあるウィンドウにわたって磁束を維持するステ
    ップとを備える、プロセスチャンバにおいて基板を処理する方法。
  47. 【請求項47】 ステップ(c)が、ウィンドウ上のプロセス残留物の堆積
    を低減できる高密度の磁束を維持するステップを備える、請求項46記載の方法
  48. 【請求項48】 ステップ(c)が、ウィンドウの平面にほぼ平行な磁界成
    分を有する磁束を維持するステップを備える、請求項46記載の方法。
  49. 【請求項49】 ステップ(c)が、ウィンドウにわたって局所化された磁
    束を維持するステップを備え、チャンバの他の部分よりもウィンドウにわたって
    高密度の磁束を備える、請求項46記載の方法。
  50. 【請求項50】 ステップ(c)が、ウィンドウの周辺に複数の磁極を維持
    するステプを備える、請求項46記載の方法。
  51. 【請求項51】 ステップ(c)が、ウィンドウの周辺に互いに対面した反
    対の磁極を維持するステップを備える、請求項46記載の方法。
  52. 【請求項52】 ステップ(c)が、ウィンドウの平面にほぼ平行な磁界成
    分を有する磁束を維持するステップを備える、請求項46記載の方法。
  53. 【請求項53】 ステップ(c)が、ウィンドウのほぼ全面にわたって延び
    、ウィンドウの縁の周りで終了する磁束を維持するステップを備える、請求項4
    6記載の方法。
  54. 【請求項54】 ウィンドウを被覆し、光が通過できる孔を備えたマスクを
    設けるステップをさらに備える、請求項46記載の方法。
  55. 【請求項55】 (a)プロセスチャンバに基板を配置するステップと、 (b)基板を処理するために、プロセスチャンバにおいて、励起されたプロセ
    スガスをプロセスチャンバに与えることを含む第1のプロセス条件を維持するス
    テップと、 (c)プロセスチャンバの壁にあるウィンドウにわたって磁束を維持するステ
    ップと、 (d)ウィンドウを透過する光の特性を測定するステップと、 (e)透過光の特性の測定結果に基づいて、第1のプロセス条件を第2のプロ
    セス条件に変更するステップとを備える、プロセスチャンバにおいて基板を処理
    する方法。
  56. 【請求項56】 (a)支持体と、 (b)ガス分配器と、 (c)ガス励起器と、 (d)プロセスチャンバの壁にあるウィンドウと、 (e)電気エネルギーをウィンドウに結合する電界源と、 (f)プロセスチャンバからプロセスガスを排気する排気装置とを具備する半
    導体基板を処理するためのプロセスチャンバであって、 励起されたプロセスガスで前記支持体上に保持された基板を処理することにより
    、プロセスチャンバにプロセス残留物が形成され、電気エネルギーをウィンドウ
    に結合することにより、ウィンドウ上のプロセス残留物の堆積が低減する、プロ
    セスチャンバ。
  57. 【請求項57】 前記電界源がウィンドウを電気的にバイアスすることによ
    り、励起されたプロセスガスイオンでウィンドウ上に効果的に衝撃を与えて、ウ
    ィンドウ上に堆積されたプロセス残留物を除去する、請求項56記載のプロセス
    チャンバ。
  58. 【請求項58】 前記電界源が、ウィンドウの表面にわたって延び、ウィン
    ドウの縁の前で終了する電界をかけるように適用される、請求項56記載のプロ
    セスチャンバ。
  59. 【請求項59】 前記電界源が、ウィンドウに隣接した電極またはコイルを
    備える、請求項56記載のプロセスチャンバ。
  60. 【請求項60】 電極または誘導コイルを設けることにより、ウィンドウの
    平面に垂直な電界成分を有する電束を与える、請求項59記載のプロセスチャン
    バ。
  61. 【請求項61】 前記プロセスチャンバが誘導アンテナを備え、電極が、前
    記誘導アンテナから結合された電気エネルギーにより誘導される渦電流を低減す
    るように配置された孔を備える、請求項59記載のプロセスチャンバ。
  62. 【請求項62】 電極が、光が通過できる大きさの孔を備える、請求項59
    記載のプロセスチャンバ。
  63. 【請求項63】 電極が、少なくとも1つの半径方向に延びたスロットを有
    するディスクを備える、請求項59記載のプロセスチャンバ。
  64. 【請求項64】 前記プロセスチャンバが、D.C.、A.C.またはRF
    電流の1つで電界源に電気的に動力を供給する電流源をさらに備える、請求項5
    6記載のプロセスチャンバ。
  65. 【請求項65】 電流源が、誘導コイルと、所定巻きの誘導コイルを電極に
    接続するタップとを備える、請求項56のプロセスチャンバ。
  66. 【請求項66】 電流源が、約20から約4000ボルトの電圧で電極にバ
    イアスをかける、請求項56記載のプロセスチャンバ。
  67. 【請求項67】 (a)支持体と、 (b)ガス分配器と、 (c)ガス励起器と、 (d)プロセスチャンバの壁にあり、特定の光波長に対して透過性のあるウィ
    ンドウと、 (e)ウィンドウにわたって電気的にバイアスをかける手段と、 (f)プロセスガスをプロセスチャンバから排気する排気装置とを備える半導
    体基板を処理するためのプロセスチャンバであって、 励起されたプロセスガスで前記支持体上に保持された基板を処理することによ
    り、プロセスチャンバにプロセス残留物が形成され、ウィンドウに電気的にバイ
    アスをかける手段により、ウィンドウ上のプロセス残留物の堆積が低減する、プ
    ロセスチャンバ。
  68. 【請求項68】 ウィンドウに電気的にバイアスをかける手段により、励起
    されたプロセスガスイオンでウィンドウ上に効果的に衝撃を与えて、ウィンドウ
    上に形成されたプロセス残留物を除去する、請求項67記載のプロセスチャンバ
  69. 【請求項69】 ウィンドウに電気的にバイアスをかける手段が、ウィンド
    ウのほぼ全面にわたって延びる電界を与える、請求項67記載のプロセスチャン
    バ。
  70. 【請求項70】 ウィンドウに電気的にバイアスをかける手段が、ウィンド
    ウに隣接した電極またはコイルを備える、請求項67記載のプロセスチャンバ。
  71. 【請求項71】 ウィンドウに電気的にバイアスをかける手段が、電気的バ
    イアス手段で誘導された渦電流を低減するための手段をさらに備える、請求項6
    7記載のプロセスチャンバ。
  72. 【請求項72】 (a)プロセスチャンバに基板を配置するステップと、 (b)基板を処理するために、プロセスチャンバにおいて、励起されたプロセ
    スガスをプロセスチャンバに与えることを含むのプロセス条件を維持するステッ
    プと、 (c)プロセスチャンバの壁にあるウィンドウに電気的にバイアスをかけるス
    テップとを備える、プロセスチャンバにおいて基板を処理する方法。
  73. 【請求項73】 ステップ(c)が、ウィンドウ上のプロセス残留物の堆積
    を低減できる大きさの電圧でウィンドウに電気的にバイアスをかけるステップを
    備える、請求項72記載の方法。
  74. 【請求項74】 ステップ(c)が、ウィンドウの表面に実質的に電気的に
    バイアスをかけるステップを備える、請求項72記載の方法。
  75. 【請求項75】 ステップ(c)が、ウィンドウに隣接して配置され、ウィ
    ンドウの表面にわたって電束を与えることができる大きさの電極またはコイルを
    維持するステップを備える請求項72記載の方法。
  76. 【請求項76】 D.C.、A.C.またはRF電流の1つで電極またはコ
    イルに動力を与えるステップを備える、請求項75記載の方法。
  77. 【請求項77】 ウィンドウを透過する光の特性を測定するステップと、透
    過光の特性の測定結果に基づいてプロセス条件を変更するステップとをさらに備
    える、請求項72記載の方法。
  78. 【請求項78】 (a)プロセスチャンバに基板を配置するステップと、 (b)基板を処理するために、プロセスチャンバにおいて、励起されたプロセ
    スガスをプロセスチャンバに与えることを含むのプロセス条件を維持するステッ
    プと、 (c)プロセスチャンバの壁にウィンドウを設けるステップと、 (d)ウィンドウの平面に垂直な電界成分を有し、プロセスチャンバにあるウ
    ィンドウの表面にわたって磁束を維持するステップとを備える、プロセスチャン
    バにおいて基板を処理する方法。
JP2000553977A 1998-06-11 1999-05-10 改善したプロセスモニタウィンドウを有するチャンバ Withdrawn JP2002518823A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/096,728 US6390019B1 (en) 1998-06-11 1998-06-11 Chamber having improved process monitoring window
US09/096,728 1998-06-11
PCT/US1999/010258 WO1999065056A1 (en) 1998-06-11 1999-05-10 Chamber having improved process monitoring window

Publications (1)

Publication Number Publication Date
JP2002518823A true JP2002518823A (ja) 2002-06-25

Family

ID=22258806

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000553977A Withdrawn JP2002518823A (ja) 1998-06-11 1999-05-10 改善したプロセスモニタウィンドウを有するチャンバ

Country Status (6)

Country Link
US (3) US6390019B1 (ja)
EP (1) EP1086481A1 (ja)
JP (1) JP2002518823A (ja)
KR (1) KR20010052752A (ja)
TW (1) TW418423B (ja)
WO (1) WO1999065056A1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005012218A (ja) * 2003-06-18 2005-01-13 Applied Materials Inc エッチング処理をモニタリングする方法およびシステム
US7115424B2 (en) 2001-08-31 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
JP2007067423A (ja) * 2006-09-29 2007-03-15 Toshiba Corp 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
JP2007517408A (ja) * 2003-12-23 2007-06-28 アプライド マテリアルズ インコーポレイテッド 限定された領域のスペクトル解析を行うための方法及び装置
JP2007294987A (ja) * 2000-10-23 2007-11-08 Applied Materials Inc 基板を処理する装置及び方法
JP2008542780A (ja) * 2005-06-08 2008-11-27 アルカテル−ルーセント 気体制御装置
US7595885B2 (en) 2003-10-22 2009-09-29 Kabushiki Kaisha Toshiba Process monitoring system, process monitoring method, and method for manufacturing semiconductor device
JP2010535425A (ja) * 2007-08-01 2010-11-18 アプライド マテリアルズ インコーポレイテッド 基板上の薄膜を識別するための方法及び装置
JP2014019887A (ja) * 2012-07-13 2014-02-03 Showa Shinku Co Ltd 光学モニタ及びそれを用いた真空蒸着装置
US10631393B2 (en) 2016-06-13 2020-04-21 Gigaphoton Inc. Chamber device and extreme ultraviolet light generating device

Families Citing this family (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6632321B2 (en) * 1998-01-06 2003-10-14 Applied Materials, Inc Method and apparatus for monitoring and controlling wafer fabrication process
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6558504B1 (en) * 1998-12-21 2003-05-06 Research Triangle Institute Plasma processing system and method
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
US6786935B1 (en) * 2000-03-10 2004-09-07 Applied Materials, Inc. Vacuum processing system for producing components
EP1199378A4 (en) * 2000-03-27 2006-09-20 Mitsubishi Heavy Ind Ltd METHOD AND DEVICE FOR PRODUCING A METAL FILM
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
WO2002025696A2 (en) * 2000-09-21 2002-03-28 Applied Materials, Inc. Reducing deposition of process residues on a surface in a chamber
DE10055033A1 (de) * 2000-11-07 2002-05-08 Aixtron Ag CVD-Reaktor mit grafitschaum-isoliertem, rohrförmigen Suszeptor
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6664740B2 (en) * 2001-02-01 2003-12-16 The Regents Of The University Of California Formation of a field reversed configuration for magnetic and electrostatic confinement of plasma
US6611106B2 (en) * 2001-03-19 2003-08-26 The Regents Of The University Of California Controlled fusion in a field reversed configuration and direct energy conversion
KR100702723B1 (ko) * 2001-06-22 2007-04-03 동경 엘렉트론 주식회사 드라이 에칭 방법
US6911090B2 (en) * 2001-10-12 2005-06-28 International Business Machines Corporation Real-time process control for optical component fabrication
WO2003036309A1 (en) * 2001-10-24 2003-05-01 Tokyo Electron Limited Method and apparatus for electron density measurement
US7214289B2 (en) * 2001-10-24 2007-05-08 Tokyo Electron Limited Method and apparatus for wall film monitoring
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6713969B2 (en) * 2002-01-31 2004-03-30 Tokyo Electron Limited Method and apparatus for determination and control of plasma state
US20030180971A1 (en) * 2002-03-25 2003-09-25 Adaptive Plasma Technology Corporation Plasma etching method and apparatus for manufacturing a semiconductor device
WO2004001817A1 (en) * 2002-06-21 2003-12-31 Applied Materials, Inc. Transfer chamber for vacuum processing system
JP2004055600A (ja) * 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
TWI238680B (en) * 2002-09-30 2005-08-21 Tokyo Electron Ltd Plasma processing system and method
CN100481308C (zh) * 2002-09-30 2009-04-22 东京毅力科创株式会社 采用具有等离子体处理系统的光学系统的装置和方法
KR100488541B1 (ko) * 2002-10-18 2005-05-11 삼성전자주식회사 플라즈마 처리장치
TWI240326B (en) * 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
US6987269B2 (en) * 2002-12-16 2006-01-17 Axcelis Technologies, Inc. Apparatus and process for measuring light intensities
KR100976976B1 (ko) * 2002-12-26 2010-08-23 삼성전자주식회사 고주파 정합장치
JP4855625B2 (ja) * 2002-12-27 2012-01-18 東京エレクトロン株式会社 プラズマ処理装置の観測窓およびプラズマ処理装置
US6811657B2 (en) * 2003-01-27 2004-11-02 Micron Technology, Inc. Device for measuring the profile of a metal film sputter deposition target, and system and method employing same
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US7033518B2 (en) * 2003-06-24 2006-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for processing multi-layer films
US7604701B2 (en) * 2003-07-14 2009-10-20 Tokyo Electron Limited Method and apparatus for removing external components from a process chamber without compromising process vacuum
US7420690B2 (en) * 2005-11-28 2008-09-02 Semitool, Inc. End point detection in workpiece processing
US7967605B2 (en) 2004-03-16 2011-06-28 Guidance Endodontics, Llc Endodontic files and obturator devices and methods of manufacturing same
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
TWI298895B (en) * 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7400096B1 (en) 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
KR100549955B1 (ko) * 2004-07-20 2006-02-07 삼성전자주식회사 반도체 제조 설비의 식각종말점 검출장치
US7305935B1 (en) 2004-08-25 2007-12-11 The United States Of America As Represented By The Administration Of Nasa Slotted antenna waveguide plasma source
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
JP4006004B2 (ja) * 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
FR2880470B1 (fr) * 2004-12-31 2007-04-20 Cit Alcatel Dispositif et procede pour le controle de la profondeur de gravure lors de la gravure alternee par plasma de substrats semi-conducteurs
US20060196846A1 (en) * 2005-03-01 2006-09-07 Tokyo Electron Limited Plasma processing method and apparatus, and method for measuring a density of fluorine in plasma
US9123512B2 (en) * 2005-03-07 2015-09-01 The Regents Of The Unviersity Of California RF current drive for plasma electric generation system
US8031824B2 (en) 2005-03-07 2011-10-04 Regents Of The University Of California Inductive plasma source for plasma electric generation system
US9607719B2 (en) * 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
KR101108443B1 (ko) * 2005-05-04 2012-01-31 주성엔지니어링(주) 원격 플라즈마를 이용한 챔버 세정방법
KR100897176B1 (ko) * 2005-07-20 2009-05-14 삼성모바일디스플레이주식회사 유도 결합형 플라즈마 처리 장치
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
US7908993B2 (en) * 2005-08-24 2011-03-22 Brother Kogyo Kabushiki Kaisha Film forming apparatus, film forming method and method for manufacturing piezoelectric actuator
US20070077671A1 (en) * 2005-10-03 2007-04-05 Applied Materials In-situ substrate imaging
JP4722725B2 (ja) * 2006-02-17 2011-07-13 東京エレクトロン株式会社 処理方法およびプラズマエッチング方法
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
TWI335450B (en) * 2006-05-15 2011-01-01 Ind Tech Res Inst Film cleaning method and apparatus
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US7582491B2 (en) * 2006-10-27 2009-09-01 Tokyo Electron Limited Method for diagnosing electrostatic chuck, vacuum processing apparatus, and storage medium
US20080233016A1 (en) * 2007-03-21 2008-09-25 Verity Instruments, Inc. Multichannel array as window protection
US7630859B2 (en) * 2007-05-01 2009-12-08 Verity Instruments, Inc. Method and apparatus for reducing the effects of window clouding on a viewport window in a reactive environment
JP2008288348A (ja) * 2007-05-16 2008-11-27 Canon Inc プラズマ処理装置及びプラズマ処理方法
JP4426642B2 (ja) * 2008-02-18 2010-03-03 三井造船株式会社 原子層成長装置および原子層成長方法
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
TW201122148A (en) * 2009-12-24 2011-07-01 Hon Hai Prec Ind Co Ltd Chemical vapor deposition device
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP5385875B2 (ja) * 2010-08-26 2014-01-08 東京エレクトロン株式会社 プラズマ処理装置及び光学モニタ装置
US10595365B2 (en) * 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US9174296B2 (en) * 2010-10-20 2015-11-03 Lam Research Corporation Plasma ignition and sustaining methods and apparatuses
US9025143B2 (en) 2010-11-12 2015-05-05 Industry-Academic Cooperation Foundation Yonsei University Device for preventing intensity reduction of optical signal, optical emission spectrometer, optical instrument, and mass spectrometer including the same
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
AU2012340058B2 (en) 2011-11-14 2016-03-10 The Regents Of The University Of California Systems and methods for forming and maintaining a high performance FRC
US10541183B2 (en) * 2012-07-19 2020-01-21 Texas Instruments Incorporated Spectral reflectometry window heater
US9856563B2 (en) * 2012-08-22 2018-01-02 Uchicago Argonne, Llc Micro-balance sensor integrated with atomic layer deposition chamber
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
JP3203666U (ja) * 2013-03-12 2016-04-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システム用ウインドウアセンブリ
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
CN104180828B (zh) * 2013-05-24 2016-11-23 北京金海创科技发展有限公司 用于确定角度位置的检测装置
US9885493B2 (en) * 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
KR102443312B1 (ko) 2013-09-24 2022-09-14 티에이이 테크놀로지스, 인크. 고성능 frc를 형성하고 유지하는 시스템 및 방법
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
TWI640039B (zh) * 2014-07-03 2018-11-01 美商西凱渥資訊處理科技公司 端點增強器系統及在晶圓蝕刻製程中用於光學端點偵測之方法
KR20160030812A (ko) * 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
HUE055365T2 (hu) 2014-10-13 2021-11-29 Tae Tech Inc Eljárás sûrû toroidok egyesítésére és összenyomására
WO2016070126A1 (en) 2014-10-30 2016-05-06 Tri Alpha Energy, Inc. Systems and methods for forming and maintaining a high performance frc
HUE052181T2 (hu) 2015-05-12 2021-04-28 Tae Tech Inc Összeállítások és eljárások a nemkívánatos örvényáramok csökkentésére
LT3357067T (lt) 2015-11-13 2021-11-25 Tae Technologies, Inc. Sistemos ir būdai frc plazmos pozicijos stabilumui
JP7075101B2 (ja) 2016-10-28 2022-05-25 ティーエーイー テクノロジーズ, インコーポレイテッド 調整可能ビームエネルギーを伴う中性ビーム注入器を利用する高性能frc上昇エネルギーの改良された持続性のための方法
EA201991117A1 (ru) 2016-11-04 2019-09-30 Таэ Текнолоджиз, Инк. Системы и способы улучшенного поддержания высокоэффективной конфигурации с обращенным полем с вакуумированием с захватом многомасштабного типа
UA126673C2 (uk) 2016-11-15 2023-01-11 Тае Текнолоджіз, Інк. Системи і способи поліпшеної підтримки високоефективної конфігурації з оберненим полем і нагрівання електронів за допомогою вищих гармонік швидких хвиль у високоефективній конфігурації з оберненим полем
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
WO2019003483A1 (ja) 2018-01-31 2019-01-03 株式会社日立ハイテクノロジーズ プラズマ処理方法、及びプラズマ処理装置
US20200013588A1 (en) * 2018-07-03 2020-01-09 Applied Materials, Inc. Tilted interferometric endpoint (iep) window for sensitivity improvement
CN112823080B (zh) 2018-09-24 2023-07-14 应用材料公司 以机器视觉作为对cmp工艺控制算法的输入
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
WO2020191134A1 (en) * 2019-03-19 2020-09-24 Momentum Optics Thermally guided chemical etching of a substrate and real-time monitoring thereof
CN114270487A (zh) * 2019-07-26 2022-04-01 应用材料公司 基板处理监控
US20230118576A1 (en) * 2019-12-20 2023-04-20 Hitachi High-Tech Corporation Wafer processing method and plasma processing apparatus
US11847776B2 (en) 2020-06-29 2023-12-19 Applied Materials, Inc. System using film thickness estimation from machine learning based processing of substrate images

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3170383A (en) * 1961-09-26 1965-02-23 Temescal Metallurgical Corp High vacuum observation apparatus
US3316468A (en) * 1963-05-03 1967-04-25 Temescal Metallurgical Corp Viewing method and apparatus for high vacuum systems
US3514391A (en) 1967-05-05 1970-05-26 Nat Res Corp Sputtering apparatus with finned anode
US4037945A (en) * 1975-04-01 1977-07-26 Wollam John S Indirect reflective window
US4033287A (en) 1976-01-22 1977-07-05 Bell Telephone Laboratories, Incorporated Radial flow reactor including glow discharge limiting shield
US4198261A (en) 1977-12-05 1980-04-15 Gould Inc. Method for end point detection during plasma etching
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
JPS586124A (ja) * 1981-07-02 1983-01-13 Toshiba Mach Co Ltd 半導体気相成長装置
US4384938A (en) * 1982-05-03 1983-05-24 International Business Machines Corporation Reactive ion etching chamber
US4493745A (en) 1984-01-31 1985-01-15 International Business Machines Corporation Optical emission spectroscopy end point detection in plasma etching
JPS61160926A (ja) 1985-01-09 1986-07-21 Toshiba Corp 光励起薄膜形成装置
JPS6242514A (ja) * 1985-08-20 1987-02-24 Fujitsu Ltd 分子線結晶成長装置
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPS63253617A (ja) * 1987-04-10 1988-10-20 Hitachi Ltd プラズマ処理装置
JPH0672306B2 (ja) * 1987-04-27 1994-09-14 株式会社半導体エネルギー研究所 プラズマ処理装置およびプラズマ処理方法
JPS64272A (en) * 1987-06-22 1989-01-05 Canon Inc Microwave plasma cvd device
US4859277A (en) 1988-05-03 1989-08-22 Texas Instruments Incorporated Method for measuring plasma properties in semiconductor processing
US4953982A (en) 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
DE3910491C1 (ja) 1989-03-31 1990-06-28 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
JPH0665197B2 (ja) 1989-06-12 1994-08-22 日本高周波株式会社 反応性プラズマ生成用高周波電力導入装置
JPH03122273A (ja) * 1989-10-06 1991-05-24 Hitachi Ltd マイクロ波を用いた成膜装置
US5002631A (en) 1990-03-09 1991-03-26 At&T Bell Laboratories Plasma etching apparatus and method
US4975141A (en) 1990-03-30 1990-12-04 International Business Machines Corporation Laser ablation for plasma etching endpoint detection
JPH0429677A (ja) * 1990-05-23 1992-01-31 Rohm Co Ltd 真空容器用ガラス窓
JPH04160158A (ja) * 1990-10-22 1992-06-03 Yaskawa Electric Corp 原子吸光装置付き真空装置
US5362356A (en) 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5290383A (en) 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
US5129994A (en) 1991-04-23 1992-07-14 Applied Materials, Inc. Method and apparatus to inhibit obstruction of optical transmission through semiconductor etch process chamber viewport
US5212118A (en) 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5200023A (en) 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
WO1994002832A1 (en) 1992-07-15 1994-02-03 On-Line Technologies, Inc. Method and apparatus for monitoring layer processing
US5277746A (en) * 1992-07-27 1994-01-11 Texas Instruments Incorporated High pressure liquid phase epitaxy reactor chamber and method with direct see through capability
WO1994006263A1 (en) 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
JP3242166B2 (ja) 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US5467883A (en) 1992-12-14 1995-11-21 At&T Corp. Active neural network control of wafer attributes in a plasma etch process
JPH06188108A (ja) 1992-12-21 1994-07-08 Canon Inc 薄膜抵抗器の製造方法、成膜装置用防着板及び成膜装置
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5372673A (en) 1993-01-25 1994-12-13 Motorola, Inc. Method for processing a layer of material while using insitu monitoring and control
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US5728253A (en) * 1993-03-04 1998-03-17 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5399229A (en) 1993-05-13 1995-03-21 Texas Instruments Incorporated System and method for monitoring and evaluating semiconductor wafer fabrication
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
JPH0718423A (ja) 1993-07-06 1995-01-20 Japan Energy Corp 薄膜形成装置
KR0141659B1 (ko) * 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
JP3399040B2 (ja) 1993-09-20 2003-04-21 株式会社日立製作所 半導体製造装置及び半導体製造方法
US5392124A (en) 1993-12-17 1995-02-21 International Business Machines Corporation Method and apparatus for real-time, in-situ endpoint detection and closed loop etch process control
US5759424A (en) 1994-03-24 1998-06-02 Hitachi, Ltd. Plasma processing apparatus and processing method
JPH07280020A (ja) 1994-04-11 1995-10-27 Showa:Kk 緩衝器の車高調整装置
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5445705A (en) 1994-06-30 1995-08-29 International Business Machines Corporation Method and apparatus for contactless real-time in-situ monitoring of a chemical etching process
US5451289A (en) 1994-06-30 1995-09-19 International Business Machines Corporation Fixture for in-situ noncontact monitoring of wet chemical etching with passive wafer restraint
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5683538A (en) 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
EP0735565B1 (en) 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
US5874704A (en) 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
US5738756A (en) 1995-06-30 1998-04-14 Lam Research Corporation Method and apparatus for detecting optimal endpoints in plasma etch processes
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
EP0756318A1 (en) 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
KR0155950B1 (ko) * 1995-08-16 1998-12-01 김광호 플라즈마 확산 제어 방법 및 그 장치
KR100290813B1 (ko) 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US6366340B1 (en) 1995-08-18 2002-04-02 Hitachi, Ltd. Electron exposure apparatus
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
KR0159224B1 (ko) 1995-12-13 1999-02-01 김광호 플라즈마 에칭 설비에서의 엔드 포인트 검출 장치
US5686796A (en) 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
JPH09232099A (ja) * 1996-02-20 1997-09-05 Hitachi Ltd プラズマ処理装置
US5747380A (en) 1996-02-26 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Robust end-point detection for contact and via etching
TW327236B (en) 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
US5880850A (en) 1996-04-18 1999-03-09 American Air Liquide Inc Method and system for sensitive detection of molecular species in a vacuum by harmonic detection spectroscopy
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5691540A (en) 1996-04-30 1997-11-25 Ibm Corporation Assembly for measuring a trench depth parameter of a workpiece
US5800619A (en) 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5834375A (en) 1996-08-09 1998-11-10 Industrial Technology Research Institute Chemical-mechanical polishing planarization monitor
US5814154A (en) 1997-01-23 1998-09-29 Gasonics International Short-coupled-path extender for plasma source
US6035868A (en) 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
AU6977998A (en) 1997-04-21 1998-11-13 Tokyo Electron Arizona, Inc. Method and apparatus for ionized sputtering of materials
US5800688A (en) 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
EP0908921A1 (en) 1997-10-10 1999-04-14 European Community Process chamber for plasma enhanced chemical vapour deposition and apparatus employing said process chamber
WO1999029923A1 (en) * 1997-12-05 1999-06-17 Tegal Corporation Plasma reactor with a deposition shield
JPH11176815A (ja) 1997-12-15 1999-07-02 Ricoh Co Ltd ドライエッチングの終点判定方法およびドライエッチング装置
JP3833810B2 (ja) * 1998-03-04 2006-10-18 株式会社日立製作所 半導体の製造方法並びにプラズマ処理方法およびその装置
US6085688A (en) 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
KR100292053B1 (ko) 1998-03-30 2001-11-30 김영환 반도체제조용식각장치의엔드포인트윈도우
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
EP1125314A1 (en) * 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
US6146242A (en) 1999-06-11 2000-11-14 Strasbaugh, Inc. Optical view port for chemical mechanical planarization endpoint detection
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
US6400458B1 (en) * 1999-09-30 2002-06-04 Lam Research Corporation Interferometric method for endpointing plasma etch processes
US6306246B1 (en) 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
US6623595B1 (en) * 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007294987A (ja) * 2000-10-23 2007-11-08 Applied Materials Inc 基板を処理する装置及び方法
JP2007329485A (ja) * 2000-10-23 2007-12-20 Applied Materials Inc 基板を処理する装置及び方法
US7115424B2 (en) 2001-08-31 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
JP2005012218A (ja) * 2003-06-18 2005-01-13 Applied Materials Inc エッチング処理をモニタリングする方法およびシステム
US7595885B2 (en) 2003-10-22 2009-09-29 Kabushiki Kaisha Toshiba Process monitoring system, process monitoring method, and method for manufacturing semiconductor device
JP2007517408A (ja) * 2003-12-23 2007-06-28 アプライド マテリアルズ インコーポレイテッド 限定された領域のスペクトル解析を行うための方法及び装置
JP2008542780A (ja) * 2005-06-08 2008-11-27 アルカテル−ルーセント 気体制御装置
JP4496191B2 (ja) * 2006-09-29 2010-07-07 株式会社東芝 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
JP2007067423A (ja) * 2006-09-29 2007-03-15 Toshiba Corp 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
JP2010535425A (ja) * 2007-08-01 2010-11-18 アプライド マテリアルズ インコーポレイテッド 基板上の薄膜を識別するための方法及び装置
JP2014019887A (ja) * 2012-07-13 2014-02-03 Showa Shinku Co Ltd 光学モニタ及びそれを用いた真空蒸着装置
US10631393B2 (en) 2016-06-13 2020-04-21 Gigaphoton Inc. Chamber device and extreme ultraviolet light generating device

Also Published As

Publication number Publication date
US6390019B1 (en) 2002-05-21
US6712927B1 (en) 2004-03-30
EP1086481A1 (en) 2001-03-28
TW418423B (en) 2001-01-11
US6835275B1 (en) 2004-12-28
WO1999065056A1 (en) 1999-12-16
KR20010052752A (ko) 2001-06-25

Similar Documents

Publication Publication Date Title
US6390019B1 (en) Chamber having improved process monitoring window
US6081334A (en) Endpoint detection for semiconductor processes
US6905800B1 (en) Etching a substrate in a process zone
KR100918932B1 (ko) 반사된 방사를 이용하여 기판 프로세싱을 모니터링하는방법
US5322590A (en) Plasma-process system with improved end-point detecting scheme
WO2005111265A1 (en) Method and system of dry cleaning a processing chamber
US7754615B2 (en) Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US20060040415A1 (en) Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
JP2006287228A (ja) セルフクリーニングが可能な半導体処理装置
TW201740463A (zh) 用於蝕刻系統的晶圓輪廓
TW483081B (en) Optimized optical system design for endpoint detection
US7481230B2 (en) Plasma processing method and apparatus
JP2006522480A (ja) 多層フォトレジストのドライ現像のための方法及び装置
JP2004526293A (ja) チャンバ内の表面上へのプロセス残留分の堆積を減少させる装置及び方法
JP2004518272A (ja) 反射放射線を用いる基板処理の監視
JPH06146026A (ja) プラズマ処理装置
US20050106868A1 (en) Etching method
VOSHCHENKOV Fundamentals of plasma etching for silicon technology (Part 1)
Mizumura et al. Dry etching of organic low dielectric constant film without etch stop layer

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060801