KR100918932B1 - 반사된 방사를 이용하여 기판 프로세싱을 모니터링하는방법 - Google Patents

반사된 방사를 이용하여 기판 프로세싱을 모니터링하는방법

Info

Publication number
KR100918932B1
KR100918932B1 KR1020087015856A KR20087015856A KR100918932B1 KR 100918932 B1 KR100918932 B1 KR 100918932B1 KR 1020087015856 A KR1020087015856 A KR 1020087015856A KR 20087015856 A KR20087015856 A KR 20087015856A KR 100918932 B1 KR100918932 B1 KR 100918932B1
Authority
KR
South Korea
Prior art keywords
radiation
substrate
signal
window
reflected
Prior art date
Application number
KR1020087015856A
Other languages
English (en)
Other versions
KR20080065709A (ko
Inventor
지펑 쑤이
홍칭 산
닐스 요한손
하미드 노어바크쉬
위 관
코리오란 프룸
제 위안
창린 시에
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20080065709A publication Critical patent/KR20080065709A/ko
Application granted granted Critical
Publication of KR100918932B1 publication Critical patent/KR100918932B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/71Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱 장치는 기판을 프로세싱할 수 있는 챔버, 방사를 제공하는 방사 소스, 기판상에서 프로세싱되는 피쳐의 배향에 대하여 선택되는 하나 이상의 편광 각도로 방사를 편광시키도록 구성되는 방사 편광자, 프로세싱시에 기판으로부터 반사된 방사를 검출하여 신호를 생성하는 방사 검출기, 및 그 신호를 프로세싱하는 제어기를 구비한다.

Description

반사된 방사를 이용하여 기판 프로세싱을 모니터링하는 방법 {MONITORING SUBSTRATE PROCESSING USING REFLECTED RADIATION}
본 발명은 기판의 프로세싱을 모니터링하는 것에 관한 것이다.
기판 프로세싱 방법들에 있어서, 실리콘, 폴리실리콘, 실리콘 다이옥사이드, 알루미늄, 구리, 및 텅스텐 규화물 재료들을 함유하는 반도체, 유전체, 및 도전체 재료들을 구비하지만 이들로 제한되는 않는 재료들을 구비하는 피쳐들은, 예를 들어 CVD (chemical vapor deposition), PVD (physical vapor deposition), 산화, 질화, 이온 주입, 및 에칭 프로세스에 의해 기판상에 형성된다. CVD 프로세스에서는, 반응 가스를 이용하여 기판상에 재료를 증착한다. PVD 프로세스에서는, 타겟을 스퍼터링하여 기판상에 재료를 증착한다. 산화 및 질화 프로세스에서는, 적절한 가스 환경에 기판을 노출시킴으로써 실리콘 다이옥사이드 또는 실리콘 니트라이드와 같은 옥사이드 또는 니트라이드 재료를 기판상에 형성한다. 이온 주입 프로세스에서는, 기판에 이온들을 주입한다. 종래의 에칭 프로세스들에 있어서, 레지스트 또는 하드-마스크를 구비하는 내에칭성 피쳐들을 기판상에 형성하고, 내에칭성 피쳐들 사이의 기판의 노출 부분들 (기판 개방 영역) 을 에칭하여 게이트, 비어, 콘택 홀들 또는 배선들의 패턴을 형성한다.
기판 프로세싱 챔버에서 수행되는 프로세스 또는 기판의 프로세싱을 모니터링하는 종래의 방법들은 종종 문제점들을 가진다. 프로세스 모니터링 방법들은, 예를 들어 프로세싱되는 피쳐 또는 재료에서 소정의 변화가 발생한 이후, 프로세싱 단계 이후, 또는 프로세스 종료점에서 프로세스를 정지 또는 변경시키는데 사용될 수도 있다. 예를 들어, 실리콘 웨이퍼상의 실리콘 다이옥사이드와 같은 유전체의 트렌치들을 에칭하는 경우에는, 소정의 깊이에 도달한 이후에 에칭을 중지하는 것이 바람직하다. 하나의 종래 방법에 있어서, 기판에서 특정 깊이를 에칭하는데 요구되는 시간은, 소정의 에칭 속도 및 에칭되는 기판층 또는 재료의 초기 두께로부터 계산된다. 또 다른 방법에 있어서, 기판으로부터 반사되는 방사의 보강 및 소멸 간섭으로부터 발생하는 피크들을 계산하여 기판 에칭 깊이를 결정한다. 그러나, 이러한 기술들은, 기판상의 재료의 초기 두께가 하나의 기판으로부터 또 다른 기판으로 변화하는 경우에 또는 다른 프로세스 파라미터들이 변화하는 경우에 종종 부정확하게 된다. 에칭되는 기판이 내에칭성 피쳐들 사이에 작은 개방 영역을 가지는 경우에, 이러한 영역으로부터의 프로세스 신호는 기판의 다른 부분들로부터의 프로세스 신호에 비하여 작으므로, 에칭 프로세스를 정확하게 모니터하기가 특히 어렵다. 또한, 증착된 재료의 작은 영역 때문에, 예를 들어 유전체 또는 금속 재료가 비어 또는 트렌치에 증착되는 동안에, 기판상의 비어 또는 트렌치내에 증착되는 재료의 깊이를 결정하기가 어렵다.
따라서, 기판의 프로세싱 동안에 발생할 수 있는 작은 변화를 검출하는 것이 바람직하다. 또한, 예를 들어 에칭의 깊이, 기판에 증착되는 재료의 두께의 변화를 양적으로 평가하는 것이 바람직하다. 또한, 작은 개방 영역들을 가지는 기판의 에칭동안에 또는 기판상의 작은 영역들로 재료를 증착하는 동안에 기판 프로세싱을 정확하게 모니터하는 것이 더 바람직하다.
도 1a 는 복수의 편광 각도를 가지며 기판 반사된 방사를 수신하는 장치 및 기판에서 에칭되는 제 1 피쳐에 대한 개략도이다.
도 1b 는 도 1a 의 기판에서 에칭되는 또 다른 피쳐를 나타내는 개략도로서, 제 2 피쳐의 주요 배향은 제 1 피쳐의 주요 배향과는 다른 개략도이다.
도 2a 및 도 2b 는 각각 방사가 옥사이드 층에서 에칭되는 피쳐들로부터 반사되는 경우, 및 방사가 피쳐들의 부분적인 에칭 이전 및 이후에 내에칭성 재료의 표면으로부터 반사되는 경우에 발생하는 보강 및 소멸 간섭 효과를 나타내는 개략도이다.
도 3 은 기판 반사된 방사의 간섭 신호의 서로 다른 주파수들의 상대 진폭에 대한 그래프이다.
도 4a 및 도 4b 는 각각 5% 및 20% 의 개방 영역을 가지는 실리콘 다이옥사이드 층에서 0.4 미크론 트렌치들을 에칭동안에 검출되는 반사 방사의 진폭의 부분적인 트레이스이다.
도 5a 및 도 5b 는 30 % 의 개방 영역을 가지는 실리콘 다이옥사이드 층에서, 각각 0.4 및 1 미크론 크기의 트렌치들의 에칭동안에 검출되는 반사 방사의 진폭의 부분적인 트레이스들의 그래프이다.
도 6 은 반사된 방사의 합산 신호의 세기의 품질을 노출된 실리콘 다이옥사이드의 피쳐 크기 및 개방 영역의 함수로서 나타내는 그래프이다.
도 7 은 % 주파수 응답 대(versus) 대역통과 필터의 다중 경로들의 정규화 주파수를 나타내는 그래프이다.
도 8a 및 도 8b 는 본 발명에 따른 챔버 및 프로세스 모니터링 시스템의 개략 단면도이다.
도 9 는 챔버를 동작시키고 그 챔버에서 수행되는 프로세스를 모니터링하는데 적합한 컴퓨터 프로그램의 블록도에 대한 일례이다.
도 10a 는 마스크가 있는 리세스화된 윈도우, 및 윈도우상에 프로세스 잔여물의 증착을 감소시키기 위해 배치되는 자계 발생기를 가지는 챔버의 개략 단면도이다.
도 10b 는 도 10a 의 윈도우 마스크의 개략 평면도이다.
도 11 은 마스크가 있는 리세스화된 윈도우 및 윈도우상에 프로세스 잔여물의 증착을 감소시키기 위해 배치되는 전계 발생기를 가지는 챔버의 또 다른 일례의 개략 단면도이다.
도 12 는 베어 윈도우, 상위 마스크를 가진 리세스화된 윈도우, 및 상위 마스크 및 인접 자석들을 가진 리세스화된 윈도우의 프로세스 시간에 대한 방사의 감쇠를 나타내는 그래프이다.
도 13 은 방사를 편광시키고, 검출된 편광 방사 신호들을 공급하고, 그리고 그 공급된 신호를 대역통과 필터에서 2 사이클 동안 프로세싱한 이후에 반사된 방사 신호 트레이스를 나타낸다.
도 14a 는 기판의 에칭동안에 에너자이즈화된 가스에 의해 생성되는 방사 방출 파장의 세기의 신호 트레이스를 나타낸다.
도 14b 는 방사의 편광 이후에 반사된 방사 신호 트레이스를 나타낸다.
기판 프로세싱 장치는 기판을 프로세싱할 수 있는 챔버, 방사를 제공하는 방사 소스, 기판상에 프로세싱되는 피쳐의 배향에 대하여 선택되는 하나 이상의 편광 각도들로 상기 방사를 편광시키도록 구성되는 방사 편광자, 프로세싱 동안에 기판으로부터 반사된 방사를 검출하여 제 1 신호를 생성하는 방사 검출기, 및 상기 제 1 신호를 프로세싱하는 제어기를 구비한다.
프로세스 존에서 기판을 프로세싱하는 방법은, 프로세스 존에 기판을 제공하는 단계, 에너자이즈화된 가스를 이용하여 기판을 프로세스하기 위한 프로세스 상태를 세팅하는 단계, 기판상에 프로세싱되는 피쳐의 배향에 대하여 선택되는 하나 이상의 편광 각도들로 편광되는 방사를 제공하는 단계, 기판으로부터 반사된 방사를 검출하여 그 검출된 방사에 응답하여 신호를 생성하는 단계, 그 신호를 프로세싱하는 단계를 포함한다.
기판 프로세싱 장치는 기판을 프로세싱할 수 있는 챔버, 방사를 제공하는 방사 소스, 복수의 편광 각도들로 방사를 편광시키도록 구성되는 방사 편광자, 프로세싱 동안에 기판으로부터 반사되는 방사를 검출하여 신호를 생성하는 방사 검출기, 및 그 신호를 프로세싱하는 제어기를 구비한다.
프로세스 존에서 기판을 프로세싱하는 방법은, 프로세스 존에 기판을 제공하는 단계, 에너자이즈화된 가스를 이용하여 기판상의 피쳐를 프로세스하기 위한 프로세스 상태를 세팅하는 단계, 복수의 편광 각도로 편광되는 방사를 제공하는 단계, 기판으로부터 반사된 방사를 검출하는 단계, 및 그 검출된 방사에 응답하여 신호를 생성하는 단계, 및 그 신호를 프로세싱하는 단계를 포함한다.
기판 프로세싱 장치는 기판을 프로세싱할 수 있는 챔버, 방사를 제공하는 방사 소스, 프로세싱동안에 기판으로부터 반사되는 방사를 검출하여 신호를 생성하는 방사 검출기, 및 그 신호를 필터링하는 대역통과 필터를 구비한다.
기판 프로세싱 방법은 프로세스 존에 기판을 배치하는 단계, 기판을 프로세싱하기 위하여 에너자이즈화된 가스의 프로세스 상태를 세팅하는 단계, 프로세스 존에 방사의 소스를 제공하는 단계, 기판의 프로세싱 동안에 기판으로부터 반사되는 방사를 검출하여 신호를 생성하는 단계, 및 그 신호를 필터링하는 단계를 포함한다.
기판 프로세싱 장치는 기판 지지부, 가스 주입구, 가스 에너자이저, 가스 배출구, 윈도우가 있는 리세스를 가지는 벽, 윈도우 위의 마스크를 구비하는 프로세스 챔버, 및 벽의 리세스의 윈도우를 통하여 프로세스 챔버에서 수행될 수 있는 프로세스를 모니터링할 수 있는 프로세스 모니터링 시스템을 구비한다.
챔버내의 기판을 프로세싱하는 방법은, 챔버에 기판을 배치하는 단계, 챔버내에 기판을 프로세싱하기 위한 에너자이즈화된 가스를 제공하는 단계, 챔버의 벽의 리세스에 제공된 윈도우를 마스킹하는 단계, 및 벽의 리세스의 윈도우를 통하여 챔버내에서 수행될 수 있는 프로세스를 모니터링하는 단계를 포함한다.
프로세스 존에서 기판을 에칭하고 에칭 프로세스를 모니터링하는 방법은, (a) 상기 프로세스 존에 기판을 제공하여 기판을 에칭하고, 프로세스 존에 에너자이즈화된 프로세스 가스를 제공하고, 그리고 프로세스 가스를 배출하여, 그 에너자이즈화된 가스로 방사 방출을 생성하는 단계, (b) 방사 방출의 하나 이상의 파장들의 세기들을 검출하고, 그 검출된 세기들에 대하여 제 1 신호를 생성하고, 그 제 1 신호를 평가함으로써 에칭 프로세스의 제 1 단계의 완료를 결정하는 단계, (c) 에칭되는 기판으로부터 반사되는 편광된 방사의 하나 이상의 파장들의 세기들을 검출하고, 그 검출된 세기들에 대하여 제 2 신호를 생성하고, 그 제 2 신호를 평가함으로써 에칭 프로세스의 제 2 단계의 완료를 결정하는 단계를 포함한다.
기판 에칭 장치는, 기판을 수용하는 기판 지지부를 구비하는 챔버, 챔버로 프로세스 가스를 도입하는 가스 주입구, 기판을 에칭하고 방사 방출을 발생시킬 수 있는 에너자이즈화된 가스를 형성하도록 프로세스 가스를 에너자이즈화시키는 가스 에너자이저, 프로세스 가스를 배출하는 배출구, 방사 방출의 하나 이상의 파장들의 세기들을 검출하여 그 검출된 세기들에 대하여 제 1 신호를 생성하고, 에칭되는 기판으로부터 반사되는 편광 방사의 하나 이상의 파장들의 세기들을 검출하여 그 검출된 세기들에 대하여 제 2 신호를 생성하도록 구성되는 하나 이상의 방사 검출기, 및 제 1 신호를 평가하여 에칭 프로세스의 제 1 단계의 완료를 결정하고, 제 2 신호를 평가하여 에칭 프로세스의 제 2 단계의 완료를 결정하는 제어기를 구비한다.
프로세스 존에서 기판을 에칭하고 에칭 프로세스를 모니터링하는 방법은, (a) 제 1 층 및 제 1 층 아래의 제 2 층을 구비하는 기판을 프로세스 존에 배치하고, 프로세스 존에 에너자이즈화된 프로세스 가스를 제공하고, 그 프로세스 가스를 배출하고, 그 에너자이즈화된 가스에 의해 방사 방출을 생성함으로써 기판을 에칭하는 단계, (b) 방사 방출의 하나 이상의 파장들의 세기들을 검출하고, 그 검출된 세기들에 대하여 제 1 신호를 생성하고, 그 제 1 신호를 평가하여 제 2 층의 에칭으로부터 발생하는 방사 방출의 하나 이상의 파장들의 세기들의 변화를 결정함으로써 제 1 층의 에칭완료를 결정하는 단계, 및 (c) 에칭되는 기판으로부터 반사되는 편광된 방사의 하나 이상의 파장들의 세기들을 검출하고, 그 검출된 세기들에 대하여 제 2 신호를 생성하고, 그 제 2 신호를 평가함으로써 제 2 층의 에칭 깊이를 모니터링하는 단계를 포함하며, 여기서, 편광된 방사는 기판에서 에칭되는 피쳐의 배향에 실질적으로 평행하는 제 1 편광 각도 및 기판에 에칭되는 피쳐의 배향에 실질적으로 수직하는 제 2 편광 각도 중 하나 이상으로 편광된다.
제 1 층 및 제 1 층 아래의 제 2 층을 구비하는 기판을 에칭하도록 구성되는 기판 에칭 장치는, 기판을 수용하는 기판 지지부를 구비하는 챔버, 그 챔버로 프로세스 가스를 도입하는 가스 주입구, 기판을 에칭하여 방사 방출을 생성할 수 있는 에너자이즈화된 가스를 형성하도록 프로세스 가스를 에너자이즈화하는 가스 에너자이저, 그 프로세스 가스를 배출하는 배출구, 기판상에 에칭되는 피쳐의 배향에 실질적으로 평행한 제 1 편광 각도 및 기판상에 에칭되는 피쳐의 배향에 실질적으로 수직하는 제 2 편광 각도 중 하나 이상으로 방사를 편광시키도록 구성되는 방사 편광자, 방사 방출의 하나 이상의 파장들의 세기들을 검출하여 그 검출된 세기들에 대하여 제 1 신호를 생성하며 에칭되는 기판의 표면으로부터 반사되는 편광 방사의 하나 이상의 파장의 세기들을 검출하여 그 검출된 세기들에 대해 제 2 신호를 생성하도록 구성되는 하나 이상의 방사 검출기, 및 제 1 신호를 평가하여 제 2 층의 에칭동안에 발생하는 방사 방출의 하나 이상의 파장들의 세기들의 변화를 결정하고 제 2 신호를 평가하여 제 2 층의 에칭 깊이를 모니터링하도록 구성되는 제어기를 구비한다.
본 발명의 특징, 양태, 및 이점을 첨부된 도면을 참조하여 더 상세히 설명한다. 그러나, 각각의 특징들을 단지 특정 도면의 콘텍스트에만 사용하는 것이 아니라 일반적으로 본 발명에 사용할 수 있으며, 본 발명은 이러한 특징들의 어떤 결합도 포함함을 알 수 있다.
본 발명은 기판 (20) 의 프로세싱을 모니터링, 예를 들어 기판 (20) 상에 프로세싱되는 피쳐 (25) 의 프로세싱 단계의 완료를 검출하는데 유용하다. 예를 들어, 도 1a 및 도 1b 에 나타낸 바와 같이, 기판 (20) 은 즉 소망의 패턴화된 구성에서, 내에칭성 재료 (21)(레지스트) 예를 들어, 포토레지스트 또는 하드 마스크층을 구비할 수도 있다. 내에칭성 재료 (21) 는 실리콘, 반도체 또는 유전체의 화합물의 웨이퍼 (26) 상에 형성되며, 층들로서 형상화될 수 있는 다른 재료들 (22, 24) 을 오버레이한다. 상기 층 (22, 24) 들은 단일 재료 또는 하나 이상의 재료로 이루어지는 기판 (20) 의 층들이다. 그 재료들 (22, 24) 의 프로세싱 동안에, 예를 들어 그 재료들을 에칭하는 경우에, 제 1 재료 (22) 와 제 2 재료 (24) 사이의 인터페이스 (23) 에 접근 또는 도달시에, 또는 제 1 또는 제 2 재료 (22, 24) 중 하나 또는 양자의 프로세싱 완료 이후에, 프로세싱을 정지하는 것이 바람직하다. 예를 들어, 기판 (20) 의 비어 또는 트렌치와 같은 피쳐 (25) 를 에칭하는 경우에, 제 1 재료 (22) 의 소정의 깊이에 도달시에 또는 단지 하부의 제 2 재료 (24) 의 작은 부분까지 에칭한 이후에, 에칭 프로세스를 정지 또는 서서히 감소시키는 것이 바람직하다. 또한, 제 1 에칭 단계에서 제 1 층 (22) 까지 에칭하고, 제 2 에칭 단계에서 제 2 층 (24) 의 소정의 깊이에 도달시에 에칭 프로세스를 정지 또는 서서히 감소시키는 것이 바람직하다. 본 발명의 예시적인 애플리케이션을 나타내도록 에칭 프로세스를 구성하였지만, 본 발명을 기판 (20) 상에 재료를 증착하는 동안에 형성되는 재료들에, 또는 다른 프로세싱 방법들에 적용할 수도 있음을 알 수 있다.
또한, 기판 (20) 의 특정 층 (22) 에 형성되는 피쳐 (25) 들은, 제 1 방향에 따른, 주요 배향 (orientation) 과 같은 배향 (33) 을 가질 수도 있다. 예를 들어, 피쳐 (25) 들을 주요 배향으로 향하게 하여 전기 신호들을 더욱 급속하게 통과시킬 수 있다. 예를 들어 도 1b 에 나타낸 바와 같이, 에칭되는 층 (22) 위 또는 아래에 형성되는 다른 층 (35) 에서, 피쳐 (36) 들을 제 1 층 (22) 의 피쳐 (25) 들의 제 1 주요 배향 (33) 과 다른, 그 밖의 또는 제 2 주요 배향 (29) 으로 향하게 할 수 있다. 예를 들어, 제 2 층 (35) 의 피쳐 (36) 들을 배향 (33) 에 수직하는 방향 (39) 으로 대부분 향하게, 즉 제 1 층 (22) 의 피쳐 (25) 들이 주로 0°방향에 따라 향하고 있는 경우에, 상위 제 2 층 (35) 의 피쳐 (36) 들을 주로 90°배향에 따라 향하게 할 수도 있다. 예를 들어, 서로 수직으로 향하는 인접한 상부 및 하부 레벨들 상의 전기 배선들은, 동작 동안에 핫 스폿 또는 과도한 LC (inductance-capacitance) 누화와 같은 문제, 특히 통과하는 신호의 주파수 또는 속도가 증가하는 등의 문제를 감소시킨다.
본 발명의 일 양태에 있어서, 기판 (20) 의 프로세싱은 기판으로부터 반사된 방사의 진폭을 모니터링함으로써 모니터링되며, 내에칭성 재료 (21) 로부터 반사되는 방사의 세기 변화에 대하여 피쳐 (25) 들로부터 반사된 방사의 진폭 변화의 신호 세기의 증가는, 하나 이상의 편광 각도들을 가지는 기판 반사된 방사 (31) 를 검출함으로써 모니터링된다. 편광 각도는 방사의 진행 방향에 수직한 평면에서의 방사 (31) 의 진동 모드이다. 예를 들어, 도 1a 는 또한 기판 (20) 상의 내에칭성 재료 (21) 및 에칭된 피쳐 (25) 로부터 반사되는 복수의 편광 각도들을 가지는 방사 (31) 를 나타낸다. 방사 (31) 는 기판 (20) 상에서 프로세싱되는 피쳐 (25) 의 배향 (33) 예를 들어, 주요 배향과 관련되는 하나 이상의 편광 각도들로 편광된다. 예를 들어, 방사 (31) 는 피쳐 (25) 의 주요 배향 (33) 에 실질적으로 평행 또는 수직하는 편광 각도들에 따라 편광될 수도 있다. 편광 각도들은, 예를 들어 주요 배향 (33) 에 실질적으로 평행한 제 1 편광 각도 및 주요 배향 (33) 에 실질적으로 수직한 제 2 편광 각도 를 포함할 수도 있다.
도 1a 를 참조하면, 피쳐 (25) 의 주요 배향 (33) 에 실질적으로 평행하거나 또는 피쳐 (25) 의 길이 방향에 따르는 제 1 편광 각도를 가지는 피쳐 반사된 방사 성분 (또는 p-성분) 의 세기는, 주요 배향에 대하여 다른 편광 각도들에 있는 피쳐 반사된 방사 성분들 보다 더 큰 크기를 가진다. 예를 들어, 피쳐 (25) 의 배향 (33) 의 폭 (w) 에 실질적으로 평행한 편광 각도를 가지는 방사 성분 (또는 s-성분) 은 다른 편광 각도들로 반사된 방사보다 작은 크기를 가진다. 측정된 성분들은 아래의 방정식으로부터 피쳐 반사 성분을 증가시키는데 사용될 수도 있다.
이러한 방정식의 차 또는 합에 의해 아래와 같이 피쳐 반사 성분과 내에칭성 재료 반사 성분으로 분리할 수 있다.
이는 성분이 성분과 동일하기 때문에 발생하며, 그 결과 이것은 방정식으로부터 상쇄되어 피쳐 반사 성분들만이 남겨진다. 따라서, 복수의 편광 각도들로 기판 반사된 방사를 모니터링함으로써 피쳐 반사 성분들의 세기를 더 정확하게 결정할 수 있다.
이러한 현상을 수직 보강/소멸 위상 간섭을 입사 방사의 진폭에 대한 반사 방사의 진폭의 비율을 이용하여 규정할 수도 있음을 나타내는 도 2a 및 도 2b 를 참조하여 설명할 수 있고, 방정식에서, 방사는 예를 들어 광이며, 여기서, r1 = (1-n1)/(1+n1);r2 = (n1-n2)/(n1+n2); 및 이고; 여기서 n1 및 n2 는 각각 옥사이드 층 및 기판의 피쳐의 반사 지수이고, d1 은 옥사이드 층의 두께이며, 은 파장이다. 수평 간섭 효과는 에 의해 제공되며,
여기서 fpr 은 포토레지스트 커버된 영역의 퍼센티지,
ffeature 는 피쳐 개방 영역의 퍼센티지,
이고,
여기서, r1p = (np-n1)/(np+n1)
r2 = (n1-n2)/(n1+n2)
이고,
여기서, rp = (1-np)/(1+np)
이고,
여기서,
이다.
피쳐로부터 반사된 방사의 세기는 으로 주어진다. 수직 및 수평 간섭의 결합에 의한 복소 주파수 성분들은, wpr (포토레지스트 성분), wox (실리콘 다이옥사이드 성분), wpo (포토레지스와 실리콘 다이옥사이드 사이의 차), wox-wpr, wox+wpr, wpo-wpr, wpo+wpr, wpo-wpr, wpo+wpr+wox, wox-wpr-wpo, 및 wox+wpr-wpo 이다. 그러나, 진폭의 함수로서의 주파수 성분들의 변화에 대한 문제는, 간섭 프린지 (fringe) 의 형상이 수직 및 수평 간섭 효과의 결합에 의해 왜곡되기 때문에 발생한다. 예를 들어, 도 3 은 서로 다른 주파수 성분 wpr, wox, 및 wpo 의 상대 진폭 및 주파수를 나타낸다. 통상, 기판 (20) 내의 피쳐 (25) 의 에칭 깊이는, 피쳐 에칭 깊이 = 파장/(2*IOR) 인 방정식에 의해 입사 방사의 파장과 관련되며, 여기서 IOR 은 입사 방사의 반사 지수이다. 기판 (20) 상에서 프로세싱되는 피쳐 (25) 의 에칭 깊이가 증가할 때, 에칭된 피쳐 (25) 로부터 반사된 방사는 소멸/보강 간섭을 받아 에칭 레이트와 입사 방사의 파장에 관련된 제 1 주파수를 가진 검출가능한 진동 신호를 제공한다. 한편, 서로 다른 에칭 레이트로 에칭되는 기판 (20) 의 나머지 표면으로부터 반사된 방사도 또한 소멸/보강 간섭을 받아 서로 다른 제 2 주파수를 가진 검출가능한 진동 신호를 제공한다.
일 양태에 있어서, 제 1 에칭 단계시에 제 1 층 (22) 의 에칭 완료를 결정하여, 제 2 층 (24) 의 에칭 깊이를 제 2 에칭 단계시에 편광된 반사 방사를 모니터링함으로써 더욱 정확하게 결정할 수 있다. 제 1 층 (22) 의 에칭 완료 결정 방법은, 기판 (20) 을 에칭하도록 제공되는 에너자이즈화된 가스로부터 방사 방출을 검출하는 것이다. 에너자이즈화된 가스로부터 방사된 방사 방출의 파장들의 세기는, 기판 (20) 과 프로세스 가스와의 상호 작용에 의해 발생될 수 있는 프로세스 가스 종류 및 다른 가스 종류와 같은 에너자이즈화된 가스 종류의 존재와 관련된다. 방사 방출의 특정 파장들의 세기가 에너자이즈화된 가스에 존재하는 종류와 관련되므로, 이러한 세기들의 어떤 변화가, 예를 들어 프로세스 가스가 서로 다른 조성을 가진 기판층과 상호작용하는 경우에 발생할 수도 있다. 따라서, 에너자이즈화된 특정 가스 종류의 유무에 대응하는, 소정의 선택 파장들의 세기들을 모니터링하여, 예를들어 에너자이즈화된 가스가 제 1 층 (22) 을 에칭한 경우에, 제 2 층 (24) 이 적어도 부분적으로 노출된다고 충분히 결정할 수도 있다.
일 양태에 있어서, 제 1 에칭 단계의 완료는, 선택된 방사 방출 파장들의 세기의 증가를 검출함으로써 결정될 수도 있다. 예를 들어, 제 2 층 (24) 과 프로세스 가스와의 상호 작용으로부터 발생되는 에너자이즈화된 가스 종류의 존재와 관련되는 선택 파장들의 세기의 증가는, 제 1 층 (22) 을 충분히 에칭하여 제 2 층 (24) 을 노출하였음을 나타낼 수도 있다. 예를 들어, N2 를 함유하는 에너자이즈화된 가스로, 제 1 층 (22) 을 통하여 탄소와 수소를 함유하는 제 2 층 (24) 을 에칭하는 경우에, 제 2 층 (24) 과 프로세스 가스가 상호작용함으로써 형성되는 C-N 함유종과 관련되는 방사의 파장을, 3865Å의 파장으로 모니터링할 수 있다. 이러한 파장을 가지는 방사 세기의 증가는, C-N 함유종이 형성될 수 있고, 제 1 층이 제 2 층 (24) 을 노출하도록 충분히 에칭되었음을 나타낸다. 따라서, 제 1 에칭 단계의 완료는 방사 방출의 선택 파장들의 세기들을 모니터링하고 이러한 세기들을 증가 (또는 감소) 시킴으로서 결정될 수도 있다.
일단 제 1 에칭 단계가 완료되면, 제 2 층 (24) 을 에칭하는 제 2 에칭 단계를 실행한다. 제 1 에칭 단계에 사용되는 동일한 프로세싱 파라미터들을 이용하거나, 또는 제 1 에칭 단계에 사용되는 프로세스 파라미터들 중 하나 이상을 변경함으로써 제 2 층 (24) 을 에칭할 수도 있다. 예를 들어, 제 1 층을 에칭하는데 사용되는 동일한 프로세스 가스를 제 2 층을 에칭하는데 사용할 수 있거나 또는 서로 다른 프로세스 가스를 제공할 수도 있다. 제 2 에칭 단계의 완료는, 기판 (20) 상에 에칭되는 피쳐 (25) 의 깊이를 결정하기 위하여 반사된 편광 방사를 모니터링함으로써 결정될 수도 있다. 기판 (20) 상에 에칭되는 피쳐 (25) 의 에칭 깊이는 반사된 편광 방사의 소멸/보강 간섭으로부터 발생되는 신호의 최대량 또는 최소량을 산출함으로써 결정될 수도 있다. 이러한 신호를 평가하고, 기판 (20) 상에 에칭되는 피쳐 (25) 의 소정의 깊이를 획득하였을 때를 결정함으로써, 에칭 프로세스의 종료점 및 제 2 층 (24)을 에칭하는 제 2 에칭 단계의 완료를 결정할 수도 있다.
그러나, 반사된 편광 방사를 검출함으로써 기판 (20) 상에 에칭되는 피쳐 (25) 의 깊이를 결정하는 것은, 제 1 굴절율을 가지는 제 1 층 (22) 과 제 2 굴절율을 가지는 제 2 층 (24) 을 구비하는 기판 (20) 을 에칭하는 경우에 문제가 발생할 수 있다. 이는 제 1 층 (22) 의 에칭시에 획득되는 진폭 변조 신호가 제 1 및 제 2 층 (22, 24) 으로부터 반사되는 편광 방사의 보강 및 소멸 간섭의 복합 함수이기 때문이다. 따라서, 간섭 신호의 최소량 및 최대량은, 제 1 층 (22) 이 에칭되어 제 2 층 (24) 이 노출되는 때를 알지 않고 피쳐 (25) 의 소망 깊이가 획득되는 때를 결정하기 위해 신뢰성 있게 산출될 수 없다. 그 이유는, 에너자이즈화된 가스에 의해 생성된 방사 방출을 제 1 에칭 단계 동안에 모니터링하여, 제 1 에칭 단계의 완료를 결정하기 때문이다. 일단 제 1 에칭 단계의 완료가 결정되면, 반사 편광 방사를 검출하여 제 2 에칭 단계를 모니터링함으로써 제 2 에칭 단계의 완료를 더 많이 결정할 수도 있다. 제 1 에칭 단계의 완료를 결정함으로써, 기판상에 에칭되는 피쳐 (25) 의 깊이 및 에칭 프로세스의 종료점을 제 2 에칭 단계시에 더욱 정확하게 결정할 수도 있다.
내에칭성 피쳐 (21) 의 보다 큰 영역으로부터의 레지스트 성분의 변화는 전체 신호의 변화를 결정하므로, 기판 (20) 이 내에칭성 피쳐 (21) 들 사이에 작은 개방 영역을 가지는 경우에, 피쳐 성분의 변화 검출은 특히 어렵게 된다. 도 4a 는 적어도 약 20% 의 개방 영역을 가지며 기판 (20) 상의 실리콘 다이옥사이드 유전체 층에 약 0.4 미크론 크기의 개구들을 가지는 트렌치들을 구비하는 피쳐 (25) 들의 에칭시에 획득되는 반사 방사 신호의 트레이스를 나타낸다. 이러한 합산 신호의 트레이스는, 에칭되는 피쳐 (25) 와 부분적으로 제거되는 레지스트 재료 (21) 가 서로 간섭하는 성분들 제공하고, 주파수 및 형상에서 예측할 수 없이 변화하는 검출된 진동 변조 진폭을 제공하는 제 1 단계 (Ⅰ) 를 포함한다. 그러나, 실질적으로 내에칭성 재료 (21) 만을 에칭하는 (피쳐 (25) 들이 완전히 에칭되기 때문에) 제 2 단계 (Ⅱ) 는, 대개 레지스트 성분으로 구성되는 더 많이 반복가능한 주기적인 파면 (wavefront) 을 가지는 진폭 트레이스를 제공한다. 2 개의 트레이스와 약 140 초의 누적적인 프로세싱 시간 사이에 놓이는, 에칭 프로세스의 종료점을 "에칭 종료점"으로 표시한다. 유사한 트레이스 연구를 개방 영역의 보다 작은 단편 예를 들어, 도 4b 에 나타낸 바와 같이, 5%의 개방 영역을 가지는 경우에, 검출된 반사 방사는 기판 (20) 의 영역의 95% 이상을 점유하는 내에칭성 재료 (21) 의 표면으로부터 반사되는 레지스트 성분의 대부분의 신호 세기인, 주기적이며 반복가능한 파형을 가지는 신호 트레이스를 제공한다. 에칭되었던 기판 (20) 상의 피쳐 (25) 들의 비교적 작은 개방 영역으로부터 반사된 방사는 보다 큰 레지스트 성분 신호에서 상실된 더욱 더 작은 상대 신호 세기를 가진다.
또한, 합산 신호의 세기도 기판 (20) 에서 에칭되는 피쳐 (25) 들의 크기에 의존한다고 결정한다. 도 5a 및 도 5b 는, 30% 의 동일한 개방 영역을 가지는 실리콘 기판 (20) 위의 폴리실리콘상의 실리콘 다이옥사이드에서 0.4 미크론 및 1 미크론 피쳐의 에칭동안에 획득되는 진폭 트레이스를 나타낸다. 또한, 상위의 내에칭성 재료 (21) 및 실리콘 다이옥사이드의 에칭에 대응하는 제 1 단계들은 복잡하고 가변적인 형상을 가지는 합산 진폭 트레이스를 제공하며; 반면에, 실질적으로 나머지 레지스트만을 에칭하는 제 2 단계들은 반복적이고 주기적인 파형을 가지는 합산 진폭 트레이스를 제공한다.
도 6 은 기판 (20) 에서 프로세싱되거나 또는 에칭되는 피쳐 (25) 들의 크기에 관한 합산 신호의 품질과 기판 (20) 상의 실리콘 다이옥사이드의 노출 영역의 함수 사이의 관계를 나타낸다. 2 가지 형상이 존재하는데, 하나는 합산 신호의 세기를 수용할 수 있는 형상이고, 다른 하나는 합산 신호 세기를 수용할 수 없는 형상이다. 에칭 피쳐 윈도우 (41) 는, 많은 현재의 트렌치 피쳐들이 프로세싱되는 기판 개방 영역 및 에칭 피쳐 크기의 통상의 영역을 나타낸다. 따라서, 종래의 프로세스 모니터링 방법들은, 피쳐 크기 또는 기판상의 개방 영역이 작게 되는 경우에, 반사된 방사의 작은 윈도우 영역만을 분석할 수 있다.
본 발명의 또 다른 태양에 있어서, 반사된 방사의 검출 세기와 관련된 방사 검출기 (54) 에 의해 생성되는 신호를 선택적으로 필터링하는데 필터 (53) 를 사용할 수도 있다. 일 양태에 있어서, 필터 (53) 는 반사된 방사의 다른 주파수 성분들의 세기에 대하여 선택된 통과대역 주파수들의 상대 세기를 증가시키는 대역통과 필터이다. 예를 들어, 대역통과 필터 (53) 는, 기판 (20) 상에서 프로세싱되는 피쳐 (25) 로부터 반사되지 않은 방사로부터 발생하는 신호의 세기를 감소시키면서, 상기 기판 (20) 상에 프로세싱되는 피쳐 (25) 로부터 반사되는 방사의 주파수와 관련되는 주파수들의 대역을 통과하도록 방사 검출기 (54) 로부터의 입력 신호를 필터링하도록 구성될 수도 있다. 사용되는 대역통과 필터 (53) 의 타입은 목적하는 프로세스의 사용 제한 및 통과대역 주파수 제한에 따른다. 일 양태에 있어서, 대역통과 필터 (53) 는, 신호를 필터링하고 통과대역의 주파수들만을 선택적으로 통과시킴으로써 동작하는 전기 신호 프로세서이다. 전기 신호 프로세서는 방사 검출기로부터 수신되는 방사 신호를 계수화하고 그 계수화된 디지털 신호를 필터링하는 디지털 신호 프로세서일 수도 있다.
일 양태에 있어서, 선택된 주파수는 대략 통과대역의 중심 주파수이다. 예를 들어, 대역통과 필터가 A 로부터 B Hz 까지의 범위의 통과대역을 가지는 경우에, 중심 주파수는 (A+B)/2 Hz 이다. 통과대역 주파수 범위가, 에칭된 피쳐 (25) 들로부터 반사된 방사 성분으로부터 획득되는 소멸/보강 간섭 신호들의 선택 주파수에 대하여 조정되어, 기판 (20) 의 나머지 표면 예를 들어, 기판 (20) 상에 패턴화된 내에칭성 재료로부터 반사되는 방사 성분으로부터 발생하는 소멸/보강 신호를 감쇠 또는 배제시킨다. 그러나, 선택된 주파수는 통과대역의 중심 주파수이어서는 안 된다. 즉, 통과대역내의 다른 주파수를 선택함으로써 비교가능한 결과들을 달성할 수 있다. 일례에 있어서, 통과대역 주파수 범위는 기판 (20) 으로부터 반사되는 방사 성분의 선택 주파수의 약 ±10% 내에 있는 주파수들을 포함한다. 예를 들어, 기판 (20) 상의 유전체 재료에서 에칭되는 트렌치 형상 피쳐 (25) 들에 대하여, 적정 주파수는, 약 5000 옹스트롬/분의 옥사이드 에칭 속도에 대하여, 약 0.09 Hz 로부터 약 0.11 Hz 까지이다.
일 양태에 있어서, 예를 들어 다중 파장 및 위상을 가지는 플라즈마 방출일 수 있는 비-가간섭성 방사 소스 (58) 의 가간섭성 길이를 제공하도록 통과대역 주파수 범위를 선택할 수도 있다. 가간섭성 길이는 방사 소스 (58) 로부터 방사의 간섭 효과들을 관찰할 수 있는 길이이다. 비-가간섭성 방사 소스에 대하여, 가간섭성 길이는 방정식 와 관련되며, 여기서, n 은 에칭되는 층 (22) 의 굴절율이며, 는 플라즈마 방출 스펙트럼의 중심 파장이며, 는 파장 범위 즉, 대역통과 필터에 의해 통과되는 주파수 범위이다. 가간섭성 길이는 가 에칭되는 층 (22) 의 두께보다 더 크게 되도록 를 선택하는 경우에 획득될 수도 있다. 일 양태에 있어서, 대역통과 필터 (53) 의 은 2054 나노미터 주위에 집중되는 플라즈마 방출에 대하여 1.5 나노미터일 수 있다.
또한, 반사된 방사 신호는 대역통과 필터 (53) 에 의해 하나 이상의 사이클로 프로세싱될 수 있으므로, 각 사이클에서, 기판 (20) 의 다른 부분 또는 레지스트 (21) 부분으로부터 반사된 방사의 주파수들에 대응하는 방사 신호을 감쇠시키면서, 에칭된 피쳐 (25) 로부터 반사된 방사의 주파수들에 대응하는 방사 신호의 성분을 통과시키도록 신호가 필터링된다. 예를 들어, 에칭 프로세스 동안에, 각 통로에서, 대역통과 필터 (53) 는 나머지 기판 표면으로부터 반사된 방사의 신호 세기에 대하여 에칭된 피쳐 (25) 들로부터 반사된 방사의 신호 세기를 증가시킨다. 적절한 사이클 수는 약 1 내지 약 10 사이클이며, 더 바람직하기로는 약 2 내지 약 5 사이클이다.
도 7 은 예를 들어 프로세싱 동안에 사용되는 레지스트 성분 또는 회전 자계 성분에 대하여 에칭된 피쳐 성분 주위에 집중되는 주파수들을 가지는 방사의 세기의 증가를 나타내는, 대역통과 필터 (53) 를 통한 다중 경로에 대한, 주파수 응답 (%) 대 (versus) 정규화 주파수에 대한 그래프를 나타낸다. 경로들의 개수가 1 에서부터 2 까지 증가되므로, 난(non)-피쳐 반사 방사 성분의 진폭에서 발생하는 감소는, 기판의 다른 표면들로부터의 다른 신호들에 대하여, 피쳐로부터 반사된 방사 신호의 신호 대 잡음비를 증가시킨다.
본 발명은, 예를 들어 도 8a 및 도 8b 에 개략적으로 나타내는 장치 (27) 내의 기판 (20) 을 에칭하는데 유용하다. 일반적으로, 장치 (27) 는 프로세스 존 (30) 내의 기판 (20) 을 수용하는 지지부 (32) 를 가지는 챔버 (35) 를 구비한다. 프로세스 가스는 가스 소스 (38), 기판 (20)(도시됨) 의 주위에 또는 챔버 (도시되지 않음) 의 천장에 설치된 샤워헤드에 위치하는 가스 주입구 (38) 들을 구비하는 가스 공급부 (34) 를 통하여 챔버 (35) 로 유입될 수 있다. 가스 흐름 제어기 (40) 는 프로세스 가스의 흐름 속도를 제어하는데 사용될 수도 있다. 소비된 프로세스 가스 및 에천트 부산물은, 러핑 및 터보분자 펌프들 (도시되지 않음) 을 구비하는 가스 배출구 (42) 및 챔버 (35) 내의 프로세스 가스의 과정을 제어하는데 사용될 수 있는 스로틀 밸브 (44) 를 통하여 챔버 (35) 로부터 배출된다.
에너자이즈화된 가스 또는 플라즈마는, 전자기 에너지를 챔버 (35) 의 프로세스 존 (30) 내의 프로세스 가스와 결합하는 가스 에너자이저 (46) 에 의해 프로세스 가스로부터 생성된다. 예를 들어, 챔버의 측벽과 같은 제 1 프로세스 전극 (54) 및 기판 (20) 아래의 지지부 (32) 의 전기 도전부와 같은 제 2 전극 (52) 은 도8a 에 나타낸 바와 같이, 챔버 (35) 내의 가스를 추가적으로 에너자이즈화시키는데 사용될 수도 있다. 제 1 및 제 2 전극 (52, 54) 은 전극 전압 공급부 (62) 에 의해 제공되는 RF 전압에 의해 서로에 대하여 전기적으로 바이어스된다. 전극 (52, 54) 에 인가된 RF 전압의 주파수는, 통상적으로 약 50 KHz 내지 60 MHz 이다. 또 다른 일례로서, 가스 에너자이저 (46) 는 도 8b 에 나타낸 바와 같이 전자기 에너지를 챔버 (35) 내의 가스에 유도 결합하는 인덕터 코일 (47) 을 구비할 수도 있다.
제 1 층 (22) 및 제 2 층 (24) 을 구비하는 기판 (20) 의 에칭시에, 가스 흐름 조성, 흐름 속도, 과정, 바이어스 전력, 및 온도와 같은 프로세스 파라미터들은, 에칭 과정 전반에 걸쳐서 동일하게 유지될 수 있거나, 또는 예를들어 제 1 에칭 단계가 완료되고 제 2 에칭 단계가 개시되는 경우에 변경될 수도 있다. 챔버 (35) 로 유입되는 프로세스 가스는, 기판 (20) 상에 에칭되는 층들 (22, 24) 의 구성에 따라 선택될 수도 있다. 예를 들어 탄소 및 수소를 함유하는 유기 무반사 층 (20) 을 에칭하는 적절한 프로세스 가스는 CF4 를 함유할 수도 있다. 또 다른 예로서, 기판 (20) 상의 실리콘 옥사이드 층 (24) 을 에칭하는데 적절한 프로세스 가스는 CF4 및 N2 를 함유할 수도 있다. 예를 들어, 탄소 및 수소를 함유하는 유기 무반사 층을 구비하는 제 1 층 (22) 을 에칭하기 위하여, 약 20 내지 약 100 sccm CF4 를 함유하는 프로세스 가스를 챔버 (35) 에 유입시킬 수도 있다. 챔버 (35) 내의 압력은 약 20 내지 약 100 mTorr 로 유지될 수도 있고, 프로세스 전극 R.F. 바이어스 전력 레벨은 약 100 내지 약 500 와트로 유지될 수도 있고, 챔버의 부분들은 약 -15℃ 내지 약 40 ℃의 온도로 유지될 수도 있다. TEOS (Si(OCH3)3)) 으로부터 증착된 실리콘 옥사이드를 구비하는 제 2 층 (24) 을 에칭하기 위하여, 약 50 내지 약 200 sccm CF4 및 약 15 내지 약 100 sccm N2 를 함유하는 프로세스 가스를 챔버 (35) 에 도입할 수도 있다. 챔버 (35) 내의 압력은 약 100 내지 약 500 mTorr 로 유지될 수도 있고, 프로세스 전극 R.F. 바이어스 전력 레벨은 약 400 내지 약 1200 와트로 유지될 수도 있고, 챔버의 부분들은 약 -15℃ 내지 약 40 ℃ 의 온도로 유지될 수도 있다.
예를 들어, 챔버의 내부 또는 외부의 플라즈마, 방사 램프, LED 또는 레이저일 수 있는 방사 소스 (58) 에 의해, 기판 (20) 에 입사되는 방사 (31) 을 제공할 수도 있다. 방사 소스 (58) 는 자외 (UV), 가시, 또는 적외 방사와 같은 방사를 제공할 수도 있으며; 또한 방사 소스 (58) 는 X-선과 같은 다른 방사 타입들을 제공할 수도 있다. 방사 소스 (58) 는 예를 들어, 도 8a에 나타낸 바와 같이 일반적으로 스펙트럼에 걸쳐서 연장되는 다중 파장들을 가진 다중스펙트럼이며, 또는 일반적으로 가간섭되는 즉, 다중 위상들을 가지는, 챔버 (28) 내부에서 발생된 플로즈마로부터의 방출을 포함할 수도 있다. 또한, 방사 소스 (58) 는 도 8b 에 나타낸 바와 같이, 방사 (31) 가 윈도우 (130) 를 통하여 방사 소스 (58) 로부터 챔버 (35) 로 전달될 수 있도록, 챔버 (35) 외부에 위치될 수도 있다. 또한, 방사 소스 (58) 는, He-Ne 또는 Nd-YAG 레이저에 의해 제공되는, 예를 들어 단색광과 같은 단일 파장인, 우세한 특성 파장들을 가지는 방사를 제공할 수도 있다. 또한, 레이저 소스는 우세한 또는 단일 위상을 가진 가간섭 광을 제공한다. 선택적으로, 방사 소스 (58) 는 단일 파장으로 선택적으로 필터링될 수 있는, 다색광과 같은 다중 파장들을 가지는 방사 방출을 제공하는 램프를 구비할 수도 있다. 다색광을 제공하는데 적합한 방사 소스 (58) 들은, 약 180 내지 약 600 나노미터의 범위의 파장들을 가지는 다색광 스펙트럼을 생성하는 Hg 방전 램프; 크세논 또는 Hg-Xe 램프과 같은 아크 램프 및 텅스텐-할로겐 램프; 및 발광 다이오드 (LED) 를 포함한다.
일 양태에 있어서, 자외광, 적외광, 또는 가시광과 같은, 비편광의 소스를 제공하는 비편광 방사 소스 (58) 를 이용한다. 예를 들어, 챔버 윈도우에 축척된 에너자이즈화된 가스 또는 플라즈마 또는 잔류물에 의해, 편광 방사가 프로세스동안에 우선적으로 흡수되는 경우에, 비편광 소스는 유용하게 된다. 또한, 편광 상태는 체적 대칭 (cubic symmetry) 이외의 대칭을 가지는 결정들과 같은 결정 중심 구조들을 가지는 재료들의 방사 흡수 특성에 영향을 준다.
또한, 기판 (20) 상의 방사의 표준 입사는, 예를 들어 상기 층 (22, 24) 위에 있는 내에칭성 피쳐들인, 높고 좁은 공간 피쳐들을 가지는 기판 (20) 의 프로세싱 종료점들을 정확히 검출하는데 사용될 수도 있다. 표준 입사 방사는, 층들 (22, 24) 에 도달하는데 있어서 내에칭성 재료 피쳐들의 높이에 의해 저지되지 않는다. 그러나, 반사된 방사의 검출에 표준 입사가 필요하지 않고, 다른 입사 각도들을 사용할 수 있음을 알 수 있다.
방사는 기판 (20) 에 입사되어 그 기판 (20) 에 의해 다시 반사되는 방사 경로에 제 1 및 제 2 방사 편광자 (59a, 59b) 를 배치시킴으로써 복수의 편광 각도들로 편광될 수도 있다. 본 실시예는 제 1 및 제 2 방사 편광자 (59a, 59b) 는 기판 (20) 에 입사되는 방사 경로의 제 1 및 제 2 방사 편광자들 (59a, 59b) 를 나타내지만, 이들을 기판 (20) 에 의해 다시 반사되는 경로에 있게 하거나, 또는 이들을 방사 검출기 (54) 의 일부가 되게 할 수 있다. 제 1 편광자 (59a) 는 제 1 편광 각도로 향하는 방사를 선택적으로 통과시키고, 제 2 편광자 (59b) 는 제 2 편광 각도로 향하는 방사를 선택적으로 통과시킨다. 제 1 및 제 2 편광자들 (59a,b) 은 단일 구조 또는 하나 이상의 구조일 수 있다. 일 양태에 있어서, 편광자들 (59a,b) 는 재료를 통과하는 방사를 선택적으로 편광시키는 하나 이상의 박막들로 코팅되는 방사 투과성 재료를 구비거나, 또는 다른 양태에 있어서, 편광자들은 회전식 필터일 수도 있다. 회전 편광자 (59a,b) 를 사용하는 경우에, 방사는 주기적 간격들로 샘플링되어 피쳐 각도 배향과 관련된, 반사된 방사 신호 성분들만을 획득한다.
기판 (20) 에 의해 반사된 방사 (31) 를 검출하는데 하나 이상의 방사 검출기 (54) 들을 사용한다. 방사 검출기 (54) 들은 광전지 셀, 광다이오드, 광전자 증배관, 또는 광트랜지스터와 같은 방사 센서를 구비할 수도 있다. 방사 검출기 (54) 는 전기 성분을 통과하는 전류 레벨의 변화 또는 전기 성분에 따라서 인가된 전압의 변화를 포함할 수 있는, 반사된 방사의 측정 세기에 응답하여 전기 출력 신호를 제공한다. 또한, 복수의 방사 검출기 (54) 는 사용되지 않을 수도 있다 (도시되지 않음). 예를 들어, 서로 다른 편광 각도를 가지는 방사를 포착하도록 각각 설정된 복수의 검출기 (54) 를 사용할 수도 있다. 또 다른 일례로서, 기판 (20) 으로부터 반사된 편광 방사 또는 에너자이즈화된 가스로부터의 방사 방출 중 어느 하나를 검출하도록 각각 설정된 복수의 검출기 (54) 를 사용할 수도 있다. 검출기 (54) 는 검출된 방사에 관한 신호를 제어기 (100) 에 제공한다. 예를 들어, 검출기는 방사 방출의 하나 이상의 파장의 검출 세기에 관한 제 1 신호 및 기판 (20) 으로부터 반사된 편광 방사의 하나 이상의 파장의 검출 세기에 관한 제 2 신호를 제공할 수도 있다. 검출기 신호들을 평가하여 피쳐 (25) 들로부터 반사된 방사 신호들을 분리하고, 내에칭성 재료 (21) 는 제어기 (100) 에 의해 방사 성분들을 반사시킨다. 또한, 제어기 (100) 는 검출된 신호를 평가하여 서로 다른 편광 각도들을 가지는 방사 크기 및 에너자이즈화된 가스에 의해 방출된 방사의 세기를 결정하도록 구성될 수도 있다.
기판 반사된 방사는 작은 입사 각도 또는 실질직으로 수직 방향에 따라 검출될 수도 있다. 예를 들어, 피쳐 (25) 들의 에칭 깊이 또는 피쳐 (25) 에 증착되는 재료의 깊이를 기판 (20) 상의 층으로 결정하도록, 챔버 (35) 내에서 프로세싱되는 피쳐 (25) 들의 모니터링을 수직 방향 각도로 더욱 정확하게 행할 수 있다. 수직 각도는 에칭되는 피쳐 (25) 들이 높은 종횡비를 가지는 경우에 특히 바람직하며, 작은 입사 (또는 반사) 각도로 향하는 방사는 피쳐 (25) 의 측벽들 또는 패턴화된 내에칭성 재료 (21) 의 측벽들에 의해 차단되지 않고 피쳐 (25) 의 깊이를 투과하기는 어렵다. 수직 검출 각도는 방사 검출기 (54), 선택적으로 방사 소스 (58)(기판 (20) 바로 위에 있는 플라즈마 소스 이외에) 를, 기판 (20) 위에 수직으로 배치시킴으로써 획득될 수도 있다.
예를 들어, 메모리 (108) 및 주변 컴퓨터 구성요소들에 연결되는, 캘리포니아 소재의 Synergy Microsystems 로부터 상업적으로 입수가능한 68040 마이크로프로세서, 또는 캘리포니아주의 산타 클라라 소재의 Intel Corporation 으로부터 상업적으로 입수가능한 펜티엄 프로세서와 같은, 중앙 처리 장치 (CPU)(106) 를 구비하는 컴퓨터 시스템 (104) 의 컴퓨터판독가능한 프로세스 제어 프로그램 (102) 을 실행하는 제어기 (100) 에 의해, 챔버 (35) 를 동작시킬 수도 있다. 메모리 (108) 는 메모리에 구현된 컴퓨터 판독가능한 프로그램 (102) 을 가지는 컴퓨터 판독가능한 매체를 구비한다. 메모리 (108) 는 하드 디스크 드라이브 (110), 플로피 디스크 드라이브 (112), 및 랜덤 액세스 메모리 (114) 를 구비하는 것이 바람직하다. 컴퓨터 시스템 (104) 은 예를 들어 아날로그 및 디지털 입출력 보드, 인터페이스 보드, 및 모터 제어기 보드를 포함하는 복수의 인터페이스 카드들을 더 구비한다. 오퍼레이터와 제어기 (110) 사이의 인터페이스는, 예를 들어 표시장치 (118) 와 광전 펜 (120) 을 통하여 이루어질 수 있다. 광전 펜 (120) 은 방출된 광을 광전 펜 (120) 의 팁에 광 센서를 가진 모니터 (118) 에 의해 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 오퍼레이터는 모니터 (118) 상의 스크린의 지정 영역을 터치하고 광전 펜 (120) 상의 버튼을 누른다. 통상적으로, 사용자와 제어기 (110) 사이의 통신을 확인하면서, 터치된 영역의 컬러를 변경시키거나 또는, 새로운 메뉴를 표시한다.
예를 들어, 플로피 디스크 드라이브 (112) 또는 다른 적절한 드라이브에 삽입되는 플로피 디스크 또는 다른 컴퓨터 프로그램 제품을 포함하는 다른 메모리에 기억되거나, 또는 하드 드라이브에 기억된 프로그램들과 같은 컴퓨터 판독가능한 프로그램들을 사용하여 제어기 (100) 를 동작시킬 수도 있다. 일반적으로, 프로세스 제어 프로그램 (102) 은, 챔버 (28) 및 그 구성요소들을 동작시키기 위한 프로그램 코드를 포함하는 프로세스 제어 소프트웨어 (124), 챔버 (28) 내에서 수행되는 프로세스들을 모니터하는 프로세스 모니터링 소프트웨어 (126), 시스템 안전 소프트웨어, 및 다른 제어 소프트웨어를 구비한다. 컴퓨터 판독가능한 프로그램 (102) 은 예를 들어, 어셈블리 언어, C++, 파스칼, 또는 포트란과 같은 어떤 종래의 컴퓨터 판독가능한 프로그래밍 언어로 기록될 수도 있다. 종래의 텍스트 에디터를 이용하여 적절한 프로그램 코드가 단일 파일, 또는 복수의 파일들에 입력되고, 컴퓨터 시스템의 메모리 (108) 의 컴퓨터 이용가능한 매체에 기록 또는 구현된다. 만일 입력된 코드 텍스트가 고급 언어인 경우에, 코드는 컴파일되고, 이와 같이 발생된 컴파일러 코드는 사전 컴파일된 라이브러리 루틴들의 목적 코드와 링크된다. 컴파일된 목적 코드를 링크시키기 위하여, 사용자는 CPU (106) 에 의해 코드를 판독 및 실행시키는 목적 코드를 호출하여, 프로그램에서 식별된 작업들을 수행한다.
도 9 는 본 발명에 따른 프로세스 제어 프로그램 (102) 의 특정 실시예의 계층형 제어 구조의 블록도의 일례이다. 광전 펜 인터페이스를 이용하여, 사용자는 CRT 단말에 표시되는 메뉴 또는 스크린에 응답하여 프로세스 세트 및 챔버 번호를 프로세스 선택기 프로그램 (132) 에 입력한다. 프로세스 챔버 프로그램 (124) 는, 타이밍, 가스 조성, 가스 흐름 속도, 챔버 압력, RF 전력 레벨, 지지부 위치 및 특정 프로세스의 다른 파라미터들을 설정하는 프로그램 코드를 포함한다. 프로세스 세트들은 특정 프로세스들을 수행하는데 필요한 프로세스 파라미터들의 소정의 그룹들이다. 프로세스 파라미터들은 어떤 제한 없이 가스 조성, 가스 흐름 속도, 압력, 및 가스 에너자이저 세팅을 포함하는 프로세스 조건들이다. 또한, 프로세스 모니터링 프로그램 (126) 을 동작시키는데 요구되는 파라미터들은 사용자에 의해 프로세스 선택기 프로그램으로 입력된다. 이러한 파라미터들은, 프로세싱되는 재료의 공지된 특성들, 특히 반사 및 소광 계수와 같은 방사 흡수 및 반사 특성들; 경험적으로 결정된 데이터로부터 모델화된 프로세스 모니터링 알고리즘들; 프로세스를 모니터하는데 사용될 수 있는 경험적으로 결정되거나 또는 계산된 값들의 테이블; 및 기판상에 프로세싱되는 재료들의 특성들을 포함한다.
프로세스 순서기 프로그램 (134) 은, 프로세스 선택기 프로그램 (132) 으로부터의 챔버 타입 및 프로세스 파라미터 세트를 수용하여 그 동작을 제어하는 프로그램 코드를 구비한다. 순서기 프로그램 (134) 은 프로세스 챔버 (28) 의 다중 프로세싱 작업들을 제어하는 챔버 관리자 프로그램 (136) 으로 특정 프로세스 파라미터들을 패스시킴으로써 프로세스 세트의 실행을 초기화한다. 통상적으로, 프로세스 챔버 프로그램 (124) 은 기판 위치지정 프로그램 (138), 가스 흐름 제어 프로그램 (140), 가스 흐름 제어 프로그램 (140), 가스 압력 제어 프로그램 (142), 가스 에너자이저 제어 프로그램 (144), 및 히터 제어 프로그램 (146) 을 포함한다. 통상, 기판 위치지정 프로그램 (138) 은 지지부 (32) 위에 기판 (20) 을 적재하며, 선택적으로 기판 (20) 과 가스 공급부 (34) 의 가스 주입구 (38) 들 사이의 간격을 제어하기 위하여 챔버 (35) 에서 소망의 깊이로 기판 (20) 을 리프팅하는데 사용되는 챔버 구성요소들을 제어하는 프로그램 코드를 구비한다. 프로세스 가스 제어 프로그램 (140) 은 프로세스 가스의 서로 다른 구성요소들의 흐름 속도를 제어하는 프로그램 코드를 가진다. 프로세스 가스 제어 프로그램 (140) 은 안전 셧-오프 밸브들의 개방/폐쇄 위치를 제어하고, 또한 소망의 가스 흐름 속도를 획득하기 위하여 가스 흐름 제어기 (140) 를 램프 업/다운시킨다. 압력 제어 프로그램 (142) 은 챔버의 가스 배출구 (42) 의 스로틀 밸브 (44) 의 개구 크기를 조정함으로써 챔버 (28) 내의 압력을 제어하는 프로그램 코드를 구비한다. 가스 에너자이저 제어 프로그램 (144) 은 챔버 (35) 내의 프로세스 전극들 (52, 54) 에 인가되는 저 주파수 RF 전력 레벨 및 고 주파수 RF 전력 레벨을 설정하는 프로그램 코드를 구비한다. 선택적으로, 히터 제어 프로그램 (146) 은 지지부 (32) 및 기판 (20) 을 지속적으로 가열하는데 사용되는 히터 엘리먼트 (도시되지 않음) 의 온도를 제어하는 프로그램 코드를 구비한다.
프로세스 모니터링 프로그램 (128) 은 방사 소스 (58), 방사 검출기 (54), 또는 제어기 (100) 로부터 샘플 또는 기준 신호들을 획득하기 위한 프로그램 코드를 구비하고, 사전 프로그램된 기준에 따라 신호를 프로세싱한다. 통상, 방사 검출기 (54) 내의 아날로그/디지털 컨버터 보드에 의해 방사 진폭 또는 스펙트럼 트레이스를 제어기 (100) 에 제공한다. 또한, 프로세스 모니터링 프로그램 (126) 은 제어기 (100) 로 명령들을 전송하여 방사 소스 (58), 방사 검출기 (54), 및 다른 구성요소들와 같은 구성요소들을 동작시킬 수도 있다. 예를 들어, 제 1 에칭 단계의 완료가 결정되면, 프로세스 모니터링 프로그램 (126) 은 제어기 (100) 로 명령들을 전송하여 기판 (20) 으로부터 반사되는 편광 방사를 검출하는 방사 검출기 (54) 를 동작시킨다. 또한, 프로그램은 챔버 관리자 프로그램 (136) 또는 다른 프로그램들로 명령들을 전송하여 프로세스 조건 또는 다른 챔버 세팅들을 변경시킬 수도 있다.
또한, 프로세스 모니터링 프로그램 (126) 은 방사 검출기 (54) 로부터 신호들을 획득 및 평가하는 프로그램 코드를 구비할 수도 있다. 프로그램 코드는 반사된 방사의 소망하지 않은 주파수 성분들 예를 들어, 기판 (20) 상에서 프로세싱되는 피쳐 (25) 들로부터 반사되지 않는 방사로부터 발생하는 주파수 성분들의 세기를 감소시키도록 설계될 수도 있다. 예를 들어, 대역통과 필터는 기판 (20) 으로부터 반사된 방사의 하나 이상의 선택 주파수들에 대하여 중심에 배치되는 주파수 대역을 획득하기 위하여 검출기 (54) 로부터의 입력 방사 신호를 필터링하도록 구성될 수도 있다. 또한, 프로세스 모니터링 프로그램 (126) 은, 방사 방출의 하나 이상의 파장들의 세기의 검출에 관하여 발생되는, 검출기 (54) 로부터의 제 1 신호 및 기판 (20) 으로부터 반사되는 편광 방사의 검출에 관하여 발생되는, 검출기로부터의 제 2 신호를 평가하는 프로그램 코드를 구비할 수도 있다. 프로세스 모니터링 프로그램은 제 1 및 제 2 신호를 평가하여 제 1 및 제 2 에칭 단계의 완료를 결정한다. 예를 들어, 프로세스 모니터링 프로그램 (126) 은, 방사 방출의 하나 이상의 파장들의 세기의 증가와 같은, 세기의 변화를 평가함으로써 제 1 신호를 평가할 수도 있다. 프로세스 모니터링 프로그램 (126) 은 예를 들어 다른 신호 성분들에 대하여 기판 (20) 상에 에칭되는 피쳐로부터 반사된 편광 방사로부터 발생하는 신호 성분의 세기를 증가시킴으로써 제 2 신호를 평가할 수도 있다. 이러한 신호 성분의 세기는 서로 다른 각도들로 편광되는 상기 검출된 편광 방사의 신호 성분들을 프로세싱하고, 그 신호 성분들의 비율 또는 감산 프로덕트 (subtraction product) 를 결정함으로써 증가될 수도 있다. 프로세스 모니터링 프로그램 (126) 은 프로세싱된 제 2 신호를 평가하여 기판상에 에칭되는 피쳐의 깊이를 결정함으로써, 제 2 에칭 단계의 완료를 결정할 수 있다.
먼저, 프로세스 모니터링 프로그램 (126) 의 파라미터들을 규정하기 위하여, 소정의 재료 두께를 가지는 하나 이상의 기판 (20) 들을 프로세싱을 위하여 선택한다. 각각의 기판 (20) 은 1 회에 프로세스 챔버 (35) 로 배치되며, 프로세스 조건은 기판 (20) 상의 재료 (22) 또는 하위 재료 (24) 를 프로세싱하도록 설정된다. 기판으로부터 반사 및/또는 챔버내의 플라즈마로부터 방출된 방사를 하나 이상의 방사 검출기 (54) 들을 사용하여 모니터링한다. 이러한 일련의 트레이스들을 전개시킨 이후에, 이들을 검사하여, 알고리즘의 형태로 컴퓨터 프로그램에 대한 입력으로서, 값들의 테이블, 또는 챔버 (35) 내의 이벤트 또는 기판 (20) 의 특성을 평가하는데 적합한 다른 기준이 사용되는 트레이스의 특성의 인식가능한 변화를 식별한다. 예를 들어, 프로세스 모니터링 프로그램 (126) 은 기판의 프로세싱의 개시 및 완료를 검출하는데 사용될 수 있는 반사 방사의 세기에 대응하는 신호를 평가하는 프로그램 코드를 포함할 수도 있다. 또 다른 일례로는, 컴퓨터 프로그램 (28) 은 플라즈마로부터 방출되거나 및/또는 기판 (20) 으로부터 반사되는 방사에 대응하는 제 1 및 제 2 신호를 평가하는 프로그램 코드를 구비한다.
따라서, 프로세스 모니터링 프로그램 (126) 은 방사 검출기 (54) 에 의해 제공되는 입력 신호 트레이스를 분석하여, 검출된 신호의 속성이 실질적으로 사전 프로그램된 값과 유사한 경우 등에서, 소망의 기준 세트에 도달되는 경우의 프로세스 종료점 또는 프로세스 단계의 완료를 결정하는 프로그램 코드를 구비할 수도 있다. 예를 들어, 프로세스 모니터링 프로그램 (126) 은 제 1 층을 에칭하는 제 1 에칭 단계가 완료된 때, 또는 제 2 층 (24) 에서 소정의 깊이로 피쳐를 에칭하는 제 2 에칭 단계가 완료된 때를 결정하는 프로그램 코드를 구비할 수도 있다. 또한, 프로세스 모니터링 프로그램 (126) 은 두께와 같이 기판상에 프로세싱되는 재료의 특성, 또는 예를 들어 기판 (20) 상의 재료의 결정 성질, 초소형 구조, 다공도, 전기, 화학 및 구성 특성들과 같은 다른 특성들을 검출하는데 사용될 수도 있다. 또한, 컴퓨터 프로그램 (126) 은 예를 들어 방사 (31) 의 진폭 변화 또는 방사 (31) 의 진폭 변화 속도를 검출함으로써, 기판 (20) 프로세싱의 개시 및 완료 모두를 검출하도록 프로그래밍될 수도 있다. 소망의 기준이 사전 설정되거나 또는 기억된 파라미터들 및 알고리즘들로서 프로세스 모니터링 프로그램 (126) 에 프로그래밍된다. 또한, 프로그램 (126) 은 방사의 트레이스를 모델링하고, 모델링된 트레이스로부터 피쳐를 선택하거나 또는 사용자로 하여금 피쳐를 선택하게 하고, 모델링된 트레이스 또는 피쳐를 기억하고, 방사 검출기 (54) 로부터 입력 신호의 일부를 검출하고, 기억된 트레이스 또는 피쳐에 대하여 측정된 신호를 평가하고, 기판 (20) 상에서 수행되는 프로세스의 프로세스 단계의 종료를 지시하거나 또는 기판 (20) 상의 재료의 측정 특성을 표시하는 프로그램 코드를 포함할 수도 있다.
일 양태에 있어서, 프로세스 모니터링 소프트웨어는 사전 프로그램된 알고리즘에서 확립된 신호 높이 및 시간 길이에 따라, 시간내에 트레이스 및 백의 종료 부분 주변의 박스 또는 "윈도우"를 작성함으로써 반사된 방사의 측정 진폭의 트레이스를 연속적으로 분석하는 프로그램 코드를 구비한다. 윈도우 세트는 반사된 세기의 트레이스에서의 밸리 또는 피크를 검출하고, 후속의 종료점을 검출하는 상향 슬로프를 트리거 온하거나 또는 트레이스의 밸리 이전에 종료점을 검출하는 하향 슬로프를 트리거 온하도록 프로그램될 수도 있다. 트레이스내의 신호가 너무 가파르고, 사전 프로그램된 박스에 존재하거나, 또는 이로부터 이동 ("WINDOW OUT") 하는 경우, 또는 신호가 완만하게 되어 박스를 입력하는 경우 ("WINDOW IN") 에, 제 1 기준이 충족된다. 부가적인 윈도우들이 이동중인 트레이스에 순차적으로 인가되어 실시간 트레이스에서 측정된 신호의 변화가, 프로세스의 개시 또는 완료, 재료의 특성 변화와 같은 프로세스의 종료점인지 또는 단지 노이즈인지를 판정하는 완전한 기준 세트를 생성한다. 박스의 입력 또는 출력의 방향은 프로세스 모니터링 프로그램 (126) 을 동작시키는 사전 프로그램된 입력 기준의 부분으로서 특성화될 수도 있다. 프로세스의 개시 또는 완료의 검출시에, 프로세스 모니터링 프로그램은 제어기 (100) 에 명령들을 전송하는 프로세스 챔버 프로그램 (126) 에 시그널링하여 기판 (20) 이 프로세싱되는 챔버 (35) 의 프로세스 조건을 변경시킨다. 제어기 (100) 는 하나 이상의 가스 공급부 (34), 가스 에너자이저 (46), 또는 스로틀 밸브 (44) 를 제어하여 수신된 신호에 대하여 챔버 (35) 내의 프로세스 조건을 변경시키도록 구성된다.
제어기 (100) 에 의해 수신되거나 및/또는 평가되는 데이터 신호들은 공장 자동화 호스트 컴퓨터 (300) 로 전송될 수도 있다. 공장 자동화 호스트 컴퓨터 (300) 는, (ⅰ) 기판 (20) 들상에서 수행된 프로세스들, (ⅱ) 단일 기판 (20) 에 걸친 통계적 관계에서 변화할 수 있는 특성, 또는 (ⅲ) 기판 (20) 의 배치에 따른 통계적 관계에서 변화할 수 있는 특성의 통계적 프로세스 제어 파라미터들을 식별하기 위하여, 기판 (20) 들의 배치들에 대하여 또는 연장된 시간 주기에 걸쳐서 몇몇 시스템 (27), 플랫폼들 또는 챔버 (25) 들로부터의 데이터를 평가하는 호스트 컴퓨터 프로그램 (302) 을 구비할 수도 있다. 또한, 호스트 컴퓨터 프로그램 (302) 은 진행중인 인-시츄 (in-situ) 프로세스 평가들 또는 다른 프로세스 파라미터들의 제어에 대하여 데이터를 사용할 수도 있다. 적절한 호스트 소프트웨어 프로그램은, 전술한 어플라이드 머티리얼즈로부터 입수가능한 WORKSTREAMTM 소프트웨어 프로그램을 구비한다. 공장 자동화 호스트 컴퓨터 (300) 는, (ⅰ) 예를 들어, 만일 기판 특성이 부적절하거나 또는 통계적으로 결정된 값들의 범위내에 있지 않거나, 또는 프로세스 파라미터가 수용가능한 범위로부터 벗어나는 경우에, 프로세싱 시퀀스로부터 특정 기판 (20) 들을 제거하고; (ⅱ) 특정 챔버 (35) 내의 프로세싱을 종료하고; 또는 (ⅲ) 기판 (20) 또는 프로세스 파라미터의 부적절한 특성의 결정시에, 프로세스 조건을 조정하기 위하여 명령 신호들을 제공하도록 추가적으로 구성될 수도 있다. 또한, 공장 자동화 호스트 컴퓨터 (300) 는 호스트 소프트웨어 프로그램 (302) 에 의한 데이터의 평가에 응답하여 기판 (20) 의 프로세싱의 개시 또는 종료에서 명령 신호를 제공할 수도 있다.
또한, 방사 검출기 (54) 가 챔버 (35) 벽의 리세스 (61) 에서 기판으로부터 떨어져 반사되는 방사를 관찰하는 윈도우 (130) 를 배치함으로써, 반사된 방사 신호의 신호 대 잡음비를 추가적으로 개선시킬 수 있음을 발견하였다. 도 10a 는, 챔버 (35) 의 벽 (51) 의 리세스에서 윈도우 (130)를 가지는 챔버, 기판으로부터 반사되어 윈도우 (130) 를 통과하는 방사를 검출하고, 그 검출된 방사에 응답하여 신호를 생성하는 검출기, 및 그 검출된 신호를 평가하여 프로세스를 모니터링하는 제어기 (100) 의 개략적인 측단면도이다. 윈도우 (130) 는 제어기 (100) 에 의해 모니터링되는 방사의 파장들로 투과될 수 있는 재료를 구비한다. 적외 방사, 가시 방사, 및 UV 방사에 대하여, 윈도우 (130) 는 예를 들어 하나 이상의 Al2O3, Si, SiO2, TiO2, ZrO2 또는 이들의 혼합물 및 화합물과 같은 세라믹으로 제조될 수도 있다. 세라믹은 또한 예를 들어 단결정 알루미나, 할로겐 플라즈마 특히, 플루오르 함유 플라즈마에 대하여 양호한 내부식성을 나타내는 사파이어와 같은 단결정 재료를 구비할 수도 있다.
챔버 (35) 벽 (51) 의 리세스 (61) 는 도 10 에 나타낸 바와 같이 마스크 (140) 를 수용하도록 형상화 및 치수화된다. 예를 들어, 마스크 (140) 가 원통 형상인 경우에, 리세스 (61) 는 또한 원통 형상일 수도 있다. 마스크 (140) 는 실질적으로 윈도우 (130) 를 커버하도록 치수화되어 윈도우 (130) 상의 프로세스 잔여물의 증착을 감소 또는 저지한다. 마스크 (140) 는 예를 들어 하나 이상의 Al2O3, SiO2, AIN, BN, Si, SiC, Si3N4, TiO2, ZrO2, 또는 이들의 혼합물 및 화합물인 내(耐)플라즈마 재료와 같은, 챔버 (35) 내의 프로세스 가스 또는 플라즈마에 의해 내부식성을 가지는 재료로 이루어질 수도 있다.
마스크 (140) 는 도 10b 에 나타낸 바와 같이, 하나 이상의 개구 (145) 를 구비한다. 개구 (145) 는 충분한 방사량을 통과시켜 제어기 (100) 를 동작시키면서, 프로세스 잔여물의 증착을 감소시키도록 형상화 및 치수화된다. 예를 들어, 개구 (145) 들은, 간섭 또는 타원 분석에 대하여, 입사 및 반사 방사 빔들을 통과시키도록 형상화 및 치수화될 수도 있거나, 또는 개구 (145) 들은 플라즈마 방출 분석에 대하여 플라즈마로부터의 스펙트럼 방출을 모니터하도록 형상화 및 치수화될 수 있다. 개구 (145) 들은 자연 가스 종류 (종종, 잔여물 형성종) 의 액세스를 감소시키거나, 또는 크게 에너자이즈화된 가스 이온들에 의해 개구 (145) 들의 벽들에 형성되는 프로세스 잔여물들을 에칭시킴으로써, 프로세스 잔여물의 증착을 감소시킨다. 일반적으로, 리세스 (145) 의 종횡비 및 깊이는, 효과적인 가스 개체들이 리세스 (145) 의 내부 표면들 예를 들어 리세스 (145) 내의 윈도우 (130) 에 도달하기 이전에, 그 가스 개체들에 의해 진행되어야 하는 거리를 제어한다. 적절한 개구 (145) 들은 적어도 약 0.25:1 의 종횡비를 가지며, 그 종횡비는 약 12:1 보다 작게 될 수도 있다. 일 양태에서, 개구 (145) 들은 약 0.1 내지 약 50 mm 의 개구 크기 및 약 0.5 내지 약 500 mm 의 깊이를 가진다. 또한, 마스크 (140) 는 예를 들어 복수의 6 각형 홀 또는 원형 형상 홀과 같은 복수의 개구 (145) 들을 구비할 수도 있다.
전자계 소스는 윈도우 (130) 에 대한 전자기 필드를 유지하도록 구성될 수도 있다. 전자계 소스는 전기 또는 자기 필드 소스를 구비한다. 벽 (51) 에 대하여 인가된 전자기 필드는 벽의 리세스 (61) 의 윈도우 (130) 상의 프로세스 잔여물들의 증착을 감소시킬 수도 있다. 예를 들어, 도 10a 에 나타낸 실시예에 있어서, 전자기계 소스는 리세스 (61) 에 대하여 또는 윈도우 (130) 에 걸쳐, 벽 (51) 의 일부 부근의 자계를 유지하도록 구성되는 자계 소스 (195) 를 구비한다. 자계 소스 (195) 는 그 부근에 자기 에너지를 제공하도록 리세스, 벽 또는 윈도우 (130) 에 인접하거나 또는 접경하여 배치되는 적어도 하나의 자석 (200) 또는 전자석 (도시되지 않음) 을 구비한다. 예를 들어, 일 태양에 있어서, 자기 에너지는 리세스 (61) 또는 윈도우 (130) 주변의 공간으로 한정될 수 있거나, 또는 단지 작은 거리로 챔버 (35) 을 투과할 수도 있다. 일 양태에 있어서, 자계 소스 (195) 는 챔버의 다른 부분들에 대하여 리세스 (61) 또는 윈도우 (130) 에 걸쳐 바람직하게 집중되는 자계를 제공한다. 일반적으로, 적절한 자계 세기는 약 10 내지 약 10,000 가우스이고, 더 바람직하기로는 약 50 내지 약 2000 가우스이지만, 선택되는 실제 자계 세기는 윈도우 크기, 플라즈마 이온들의 에너지, 및 다른 인자들에 따른다. 도 10a 에 나타낸 실시예에서, 자계 소스 (195) 는 상기 벽의 리세스 주변에 대하여 배치되며 반대의 자기 극성들을 가지는 복수의 자기 폴 (200) 들을 구비한다.
또 다른 실시예에서, 도 11 에 나타낸 바와 같이, 전자기계 소스는 벽 (51), 리세스 (61) 주변에, 또는 그 주변의 전계를 유지하기 위하여 윈도우 (130)(도시됨) 에 걸쳐서 전기 에너지를 제공하는 전계 소스 (220) 를 구비한다. 전계는 개체들을 형성하는 충전된 잔여물을 억제하거나 또는 윈도우 (130) 를 밤바드 (bombard) 하는 에너자이즈화된 가스 개체들에 의해 프로세스 잔여물들을 에칭시킴으로서, 벽 (51), 리세스 (61), 또는 윈도우 (130) 상의 프로세스 잔여물들의 증착을 감소시킨다고 알려져 있다. 전계 소스 (220) 는 전기 에너지를 결합시키기 위하여, 벽 (51) 후방에, 리세스 (61) 주변에, 또는 윈도우 (130) 부근에 있는 전극 (225) 을 구비할 수도 있다. 전계는 벽 (51) 또는 윈도우 (130) 의 평면에 평행 또는 수직하는 전계 성분들을 가지도록 이루어질 수도 있다. 전극 (225) 은 벽 (51) 또는 윈도우 (130) 의 전체 영역을 커버하는 전계를 제공하기에 충분히 크게 치수화될 수 있다. 또한, 전극 (225) 은 전극 (225) 에서 유도될 수 있는 어떤 와전류들을 감소시키도록 형상화 및 치수화되는 와전류 감소 슬롯들을 구비할 수도 있다. 전압 소스 (245) 는 바람직하기로는 약 10 내지 약 10,000 볼트로, 그리고 더 바람직하기로는 약 20 내지 4000 볼트로 DC, AC 또는 RF 전압을 이용하여 전극 (225) 을 전기적으로 바이어스시킨다.
도 12 는 베어 윈도우, 리세스화된 윈도우 (130), 및 인접하는 자석 (200) 을 가진 리세스화된 윈도우 (130) 의 프로세싱 시간에 따른 방사 감쇠를 나타낸다. 전자기계 소스를 누출하는 비리세스화된 베어 윈도우를 통과하는 방사는 40 플라즈마 프로세스 시간보다 작게 최대 수용가능한 감쇠에 도달함을 알 수 있다. 비교시에, 리세스화된 윈도우 (130) 를 통과하는 방사는 약 100 시간에서 최대 수용가능한 감쇠에 도달하며, 인접하는 자석 (200) 을 구비하는 리세스화된 윈도우 (130) 를 통과하는 방사는 100 시간 이후에 최대 수용가능한 감쇠에 도달한다. 이 데이터는 리세스화된 윈도우 (130) 가 플라즈마 프로세스 동안에 방사 세기의 감쇠에 있어서 실질적인 감소를 제공함을 나타낸다. 인접하는 자석 (200) 이 존재하는 경우에, 전자기계 소스를 부가하면, 실질적으로 감쇠시에 이러한 감소를 개선시킬 수 있다.
실시예
다음의 예들은, 본 발명의 효과를 설명한다. 그러나, 본 발명은 당업자에게 명백하게 되는 바와 같이 다른 프로세스들에 그리고 다른 용도에 사용될 수 있으며, 본 발명은 여기에 제공되는 예들로 제한되지는 않는다.
실시예 1
이 예에 있어서, 피쳐 (25) 들은 도 10a 에 나타낸 예에 대하여, 마스크에 의해 커버되며 윈도우에 대하여 자계 발생기를 가지는 리세스화된 윈도우로 자기적으로 증가된 에칭 챔버의 기판 (20) 에서 에칭된다. 에칭되는 기판 (20) 은 1 미크론의 실리콘 다이옥사이드 층, 0.1 미크론의 실리콘 질화물층, 및 1 미크론의 실리콘 다이옥사이드층을 구비하는 실리콘 웨이퍼이었다. 패턴화된 상위 포토레지스트 층 (21) 은 유전체층 (22) 을 커버한다. 40 sccm CHF3, 20 sccm CF4, 및 50 sccm Ar 을 이용하여 유전체 층 (22) 을 에칭한다. 챔버내의 압력은 200m Torr 로 유지되고, 프로세스 전극 R.F. 바이어스 전력 레벨은 1300 와트로 유지되고, 챔버들의 일부들은 약 15℃의 온도로 유지되었다. 에칭된 피쳐 (25) 들은 약 0.4 미크론 내지 약 1 미크론로 치수화된 개구들을 가지며, 실리콘 웨이퍼상에 노출된 유전체 (실리콘 다이옥사이드) 영역은 약 5% 내지 약 50 % 이었다.
이 실시예에서, 기판 (20) 으로부터 반사된 방사는 2 개의 편광 각도들로 검출되며, 대역통과 필터는 방사 검출기로부터 생성된 신호를 평가하는데 사용하였다. 편광된 방사의 p-성분 및 s-성분을 검출 및 측정하는데 제 1 및 제 2 방사 검출기들을 사용하였다. 기판 (20) 상에 입사되는 방사는 254 nm 의 파장을 가지는 방사를 구비한다. 방사 경로에 배치되는 대역 통과 필터는, 기판 (20) 에서 에칭되는 피쳐 (25) 들로부터 반사된 방사 주파수 주위에 집중되었던 통과대역 범위내의 주파수들을 가지는 방사를 선택적으로 통과시키도록 구성되어 있다.
도 13 은 방사의 편광, 검출된 편광 방사 신호들의 공급, 및 대역통과 필터에서 2 사이클 동안에 공급되는 신호를 프로세싱한 이후에 획득되는 신호 트레이스를 나타낸다. 입사 방사는 254 nm 의 파장을 가진다. 피쳐 (25) 들과 내에칭성 재료 (21) 로부터 반사되는 방사의 비율을 결정하였다. 공급된 신호 트레이스는 대역통과 필터의 2 사이클 동안에 프로세싱되었다. 50% 의 개방 옥사이드 영역을 가지는 기판에 있어서, 예측된 에칭 깊이는, 모두 약 0.46 미크론으로, 측정된 에칭 깊이와 동일하였다. 동일한 테스트들을 30 % 의 개방 옥사이드 영역을 가지는 기판에서 수행한 경우에, 예측된 0.49 미크론의 에칭 깊이는, 측정된 0.5 미크론의 에칭 깊이와 약간 달랐으며, 20% 의 개방 옥사이드 영역에 있어서, 예측된 에칭 깊이는 측정된 0.48 미크론의 에칭 깊이에 대하여 0.46 미크론이었다. 이러한 결과들은 본 발명의 방법 및 장치의 정밀도를 증명한다.
실시예 2
이 실시예에 있어서, 제 1 굴절율을 가지는 제 1 층 (22) 및 제 2 굴절율을 가지는 제 2 층 (24) 을 구비하는 기판 (20) 에서 피쳐 (25) 들을 에칭하였다. 도 9 에 나타낸 예에 대하여, 마스크 (140) 에 의해 커버되는 리세스화된 윈도우 (61) 를 가지며, 윈도우 (61) 주변에 자계 소스를 가지는 자기적으로 증가된 에칭 챔버 (35) 에서 에칭 프로세스를 수행하였다. 기판 (20) 은, 상부로부터 하부까지, 500Å의 두께를 가지는 실리콘 카바이드층, 1 미크론의 두께를 가지는 TEOS (Si(OCH3)3) 으로부터 증착된 실리콘 옥사이드를 구비하는 유전체 층 (24), 및 600Å 의 두께를 가지며 탄소 및 수소를 함유하는 블랙 diamondTM 을 구비하는 무반사층 (22) 을 가지는 실리콘 웨이퍼이었다. 패턴화된 상위 포토레지스트층 (21) 은 무반사층 (22) 을 커버한다.
제 1 에칭 단계에서, 50 sccm CF4 를 구비하는 에너자이즈화된 프로세스 가스를 이용하여 무반사 층 (22) 을 에칭하였다. 챔버내의 압력을 50 mTorr 로 유지하였고, 프로세스 전극 R.F. 바이어스 전력 레벨을 300 와트로 유지하였으며, 챔버의 부분들을 15℃ 의 온도로 유지하였다. 에너자이즈화된 가스로부터의 방사 방출의 파장의 세기를 3865 Å의 파장으로 모니터링하여 제 1 에칭 단계의 완료를 결정한다. 도 14a 는 관찰된 방사의 파장의 세기를 시간의 함수로서 나타낸다. 이 도면에서, 방사 파장의 세기는 약 20 초까지 감소하였다. 이후에, 유전체 층 (24) 을 노출하기 위하여 무반사 층 (22) 을 에칭하였음을 나타내고, 세기가 급격하게 증가함을 알 수 있다.
그 후, 100 sccm CF4 및 30 sccm N2 를 구비하는 에너자이즈화된 프로세스 가스를 이용하여, 제 2 에칭 단계에서 유전체층 (24) 을 에칭하였다. 챔버내의 압력을 200 mTorr 로 유지하였고, 프로세스 전극 R.F. 바이어스 전력 레벨을 800 와트로 유지하였고, 챔버의 부분들을 15℃ 의 온도로 유지하였다. 기판 (20) 으로부터 반사된 방사를 2 개의 편광 각도로 검출하여 제 2 에칭 단계의 완료를 결정하였다. 2 개의 편광 각도는 기판상에 에칭되는 피쳐의 주요 배향에 실질적으로 수직한 각도 및 피쳐의 주요 배향에 실질적으로 평행한 제 2 각도를 구비한다. 기판 (20) 상에 입사되는 방사는 254 nm 의 파장을 가지는 방사를 구비한다. 도 14b 는 기판으로부터 반사되며 검출된 편광 방사 신호들을 공급하는, 방사의 편광 이후에 획득되는 신호 트레이스를 나타낸다. 이러한 신호에 기초하여, 에칭 프로세스의 종료점을 800Å 의 에칭 깊이에서 15 초가 되도록 결정하였다.
이러한 결과들은 본 발명의 방법 및 장치의 정밀도를 증명한다. 본 발명의 방법 및 장치는 기판 (20) 상의 제 1 층 (22) 을 에칭하는 제 1 에칭 단계 및 제 2 층 (24) 을 에칭하는 제 2 에칭 단계의 완료 결정을 허용한다. 특히, 제 1 굴절율을 구비하는 제 1 층 (22) 및 제 2 굴절율을 구비하는 제 2 층을 구비하는 기판 (20) 의 에칭시에, 본 발명의 방법 및 장치는, 제 1 층 (22) 을 에칭하여 제 2 층 (24) 을 노출하는 경우를 먼저 결정함으로써, 제 2 층 (24) 을 에칭하는 제 2 에칭 단계의 완료를 더욱 정확하게 결정할 수 있다. 제 1 층 (22) 을 에칭하는 제 1 에칭 단계의 완료를 결정하고, 제 2 층 (24) 이 에칭 개시되는 시점에서 반사된 편광 방사의 모니터링을 개시함으로써, 제 2 에칭 단계의 완료를 잘못 계산할 수 있는 가능성을 감소시킨다.
본 발명을 본 발명의 임의의 바람직한 실시예들을 참조하여 설명하였지만, 다른 실시예들로 설명할 수도 있다. 예를 들어, 당업자에게 명백한 바와 같이, 용량적으로 결합된 챔버들이온 주입 챔버들, PVD 또는 CVD 챔버들과 같은 증착 챔버들을 포함하는 다른 타입의 에칭 챔버들을 제한없이 포함하며 이들로 제한되지 않는 다른 프로세스들 및 다른 챔버들에서 종료점들을 검출하는데 종료점 검출 프로세스를 사용할 수 있다. 따라서, 첨부된 청구범위의 사상 및 범위를 여기에 포함된 바람직한 실시예들의 설명으로 제한하지는 않는다.

Claims (27)

  1. 기판 프로세싱 장치로서,
    기판 지지부, 가스 주입구, 가스 에너자이저, 가스 배출구, 및 그 내부에 윈도우와 상기 윈도우 위에 마스크가 있는 리세스를 갖는 벽을 포함하는 프로세스 챔버, 및
    상기 벽의 상기 리세스 내의 윈도우 부근의 프로세스 모니터링 시스템으로서, 상기 윈도우를 통과하는 방사를 검출함으로써 상기 프로세스 챔버에서 수행될 수 있는 프로세스를 모니터링하도록 구성된 프로세스 모니터링 시스템을 포함하는, 기판 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 윈도우는 상기 프로세스 모니터링 시스템에 의해 모니터링되는 파장들을 갖는 방사를 투과할 수 있는 재료를 포함하는, 기판 프로세싱 장치.
  3. 제 1 항에 있어서,
    상기 윈도우는 적외 방사, 가시 방사 또는 UV 방사를 포함하는 방사를 투과할 수 있는 재료를 포함하는, 기판 프로세싱 장치.
  4. 제 1 항에 있어서,
    상기 윈도우는 Al2O3, Si, SiO2, TiO2, ZrO2 또는 이들의 혼합물 및 화합물 중 하나 이상을 포함하는, 기판 프로세싱 장치.
  5. 제 1 항에 있어서,
    상기 윈도우는 사파이어를 포함하는, 기판 프로세싱 장치.
  6. 제 1 항에 있어서,
    상기 마스크는 상기 윈도우 상의 프로세스 잔여물들의 증착을 감소시키도록 치수화된 하나 이상의 개구들을 포함하는, 기판 프로세싱 장치.
  7. 제 6 항에 있어서,
    상기 개구들은 이하의 특성,
    (ⅰ)0.25:1 이상의 종횡비;
    (ⅱ)12:1 보다 작은 종횡비;
    (ⅲ)0.1 내지 50 mm 의 개구 크기; 또는
    (ⅳ)0.5 내지 500 mm 의 깊이
    중 하나 이상의 특성을 포함하는, 기판 프로세싱 장치.
  8. 제 6 항에 있어서,
    상기 마스크는 원통 형상이고, 상기 리세스도 또한 원통 형상인, 기판 프로세싱 장치.
  9. 제 6 항에 있어서,
    상기 마스크는 Al2O3, SiO2, AIN, BN, Si, SiC, Si3N4, TiO2, ZrO2, 또는 이들의 혼합물 및 화합물 중 하나 이상을 포함하는, 기판 프로세싱 장치.
  10. 제 1 항에 있어서,
    상기 윈도우 주위의 전자기계 (electromagnetic field) 를 유지하도록 구성되는 전자기계 소스를 더 포함하고, 상기 전자기계 소스는 전계 소스 또는 자계 소스를 포함하는, 기판 프로세싱 장치.
  11. 제 10 항에 있어서,
    상기 전자기계 소스는 상기 챔버의 다른 부분들에 대하여 상기 윈도우에 걸쳐 우선적으로 집중되는 자계를 제공하는, 기판 프로세싱 장치.
  12. 제 1 항에 있어서,
    상기 프로세스 모니터링 시스템은 상기 기판으로부터 반사되는 방사를 검출하여 신호를 생성하는 방사 검출기, 및 상기 신호를 필터링하는 필터를 포함하는, 기판 프로세싱 장치.
  13. 제 12 항에 있어서,
    상기 방사를 편광시키는 방사 편광자를 더 포함하는, 기판 프로세싱 장치.
  14. 제 12 항에 있어서,
    다른 신호 성분들에 대하여 상기 기판상에서 프로세싱되는 피쳐에 의해 반사되는 방사로부터 발생하는 신호 성분을 선택적으로 통과시키도록 상기 신호를 필터링함으로써, 상기 다른 신호 성분에 대하여 피쳐 반사된 방사 신호 성분의 세기를 증가시키는 대역통과 필터를 더 포함하는, 기판 프로세싱 장치.
  15. 제 14 항에 있어서,
    상기 대역통과 필터는 주파수 통과대역 내의 주파수들을 갖는 반사된 방사로부터 발생하는 신호 성분을 선택적으로 통과시키는, 기판 프로세싱 장치.
  16. 제 1 항에 있어서,
    상기 챔버는 기판 지지부, 가스 공급부, 가스 에너자이저, 및 가스 배출구를 포함하고,
    (ⅰ) 상기 장치는 (1) 프로세스 종료점에 관한 신호의 속성으로서, 상기 신호의, 밸리, 피크, 상향 슬로프 또는 하향 슬로프를 포함하는 속성을 검출하도록 상기 신호를 분석하고, (2) 상기 신호 속성의 검출시에 프로세스 조건을 변경시키도록, 상기 기판 지지부, 가스 공급부, 가스 에너자이저 및 가스 배출구 중 하나 이상을 동작시키는 제어기를 더 포함하는, 기판 프로세싱 장치.
  17. 챔버 내에서 기판을 프로세싱하는 방법으로서, 상기 방법은,
    (a) 상기 챔버 내에 상기 기판을 배치하는 단계,
    (b) 상기 기판을 프로세싱하기 위해 상기 챔버 내에 에너자이즈화된 가스를 공급하는 단계,
    (c) 상기 챔버의 벽 내의 리세스 내에 제공된 윈도우를 마스킹하는 단계, 및
    (d) 상기 벽의 리세스 내의 상기 윈도우를 통과하는 방사를 검출하여 상기 챔버 내에서 수행되는 프로세스를 모니터링하는 단계를 포함하는, 기판의 프로세싱 방법.
  18. 제 17 항에 있어서,
    적외 방사, 가시 방사 또는 UV 방사를 포함하는 방사를 투과할 수 있는 재료를 포함하는 윈도우를 통하여 프로세스를 모니터링하는 단계를 포함하고, 상기 윈도우는 Al2O3, Si, SiO2, TiO2, ZrO2 또는 이들의 혼합물 및 화합물 중 하나 이상을 포함하는, 기판의 프로세싱 방법.
  19. 제 17 항에 있어서,
    상기 마스크는 상기 윈도우 상의 프로세스 잔여물들의 증착을 감소시키는, 기판의 프로세싱 방법.
  20. 제 17 항에 있어서,
    상기 윈도우를 하나 이상의 개구를 갖는 마스크로 커버하는 단계를 포함하는, 기판의 프로세싱 방법.
  21. 제 17 항에 있어서,
    상기 윈도우 주위에 우선적으로 집중되는 자계 필드를 유지하는 단계를 포함하는, 기판의 프로세싱 방법.
  22. 제 17 항에 있어서,
    기판 반사된 방사인 방사를 검출하는 단계, 검출된 상기 방사로부터 신호를 생성하는 단계, 및 상기 신호를 필터링하는 단계를 포함하는, 기판의 프로세싱 방법.
  23. 제 22 항에 있어서,
    상기 기판으로부터 반사된 방사를 편광시키는 단계를 포함하는, 기판의 프로세싱 방법.
  24. 제 23 항에 있어서,
    상기 기판상에서 프로세싱되는 피쳐의 배향과 관련되는 하나 이상의 편광 각도로 상기 방사를 편광시키는 단계를 포함하는, 기판의 프로세싱 방법.
  25. 제 22 항에 있어서,
    다른 신호 성분들에 대하여 상기 기판상에서 프로세싱되는 피쳐에 의해 반사되는 방사로부터 발생하는 신호 성분을 선택적으로 통과시키도록 상기 신호를 필터링함으로써, 상기 다른 신호 성분에 대하여 피쳐 반사된 방사 신호 성분의 세기를 증가시키는, 상기 신호를 필터링하는 단계를 더 포함하는, 기판의 프로세싱 방법.
  26. 제 25 항에 있어서,
    피쳐 반사된 방사의 주파수에 대하여 선택된 주파수 통과대역 내의 주파수들을 갖는 반사된 방사로부터 발생하는 신호 성분을 선택적으로 통과시키도록 상기 신호를 필터링하는 단계를 포함하는, 기판의 프로세싱 방법.
  27. 제 22 항에 있어서,
    (ⅰ) 프로세스 종료점에 관한 상기 신호의 속성으로서, 상기 신호의, 밸리, 피크, 상향 슬로프 또는 하향 슬로프를 포함하는 속성을 검출하기 위해 상기 신호를 분석하는 단계, 및
    (ⅱ) 상기 신호 속성의 검출시에 프로세스 조건을 변경시키는 단계를 포함하는, 기판의 프로세싱 방법.
KR1020087015856A 2000-10-23 2001-10-23 반사된 방사를 이용하여 기판 프로세싱을 모니터링하는방법 KR100918932B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/695,577 2000-10-23
US09/695,577 US6831742B1 (en) 2000-10-23 2000-10-23 Monitoring substrate processing using reflected radiation
US09/803,080 2001-03-08
US09/803,080 US6559942B2 (en) 2000-10-23 2001-03-08 Monitoring substrate processing with optical emission and polarized reflected radiation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020037005580A Division KR100927557B1 (ko) 2000-10-23 2001-10-23 반사된 방사를 이용하여 기판 프로세싱을 모니터링하는 방법

Publications (2)

Publication Number Publication Date
KR20080065709A KR20080065709A (ko) 2008-07-14
KR100918932B1 true KR100918932B1 (ko) 2009-09-28

Family

ID=24793579

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020087015858A KR100918933B1 (ko) 2000-10-23 2001-10-23 반사된 방사를 이용하여 기판 프로세싱을 모니터링하는방법
KR1020037005580A KR100927557B1 (ko) 2000-10-23 2001-10-23 반사된 방사를 이용하여 기판 프로세싱을 모니터링하는 방법
KR1020087015856A KR100918932B1 (ko) 2000-10-23 2001-10-23 반사된 방사를 이용하여 기판 프로세싱을 모니터링하는방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020087015858A KR100918933B1 (ko) 2000-10-23 2001-10-23 반사된 방사를 이용하여 기판 프로세싱을 모니터링하는방법
KR1020037005580A KR100927557B1 (ko) 2000-10-23 2001-10-23 반사된 방사를 이용하여 기판 프로세싱을 모니터링하는 방법

Country Status (4)

Country Link
US (2) US6831742B1 (ko)
EP (2) EP1852691A2 (ko)
KR (3) KR100918933B1 (ko)
CN (2) CN101165867B (ko)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6049220A (en) 1998-06-10 2000-04-11 Boxer Cross Incorporated Apparatus and method for evaluating a wafer of semiconductor material
US6885444B2 (en) 1998-06-10 2005-04-26 Boxer Cross Inc Evaluating a multi-layered structure for voids
US6812047B1 (en) 2000-03-08 2004-11-02 Boxer Cross, Inc. Evaluating a geometric or material property of a multilayered structure
US6911349B2 (en) * 2001-02-16 2005-06-28 Boxer Cross Inc. Evaluating sidewall coverage in a semiconductor wafer
US6958814B2 (en) * 2002-03-01 2005-10-25 Applied Materials, Inc. Apparatus and method for measuring a property of a layer in a multilayered structure
US6971791B2 (en) * 2002-03-01 2005-12-06 Boxer Cross, Inc Identifying defects in a conductive structure of a wafer, based on heat transfer therethrough
US6955930B2 (en) * 2002-05-30 2005-10-18 Credence Systems Corporation Method for determining thickness of a semiconductor substrate at the floor of a trench
JP4165638B2 (ja) * 2002-09-02 2008-10-15 東京エレクトロン株式会社 プロセスの監視方法及びプラズマ処理装置
US6963393B2 (en) * 2002-09-23 2005-11-08 Applied Materials, Inc. Measurement of lateral diffusion of diffused layers
US6878559B2 (en) * 2002-09-23 2005-04-12 Applied Materials, Inc. Measurement of lateral diffusion of diffused layers
US6784110B2 (en) * 2002-10-01 2004-08-31 Jianping Wen Method of etching shaped features on a substrate
US6908846B2 (en) * 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
TWI240326B (en) * 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
JP4855625B2 (ja) * 2002-12-27 2012-01-18 東京エレクトロン株式会社 プラズマ処理装置の観測窓およびプラズマ処理装置
US6879109B2 (en) * 2003-05-15 2005-04-12 Axcelis Technologies, Inc. Thin magnetron structures for plasma generation in ion implantation systems
US6905624B2 (en) 2003-07-07 2005-06-14 Applied Materials, Inc. Interferometric endpoint detection in a substrate etching process
US20050009342A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Method for etching an organic anti-reflective coating (OARC)
JP4538209B2 (ja) * 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
SE526617C2 (sv) * 2003-10-01 2005-10-18 Sick Ivp Ab System och metod för att avbilda ett objekts egenskaper
US7233878B2 (en) * 2004-01-30 2007-06-19 Tokyo Electron Limited Method and system for monitoring component consumption
US7026175B2 (en) * 2004-03-29 2006-04-11 Applied Materials, Inc. High throughput measurement of via defects in interconnects
US7235474B1 (en) * 2004-05-04 2007-06-26 Advanced Micro Devices, Inc. System and method for imprint lithography to facilitate dual damascene integration with two imprint acts
US20060065626A1 (en) * 2004-09-27 2006-03-30 Smayling Michael C Detection and feed forward of exposed area to improve plasma etching
US20060114478A1 (en) * 2004-11-26 2006-06-01 Applied Materials, Inc. Evaluating effects of tilt angle in ion implantation
US7767927B2 (en) 2005-05-16 2010-08-03 Ultratech, Inc. Methods and apparatus for remote temperature measurement of a specular surface
FR2886015B1 (fr) * 2005-05-18 2007-07-13 Commissariat Energie Atomique Procede de mesure de la porosite par ellipsometrie et dispositif mettant en oeuvre un tel procede
CN100431096C (zh) * 2005-06-29 2008-11-05 联华电子股份有限公司 半导体晶片的处理方法
US7285767B2 (en) * 2005-10-24 2007-10-23 General Electric Company Methods and apparatus for inspecting an object
JP2007123766A (ja) * 2005-10-31 2007-05-17 Tokyo Electron Ltd エッチング方法、プラズマ処理装置及び記憶媒体
US7799661B2 (en) * 2006-01-03 2010-09-21 Freescale Semiconductor, Inc. Electrical sensor for real-time feedback control of plasma nitridation
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US7746473B2 (en) * 2007-05-24 2010-06-29 Applied Materials, Inc. Full spectrum adaptive filtering (FSAF) for low open area endpoint detection
US20090158265A1 (en) * 2007-12-13 2009-06-18 Matthew Fenton Davis Implementation of advanced endpoint functions within third party software by using a plug-in approach
US8436996B2 (en) * 2009-06-16 2013-05-07 Wen-li Wu Apparatus and method for enhancing the electromagnetic signal of a sample
JP5385875B2 (ja) 2010-08-26 2014-01-08 東京エレクトロン株式会社 プラズマ処理装置及び光学モニタ装置
US20150168132A1 (en) * 2012-02-13 2015-06-18 Nova Measuring Instruments Ltd. Method and system for use in optical measurements in deep three-dimensional structures
JP6009171B2 (ja) * 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
US9234836B2 (en) * 2012-11-15 2016-01-12 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Measurement of a fiber direction of a carbon fiber material and fabrication of an object in carbon fiber composite technique
KR102086362B1 (ko) * 2013-03-08 2020-03-09 삼성전자주식회사 편광화된 빛을 이용하여 공정을 모니터링하는 반도체 제조 설비 및 모니터링 방법
WO2015127547A1 (en) * 2014-02-27 2015-09-03 Walter Surface Technologies Inc. Industrial cleanliness measurement methodology
EP3038132B1 (en) * 2014-12-22 2020-03-11 IMEC vzw Method and apparatus for real-time monitoring of plasma etch uniformity
US9625256B1 (en) * 2015-12-23 2017-04-18 Intel Corporation Device, system and method for alignment of an integrated circuit assembly
KR102576702B1 (ko) * 2016-07-06 2023-09-08 삼성전자주식회사 증착 공정 모니터링 시스템, 및 그 시스템을 이용한 증착 공정 제어방법과 반도체 소자 제조방법
JP6820717B2 (ja) 2016-10-28 2021-01-27 株式会社日立ハイテク プラズマ処理装置
JP6953075B2 (ja) * 2017-08-09 2021-10-27 株式会社ディスコ 切削装置及びウェーハの加工方法
JP6788858B2 (ja) * 2017-08-30 2020-11-25 パナソニックIpマネジメント株式会社 水分量検出装置
US10576430B2 (en) * 2017-12-11 2020-03-03 General Electric Company System and method for manufacturing a membrane filter
DE102017130551A1 (de) * 2017-12-19 2019-06-19 Aixtron Se Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
WO2019003483A1 (ja) * 2018-01-31 2019-01-03 株式会社日立ハイテクノロジーズ プラズマ処理方法、及びプラズマ処理装置
US10978278B2 (en) 2018-07-31 2021-04-13 Tokyo Electron Limited Normal-incident in-situ process monitor sensor
EP3663706B1 (en) * 2018-12-06 2022-08-24 General Electric Company Quantitative multilayer assessment method
KR102445181B1 (ko) 2019-02-01 2022-09-20 주식회사 히타치하이테크 에칭 방법 및 플라스마 처리 장치
JP7290243B2 (ja) * 2019-03-29 2023-06-13 Tianma Japan株式会社 ガス検知装置
TW202113312A (zh) * 2019-05-23 2021-04-01 日商東京威力科創股份有限公司 使用高光譜成像的半導體製程光學診斷
WO2021192210A1 (ja) 2020-03-27 2021-09-30 株式会社日立ハイテク 半導体製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10239028A (ja) * 1997-02-27 1998-09-11 Toshiba Corp エッチング深さ測定方法及びその装置
JP2000294510A (ja) * 1999-04-02 2000-10-20 Matsushita Electric Ind Co Ltd 半導体装置の製造装置及び製造方法

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB202808A (en) 1922-07-14 1923-08-30 Edwin George Casey Multi-colour street standards advertising lamp
US3170383A (en) 1961-09-26 1965-02-23 Temescal Metallurgical Corp High vacuum observation apparatus
US3316468A (en) 1963-05-03 1967-04-25 Temescal Metallurgical Corp Viewing method and apparatus for high vacuum systems
US4037945A (en) 1975-04-01 1977-07-26 Wollam John S Indirect reflective window
US4175441A (en) 1978-05-08 1979-11-27 Tencor Instruments Gauge for measuring distance to planar surfaces and thicknesses of planar members
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
US4280354A (en) 1980-02-12 1981-07-28 Tencor Instruments Acoustic method and apparatus for measuring surfaces of wafers and similar articles
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
JPS61160926A (ja) 1985-01-09 1986-07-21 Toshiba Corp 光励起薄膜形成装置
JPS63253617A (ja) 1987-04-10 1988-10-20 Hitachi Ltd プラズマ処理装置
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4898471A (en) 1987-06-18 1990-02-06 Tencor Instruments Particle detection on patterned wafers and the like
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4844617A (en) 1988-01-20 1989-07-04 Tencor Instruments Confocal measuring microscope with automatic focusing
US4889676A (en) 1988-02-19 1989-12-26 Kla Instruments Corporation Method of molding a precision surface on an instrument table
US5014627A (en) 1988-02-19 1991-05-14 Kla Instruments Corporation Stable instrument bench with replicated precision surface
US4899055A (en) 1988-05-12 1990-02-06 Tencor Instruments Thin film thickness measuring method
US5030008A (en) 1988-10-11 1991-07-09 Kla Instruments, Corporation Method and apparatus for the automated analysis of three-dimensional objects
US5370765A (en) 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
JPH0665197B2 (ja) 1989-06-12 1994-08-22 日本高周波株式会社 反応性プラズマ生成用高周波電力導入装置
US4998019A (en) 1989-10-03 1991-03-05 Tencor Instruments Photoemission contaminant detector
JPH03122273A (ja) 1989-10-06 1991-05-24 Hitachi Ltd マイクロ波を用いた成膜装置
DE3942896A1 (de) 1989-12-23 1991-06-27 Zeiss Carl Fa Interferometrischer sensor zur messung von abstandsaenderungen einer kleinen flaeche
US5026437A (en) 1990-01-22 1991-06-25 Tencor Instruments Cantilevered microtip manufacturing by ion implantation and etching
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5160402A (en) 1990-05-24 1992-11-03 Applied Materials, Inc. Multi-channel plasma discharge endpoint detection method
US5257092A (en) 1990-06-27 1993-10-26 Asahi Kogaku Kogyo Kabushiki Kaisha Apparatus for measuring polarization and birefringence
US5131752A (en) 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5248889A (en) 1990-08-14 1993-09-28 Tencor Instruments, Inc. Laser apparatus and method for measuring stress in a thin film using multiple wavelengths
US5168386A (en) 1990-10-22 1992-12-01 Tencor Instruments Flat field telecentric scanner
US5225888A (en) 1990-12-26 1993-07-06 International Business Machines Corporation Plasma constituent analysis by interferometric techniques
JP3133355B2 (ja) 1991-03-04 2001-02-05 テンコール・インスツルメンツ 電気的に導通する材料の表面上の汚染物の存在および、あればその厚さを決定する方法
US5290383A (en) 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
US5129994A (en) 1991-04-23 1992-07-14 Applied Materials, Inc. Method and apparatus to inhibit obstruction of optical transmission through semiconductor etch process chamber viewport
US5321501A (en) 1991-04-29 1994-06-14 Massachusetts Institute Of Technology Method and apparatus for optical imaging with means for controlling the longitudinal range of the sample
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JP3197329B2 (ja) 1991-07-20 2001-08-13 ケイ・エル・エイ−テンコール・コーポレイション 表面検査装置
DE69208413T2 (de) 1991-08-22 1996-11-14 Kla Instr Corp Gerät zur automatischen Prüfung von Photomaske
US5241366A (en) 1992-03-04 1993-08-31 Tencor Instruments Thin film thickness monitor
EP0561015A1 (de) 1992-03-17 1993-09-22 International Business Machines Corporation Interferometrische Phasenmessung
JP3015198B2 (ja) 1992-04-23 2000-03-06 松下電工株式会社 画像処理におけるパターンマッチング方法
US5717204A (en) 1992-05-27 1998-02-10 Kla Instruments Corporation Inspecting optical masks with electron beam microscopy
US5277746A (en) 1992-07-27 1994-01-11 Texas Instruments Incorporated High pressure liquid phase epitaxy reactor chamber and method with direct see through capability
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5438413A (en) 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
EP0662241A1 (en) * 1993-04-28 1995-07-12 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
US5552704A (en) 1993-06-25 1996-09-03 Tencor Instruments Eddy current test method and apparatus for measuring conductance by determining intersection of lift-off and selected curves
KR0141659B1 (ko) 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
US5416594A (en) 1993-07-20 1995-05-16 Tencor Instruments Surface scanner with thin film gauge
JPH07280020A (ja) 1994-04-11 1995-10-27 Showa:Kk 緩衝器の車高調整装置
WO1996012981A1 (en) 1994-10-21 1996-05-02 Kla Instruments Corporation Autofocusing apparatus and method for high resolution microscope system
EP0715334B1 (en) 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5581350A (en) 1995-06-06 1996-12-03 Tencor Instruments Method and system for calibrating an ellipsometer
US5738756A (en) 1995-06-30 1998-04-14 Lam Research Corporation Method and apparatus for detecting optimal endpoints in plasma etch processes
EP0756318A1 (en) 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
JPH0997783A (ja) * 1995-09-28 1997-04-08 Nec Corp プラズマ処理装置
US5798829A (en) 1996-03-05 1998-08-25 Kla-Tencor Corporation Single laser bright field and dark field system for detecting anomalies of a sample
TW327236B (en) 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
US6090717A (en) 1996-03-26 2000-07-18 Lam Research Corporation High density plasma etching of metallization layer using chlorine and nitrogen
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5869833A (en) 1997-01-16 1999-02-09 Kla-Tencor Corporation Electron beam dose control for scanning electron microscopy and critical dimension measurement instruments
US6066849A (en) 1997-01-16 2000-05-23 Kla Tencor Scanning electron beam microscope
US5771094A (en) 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US6035868A (en) 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5800688A (en) 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
AU6977998A (en) 1997-04-21 1998-11-13 Tokyo Electron Arizona, Inc. Method and apparatus for ionized sputtering of materials
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6060328A (en) * 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
EP0908921A1 (en) 1997-10-10 1999-04-14 European Community Process chamber for plasma enhanced chemical vapour deposition and apparatus employing said process chamber
WO1999029923A1 (en) 1997-12-05 1999-06-17 Tegal Corporation Plasma reactor with a deposition shield
US5936734A (en) 1997-12-23 1999-08-10 J.A. Woollam Co. Inc. Analysis of partially polarized electromagnetic radiation in ellipsometer and polarimeter systems
CN1065926C (zh) * 1997-12-26 2001-05-16 复旦大学 金刚石红外增透滤光窗口及其制备方法
US6108087A (en) 1998-02-24 2000-08-22 Kla-Tencor Corporation Non-contact system for measuring film thickness
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US5917594A (en) 1998-04-08 1999-06-29 Kla-Tencor Corporation Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
WO2000020841A1 (en) 1998-10-05 2000-04-13 Kla-Tencor Corporation Interferometric system for measurement disturbance of a sample
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
US6052183A (en) 1999-04-14 2000-04-18 Winbond Electronics Corp In-situ particle monitoring
GB2384226A (en) 2002-01-09 2003-07-23 Alexander Wyllie Counterbalanced platforms

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10239028A (ja) * 1997-02-27 1998-09-11 Toshiba Corp エッチング深さ測定方法及びその装置
JP2000294510A (ja) * 1999-04-02 2000-10-20 Matsushita Electric Ind Co Ltd 半導体装置の製造装置及び製造方法

Also Published As

Publication number Publication date
US6559942B2 (en) 2003-05-06
KR20080065710A (ko) 2008-07-14
CN101165867A (zh) 2008-04-23
US6831742B1 (en) 2004-12-14
US20020048019A1 (en) 2002-04-25
KR20030066644A (ko) 2003-08-09
CN101127300B (zh) 2010-06-02
KR100927557B1 (ko) 2009-11-23
EP1852694A2 (en) 2007-11-07
CN101127300A (zh) 2008-02-20
KR100918933B1 (ko) 2009-09-28
CN101165867B (zh) 2010-06-16
KR20080065709A (ko) 2008-07-14
EP1852691A2 (en) 2007-11-07

Similar Documents

Publication Publication Date Title
KR100918932B1 (ko) 반사된 방사를 이용하여 기판 프로세싱을 모니터링하는방법
JP4841507B2 (ja) 基板を処理する装置及び方法
US7969581B2 (en) Determining endpoint in a substrate process
US6835275B1 (en) Reducing deposition of process residues on a surface in a chamber
US6406924B1 (en) Endpoint detection in the fabrication of electronic devices
US6449038B1 (en) Detecting a process endpoint from a change in reflectivity
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
EP1090420A1 (en) Endpoint detection in the fabrication of electronic devices
WO2002025696A9 (en) Reducing deposition of process residues on a surface in a chamber

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120830

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140828

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180903

Year of fee payment: 10