KR20010052752A - 개선된 공정 모니터링 윈도우를 가진 챔버 - Google Patents

개선된 공정 모니터링 윈도우를 가진 챔버 Download PDF

Info

Publication number
KR20010052752A
KR20010052752A KR1020007014044A KR20007014044A KR20010052752A KR 20010052752 A KR20010052752 A KR 20010052752A KR 1020007014044 A KR1020007014044 A KR 1020007014044A KR 20007014044 A KR20007014044 A KR 20007014044A KR 20010052752 A KR20010052752 A KR 20010052752A
Authority
KR
South Korea
Prior art keywords
window
process chamber
substrate
gas
processing
Prior art date
Application number
KR1020007014044A
Other languages
English (en)
Inventor
마이클 엔. 그림베르겐
수-유 키안
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010052752A publication Critical patent/KR20010052752A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판(30)을 처리하고 기판(30) 상에서 행해지는 공정을 모니터링하는 공정 챔버(35)는 지지부(45), 가스 분배기, 및 배출구(85)를 포함한다. 공정 챔버(35)는 전송된 광을 허용하는 윈도우(130)를 포함하는 벽을 갖는다. 기판(30)을 처리하는 동안 윈도우(130) 상에 처리 가스로부터의 공정 잔류물의증착을 감소시키기 위한 수단이 제공된다. 일례에서, 윈도우(130)는 마스크(140)를 지나 연장되는 1개 이상의 개구부(145)를 갖는 상부 마스크(140)로 덮혀 있는 투명 플레이트(135)를 포함하여 광이 개구부(145) 및 투명 플레이트(135)를 통해 전송될 수 있다.

Description

개선된 공정 모니터링 윈도우를 가진 챔버 {CHAMBER HAVING IMPROVED PROCESS MONITORING WINDOW}
집적 회로 제조시, 예를 들어 폴리실리콘, 실리콘 이산화물, 알루미늄 및 구리층과 같은 반도체층, 유전체층 및 도체층이 기판상에 증착되고 예를 들어 에천트 플라즈마로 에칭함으로서 연속적으로 처리되어 능동 소자를 형성한다. 이 층들은 화학 기상 증착(CVD), 물리 기상 증착(PVD), 열적 산화, 이온 주입 및 이온 확산과 같은 공정에 의하여 공정 챔버에서 기판상에 증착된다. 증착 후에, 포토레지스트의 레지스트층 또는 하드 마크는 증착된 층상에 부착되고 포토리소그래피로 패터닝된다. 레지스트 픽춰(feature)사이에 놓여 있는 증착된 층 부분은 RF 또는 마이크로파에 의하여 에너지가 공급된 할로겐 또는 기타 반응성 가스를 이용하여 에칭되어 에칭된 픽춰를 형성한다.
이러한 제조 공정에서, 공정 모니터링 시스템에 의하여 기판상에 수행되고 있는 공정을 인-슈트 모니터링할 것이 요구된다. 예를 들어, CVD 및 PVD 공정에서, 층의 적정한 두께가 증착된 후에 증착 공정을 중지시키는 것이 요구된다. 전형적인 공정 모니터링 시스템은 예를 들어 플라즈마 방출 분석법, 타원측정법(ellipsometry) 및 간섭측정법을 포함한다. 플라즈마 방출 분석법에서, 플라즈마의 방출 스펙트럼은 처리되는 층에서의 변화에 대응하는 화학적 구성의 변화를 결정하기 위하여 측정되는데, 이는 예를 들어 여기에 참고되는 미국특허 4,328,068에 개시되어 있다. 타원측정법에서, 편광된 광빔이 기판상의 층으로부터 반사되고 분석되어 층 두께 변화에 따라 발생하는 반사 광의 크기 변화 및 위상 시프트를 결정하기 위하여 분석되는데, 이는 예를 들어 미국특허 3,874,797 및 3,824,017에 개시되어 있으며, 상기 특허들은 여기에 참고된다. 간섭측정법에서, 편광되지 않은 광빔이 층으로부터 반사되고 분석되어 기판 상의 상부 표면과 하부 표면으로부터 반사된 광 성분의 간섭에 의해 발생하는 반사광의 크기 변화를 결정하는데, 이는 예를 들어 1990년 9월 4일 특허된 미국특허 4,953,982에 개시되어 있으며, 상기 특허는 여기에 참조된다. 이들 공정 모니터링 방법은 윈도우를 통하는 높은 강도의 광학 투과 신호를 요구하며 또한 상대적으로 기판의 넓은 표면 영역의 관측 및 신호 샘플링을 필요로 한다.
통상적인 공정 모니터링 시스템은 공정 챔버의 벽내의 윈도우를 통하는 광 투과 또는 광 간섭을 검출하고 측정하기 위한 광 센서 시스템을 포함한다. 윈도우는 특정 광파장을 투과시켜 광이 챔버 안 및 바깥으로 투과되도록 하는 한편 챔버에 진공 밀봉을 유지하도록 한다. 기판상의 층을 모니터링할 때, 투명 윈도우는 기판의 기판 조준선 방향으로 챔버 벽에 배치된다. 공정 모니터링 윈도우는 일반적으로 고온에서 견디는 석영으로 만들며, 윈도우 에지를 따라 배치된 O-링 밀봉부에 의해 챔버 표면에 대하여 밀봉된다.
그러나, 많은 증착 및 에칭 공정에서, 잔류 증착물과 부산물로된 얇고 탁한 필름이 챔버에서 기판이 처리될 때 공정 모니터링 윈도우에 증착된다. 이러한 공정 잔류물은 25 내지 50시간의 공정 처리 시간 동안 1미크론을 초과하는 비율로 위도우상에 증착된다. 증착된 공정 잔류물 필름은 윈도우를 통과하는 광 투과 성질 및 강도를 변경시킨다. 예를 들어, 플라즈마 방출 분석법에서, 잔류 증착물은 플라즈마의 광학 방출 스펙트럼으로부터 특정 파장의 광을 제거하여 공정 모니터링 측정에 에러를 발생시킨다. 타원측정법에서, 잔류 증착물은 윈도우를 통하여 투과되거나 반사되는 광빔의 편광 상태를 변경시켜 타원측정법에 에러를 발생시킨다. 다른 예로서, 간섭측정법에서, 상기 증착물은 윈도우를 통과하는 광을 흡수하여 광의 세기를 낮추어서 낮은 신호 대 잡음비를 발생시킨다.
이러한 문제점을 극복하기 위하여, 종래 공정 모니터링 윈도우는 주기적으로 교체되거나 세척되어 윈도우상에 형성된 잔류 증착물을 제거한다. 예를 들어, 통상적인 에칭 공정에서, 몇 개의 웨이퍼를 에칭하거나 또는 약 10시간 동안 점증적으로 동작한 후에, 챔버는 대기에 대하여 개방되고 "습식-세척" 공정에서 세척되는데, 여기서 조작자가 윈도우 및 챔버 벽상에 축적된 증착물을 제거하고 용해하도록 산 또는 솔벤트를 사용한다. 세척 후에, 챔버는 휘발성 산 또는 솔벤트 종을 배출하기 위하여 2 내지 3시간 펌핑 다운되고, 일련의 에칭이 더미 웨이퍼에 대하여 수행된다. 경쟁적인 반도체 산업에서, 상기와 같은 세척 공정 동안의 챔버 휴지 시간은 산출율을 감소시키고 기판당 처리 비용을 증가시킨다. 또한, 수동으로 수행되는 습식 세척 공정은 때때로 위험하고, 세척 품질은 기간별로 변동된다.
잔류 증착물 문제를 해결하기 위한 하나의 방법은 챔버에 대하여 개방된 긴 튜브에 배치된 리세스된 윈도우를 사용하는 것이다. 챔버내의 처리 가스 또는 플라즈마는 리세스된 윈도우에 도달하기 전에 튜브의 길이를 통하여 이동하여야 하기 때문에, 튜브내의 리세스된 윈도우의 표면상의 공정 잔류물의 증착은 상당히 감소된다. 그러나, 가늘고 긴 튜브의 높은 어스펙트비(길이/직경)는 챔버내의 충분히 큰 샘플링 영역을 모니터링하기 곤란하게 하며, 따라서 전체 광속을 감소시킨다. 이는 일군의 기판 또는 경우에 따라서는 단일 기판의 처리 중에 공정 모니터링 시스템의 정확도에 제한을 가한다. 또한, 가늘고 긴 튜브는 챔버 외부에 큰 공간을 필요로 하는데 이는 빈틈이 없는 크린룸 공간에 바람직하지 못하며, 튜브는 또한 공정 챔버의 다른 부품 내에 또는 사이에 고정하는 것이 곤란하다.
다른 해결책으로서, 공정 모니터링 윈도우는 공정 잔류 증착물의 증착을 방지하기 위하여 선택적으로 가열되는데, 이는 공동으로 양도된 1992년 7월 14일 특허된 에빙 등의 미국특허 5,129,994에 개시되어 있다. 그러나, 일부 공정에는 적합하지만, 가열은 모든 형태의 증착물이 윈도우 상에 농축되고 증착되는 것을 방지하지 못하며, 어떤 공정에서는 가열은 윈도우상의 공정 잔류물의 증착율을 증가시킨다.
다른 방법으로서, 감광성 장치가 이용되어 챔버/기판으로부터의 광 방출 또는 반사 신호를 샘플링하고 흐린 윈도우를 통과하는 광 신호의 신호 대 잡음비를 증가시키도록 상기 샘플링된 데이터를 수학적으로 조정하는데, 이는 예를 들어 1998년 4월 14일 특허된 리우의 미국특허 5,738,756에 개시되어 있다. 그러나, 복잡한 수학적 조정은 공정 반응 시간을 지연시킬 수 있다. 에칭 공정에서, 작은 시간의 지연이라 하더라도 하부층, 특히 하부의 폴리실리콘층의 원치않는 변경 또는 격자 손상을 초래할 수 있다. 또한, 이들 공정은 항상 식별할 수 있는 신호를 제공하기에 충분한 크기로 신호 대 잡음비를 증가시킬 수 있는 것은 아니다. 신호가 너무 작으면, 제조 공정은 결코 종료되지 않으며, 신호가 너무 크면 공정은 영구적으로 종료된다.
윈도우상에 증착된 공정 잔류물은 특히 두꺼운 상부층의 에칭이 상대적으로 얇은 하부층을 통해 에칭되기 전에 정지되어야 하는 에칭 공정을 모니터링할 때 문제가 된다. 예를 들어, 상대적으로 두꺼운층을 에칭하기 위하여 이용되는 침투적인 할로겐 함유 에천트 가스는 정확하고 신뢰성있는 공정 모니터링 시스템이 없으면 얇은 하부층을 제어할 수 없이 에칭하거나 손상시킨다. 이는 특히 얇은 게이트 산화물 하부층을 노출시키기 위하여 폴리실리콘 상부층을 에칭할 때 문제가 된다. 폴리실리콘 에칭 공정 후에, 게이트 산화물층의 나머지 두께가 공칭의 미리설정된 두께에 인접하게 되는 것이 바람직하다. 게이트 산화물층은 얇아지기 때문에, 게이트 산화물층을 과도하게 에칭하지 않고 폴리실리콘 상부층을 정확하게 에칭하는 것은 어렵다. 액티브한 에천트 플라즈마에 실리콘을 노출시킴으로써 하부 실리콘에 변화 또는 격자 손상을 초래하지 않고 게이트 산화물층상의 에칭 공정을 중지시키는 것이 더 바람직하다. 이러한 공정 제어 형태는 신뢰성있고 일관성있게 수행되는 공정 모니터링 시스템에 의해서만 가능하다.
따라서, 기판의 연속 공정 중에 과도한 신호 손상 없이 챔버의 기판 처리를 모니터링하는 챔버 및 공정 모니터링 시스템이 필요하다. 또한 기판상의 공정 잔류물의 증착을 방지하거나 감소시키고 반응성 할로겐 가스 및 플라즈마에서 부식률이 낮은 공정 모니터링 윈도우가 요구된다. 또한, 정확하고 반복가능한 처리 결과(특히, 얇은 하부층상의 두꺼운 상부층을 에칭할 때)를 제공하는 기판 공정 모니터링 방법이 요구된다.
본 발명은 공정 챔버 및 공정 모니터링 윈도우에 관한 것이다.
도 1은 윈도우 및 중복 마스크를 기술하는 본 발명에 따른 공정 챔버의 일 실시예를 도시한 개략적인 단면도.
도 2는 본 발명에 따른 다른 공정 챔버의 개략적인 단면도.
도 3a는 본 발명에 따른 경사진 윈도우 및 중복 마스크를 가진 또 다른 공정 챔버의 개략적인 단면도.
도 3b는 본 발명에 따른 마스크의 일실시예에 대한 개략적인 평면도.
도 4a는 윈도우 및 중복 마스크의 다른 실시예에 대한 개략적인 측면도.
도 4b는 도 4a의 윈도우 및 중복 마스크에 대한 개략적인 평면도.
도 5는 검사 마스크의 다른 크기를 가진 개구부의 어스펙트비의 함수로써 처리 잔류물의 순수 증착을 도시한 그래픽.
도 6a는 윈도우의 자기 플럭스를 유지하는 자기장 소스를 도시하는 다른 공정 챔버 실시예에 대한 개략적인 부분 측면도.
도 6b는 개구부를 가진 맞선 자기극쌍을 가진 영구자석을 도시한 도 6a의 윈도에 대한 평면도.
도 6c는 개구부의 자기 플럭스 라인을 도시하는 도 6b의 윈도우에 대한 개략적인 평면도.
도 6d는 개구부 둘레의 다수의 자기극을 포함하는 윈도우 및 자기장 소스의 개략적인 평면도.
도 7은 윈도우 및 이 윈도우 뒤에서 전극을 가진 전기장 소스를 포함하는 다른 공정 챔버의 개략적인 단면도.
도 8a는 윈도우 및 이 윈도우 뒤에 있는 전극을 가진 다른 공정 챔버 실시예의 개략적인 부분 측면도.
도 8b는 슬롯을 감소시키는 에디 전류의 어레이를 도시한 도 8a의 전극에 대한 개략적인 평면도.
도 8c는 전극 및 에디 전류 슬롯의 다른 실시예에 대한 개략적인 평면도.
도 8d는 전극 및 에디 전류 슬롯의 다른 실시예에 대한 개략적인 평면도.
본 발명은 높은 정확도와 재현가능성을 가지고 기판을 처리하고 기판상에 수행된 공정을 모니터링하는 공정 챔버를 제공한다. 챔버는 지지부, 처리 가스 분배기 및 배출 시스템을 포함한다. 챔버는 광이 투과되도록 하는 윈도우를 포함하는 벽을 가진다. 윈도우는 적어도 하나의 개구가 연장되는 마스크에 의하여 커버되는 투명 플레이트를 포함하여, 기판상에 수행되는 공정을 모니터링하기 위하여 마스크의 개구와 투명 플레이트를 통하여 광이 투과되도록 한다. 투명 플레이트를 커버하는 마스크는 기판이 지지부상에 유지되고 가스 분배기에 의하여 분배되고 배출 시스템에 의하여 배출된 처리 가스에 의하여 처리되는 공정 중에 처리 가스 부산물 또는 기타 증착물이 윈도우 상에 증착되는 것을 방지한다.
다른 실시예에서, 본 발명은 기판을 지지하는 수용면을 가진 지지부를 포함하는 공정 챔버를 포함한다. 가스 분배기는 공정 챔버에서 기판을 처리하고 처리 가스 부산물을 형성하기 위해 처리 가스를 제공한다. 공정 챔버에서 기판의 처리 중에 공정 챔버에 대하여 광을 투과하는 제 1수단이 제공된다. 공정 챔버에 형성된 처리 가스 부산물의 증착을 감소시키기 위하여 상기 제 1수단을 마스킹하는 제 2수단이 제공된다. 펌프를 포함하는 배출시스템은 공정 챔버로부터 처리 가스 및 처리 가스 부산물을 배출시킨다.
다른 실시예에서, 본 발명은 기판을 처리하는 방법을 제공하는데, 상기 방법은 공정 존에 기판을 배치하는 단계 및 기판을 처리하기 위하여 공정 챔버에 제 1공정 조건을 유지하는 단계를 포함하며, 상기 제 1공정 조건은 에너지를 가진 처리 가스를 상기 공정 존에 제공하는 것을 포함한다. 입사 광빔은 기판상에 입사될 공정 존에 인접한 윈도우를 통하여 전달된다. 윈도우를 통과한 측정가능한 반사 광빔의 세기는 윈도우상의 처리 가스 부산물의 증착을 감소시키도록 윈도우에 대하여 개구를 가진 마스크를 유지함으로써 측정된다. 기판으로부터 반사된 반사 광빔의 성질이 측정된다. 제 1공정 조건은 반사 광빔의 성질 측정과 관련하여 제 2공정 조건으로 변경된다.
다른 실시예에서, 본 발명은 하부층을 에칭 또는 손상시키지 않고 기판상의 소정 층을 에칭하는 방법을 포함한다. 상기 방법은 공정 존에 기판을 배치하는 단계 및 기판상의 층을 에칭하고 처리 가스 부산물을 형성하도록 공정 존에 공정 조건을 유지하는 단계를 포함하며, 상기 공정 조건은 처리 가스 혼합비와 유속, 처리 가스 에너자이저의 전력 레벨, 처리 가스 압력 및 기판 온도중 하나 이상을 포함한다. 에칭 엔드포인트는 기판상에 입사될 공정 존에 인접한 윈도우를 통하여 입사광빔이 전달되는 단계(1), 윈도우상의 처리 가스 부산물의 증착을 감소시키기 위하여 윈도우에 대하여 개구를 가진 마스크를 유지함으로써 윈도를 통한 반사 광빔의 측정가능한 강도를 유지하는 단계(2) 및 기판상의 층을 통하여 에칭하기 바로 전에 기판으로부터 반사된 반사 광빔의 성질을 측정하는 단계(3)에 의하여 기판상의 층을 통하여 에칭하기 바로 전에 검출된다.
다른 실시예로서, 본 발명은 기판상에 수행된 공정을 모니터링하기 위해 벽에 배치된 윈도우 및 윈도를 통하여 자속을 제공하는 자기장 소스를 가지는 공정 챔버에 관한 것이다. 챔버는 지지부, 처리 가스 분배기 및 배출 시스템을 포함하며, 이에 의하여 지지부상에 유지된 기판은 에너지를 가진 처리 가스에 의하여 처리되고 공정 챔버에 공정 잔류물을 형성하고 그리고 자기장 소스는 윈도우상에 공정 잔류물의 증착을 감소시키기 위하여 윈도우를 통하여 자속을 제공한다.
다른 실시예로서, 본 발명은 반도체 기판을 처리하는 공정 챔버를 포함하며, 상기 공정 챔버는 윈도우 및 상기 윈도우에 자속을 유지하는 수단을 포함한다. 공정 챔버는 지지부, 처리 가스 분배기 및 배출 시스템을 더 포함한다. 지지부상에 유지된 기판은 에너지를 가진 처리 가스에 의하여 처리되어 공정 챔버에 공정 잔류물을 형성시킨다. 윈도우를 통하여 자속을 유지하는 상기 수단은 윈도우상의 공정 잔류물의 증착을 감소시킨다.
본 발명은 또한 기판을 처리하는 방법을 포함하는데, 상기 방법은 공정 챔버에 기판을 배치하는 단계 및 기판을 처리하기 위하여 공정 챔버에 제 1공정 조건을 유지하는 단계를 포함하며, 상기 제 1공정 조건은 공정 챔버에 에너지를 가진 처리 가스를 제공하는 것, 공정 챔버 벽내의 윈도우에 자속을 유지하는 것, 윈도우를 통하여 입사 광빔을 전달하는 것 및 반사 광빔의 성질 측정과 관련하여 상기 제 1공정 조건을 제 2공정 조건으로 변경하는 것을 포함한다.
다른 실시예로서, 본 발명은 기판상에 수행된 공정을 모니터링하기 위해 벽에 배치된 윈도우 및 윈도우에 전기 에너지를 결합시키는 전기장 소스를 가지는 공정 챔버에 관한 것이다. 챔버는 지지부, 처리 가스 분배기 및 배출 시스템을 포함한다. 이에 의하여 지지부상에 유지된 기판은 에너지를 가진 처리 가스에 의하여 처리되어 공정 챔버에 공정 잔류물을 형성하고 그리고 윈도우에 결합된 전기 에너지는 윈도우상에 공정 잔류물의 증착을 감소시킨다.
다른 실시예로서, 본 발명은 반도체 기판을 처리하는 공정 챔버를 포함하며, 상기 공정 챔버는 윈도우 및 상기 윈도우를 전기적으로 바이어싱시키는 수단을 포함한다. 공정 챔버는 지지부, 처리 가스 분배기 및 배출 시스템을 더 포함한다. 이에 의하여 지지부상에 유지된 기판은 에너지를 가진 처리 가스에 의하여 처리되어 공정 챔버에 공정 잔류물을 형성시키며, 윈도우를 전기적으로 바이어싱시키는 상기 수단은 윈도우상의 공정 잔류물의 증착을 감소시킨다.
다른 실시예로서, 본 발명은 또한 기판을 처리하는 방법을 포함하는데, 상기 방법은 공정 챔버에 기판을 배치하는 단계 및 기판을 처리하기 위하여 공정 챔버에 공정 조건을 유지하는 단계를 포함하며, 상기 공정 조건은 공정 챔버에 에너지를 가진 처리 가스를 제공하는 것, 공정 챔버의 벽에 윈도위를 제공하는 것 및 윈도우의 표면에 전기 플러스를 유지하는 것을 포함한다. 전기 플럭스는 윈도우의 평면에 수직한 전기장 성분을 가진다.
이하에서는 도면을 참조로하여 본 발명을 더 상세히 설명할 것이다.
본 발명의 반도체 처리장치(20) 및 처리 감시시스템(25)은 반도체 기판(30)상에 집적회로를 제조하기 위하여 사용된다. 도 1에 개략적인 도시된 처리장치(20)는 기판(30)을 처리하는 처리영역(40)과 처리영역(40)에서 기판(30)을 지지하는 지지부(45)를 가지는 공정 챔버(35)를 포함한다. 정전기 척(50)은 기판(30)의 처리동안 지지부(45)상에 기판(30)을 유지시킨다. 처리영역(40)은 기판(30)을 둘러싸며 약 10,000 내지 50,000cm3의 체적을 포함한다. 공정 챔버(35)는 편평한 직사각형 천장(55), 또는 아치형, 원뿔형, 돔형 또는 다중반경 돔형인 천장을 포함할 수 있다. 바람직하게, 천장(55)은 가스 에너자이저(60)가 처리영역(40)의 전체 체적에 전력을 균일하게 제공하도록 돔형으로 형성되어, 편평한 천장(55)보다 기판표면에 에너지 공급 가스종의 밀도를 균일하게 제공한다.
처리가스는 처리 가스소스(70), 가스흐름 제어밸브(75) 및 처리가스 분배기(80)를 포함한다. 가스 분배기(80)는 기판(30)의 주변 또는 주변 둘레에 배치된 가스 배출구(도시안됨) 또는 배출구를 가진 공정 챔버(35)의 천장(55)상에 장착된 샤워헤드를 포함할 수 있다. 소모된 처리 가스 및 에천트 부산물은 공정 챔버(35)에 약 103mTorr의 최소 압력을 유지할 있는 배출시스템(85)(1000 리터/sec 펌프 및 1000 내지 2000 리터/sec 터보분자 펌프와 같은 진공펌프(90)를 포함함)을 통해 공정 챔버(35)로부터 배출된다. 스로틀 밸브(95)는 소모된 처리가스의 흐름과 공정 챔버(35)내의 처리가스의 압력을 제어하기 위하여 배출시스템(85)에 제공된다.
가스 에너자이저(60)는 에너지 공급 가스종을 형성하기 위하여 처리가스에 전자기 에너지를 결합한다. 도 1에 도시된 실시예에 있어서, 가스 에너자이저(60)는 RF 전류를 인덕터 안테나(100)에 공급함으로써 유도결합을 통해 처리영역(40)에 처리가스를 직접 공급하기 위하여 공정 챔버(35)를 둘러싸는 인덕터 안테나(100)를 포함한다. 선택적으로, 처리가스는 공정 챔버(35)의 지지부(45) 및 천장(55)에 의해 형성된 처리전극을 포함하는 가스 에너자이저(60)에 RF 전압을 공급함으로써 용량성 결합에 의해 공급된다. 도 1의 공정 챔버(35)에서, 천장(55)은 RF 에너지를 용량적으로 결합하는 처리전극으로써 그리고 RF 에너지를 공정 챔버(35)에 유도적으로 공급하는 윈도우로써 기능하기 위하여 반도체 재료를 포함한다. 인덕터 안테나(100) 또는 처리전극(45,55)에 공급된 RF 에너지의 주파수는 전형적으로 약 50KHz 내지 약 60MHz, 더 전형적으로 약 13.56MHz이다. 바람직하게, 전극 전원(102)에 의하여 처리전극(45,55)에 공급된 RF 전압은 약 1 내지 500와트의 바이어스 전력 레벨을 가지며, 코일 전원(104)에 의하여 인덕터 안테나(100)에 공급된 RF 전류는 약 500 내지 약 2000와트의 소스 전력 레벨을 가진다.
도 2는 처리가스가 공정 챔버(35)에 인접한 튜브 또는 실린더와 같이 원격 챔버(105)에서 가스 에너자이저(60)에 의해 여기되거나 활성화되는 처리장치(20)의 다른 실시예를 도시한다. "원격"에 의하여, 원격 챔버(105)의 중심이 공정 챔버(35)의 중심으로부터 소정의 상단 거리에 있다는 것을 의미한다. 원격 챔버(105)는 원격 챔버(105)로 유입된 처리가스를 활성화하기 위하여 적절한 소스로부터 원격영역(110)에 전자기 에너지의 마이크로파 또는 다른 주파수를 결합하는 가스 에너자이저(60)를 포함한다. 적절한 마이크로파 소스는 마이크로파 애플리케이터(115), 마이크로파 동조 어셈블리(120) 및 마그네트론 발생기(125)를 포함하며 약 200 내지 약 3000와트의 전력 레벨에서 그리고 약 800MHz 내지 약 3000MHz의 주파수에서 동작된다.
처리장치(20)의 다른 실시예에 있어서, 에너지 공급 처리가스의 이온의 균일성 및 밀도는 영구자석 또는 전자기 코일(129)과 같은 전자 사이클론 공진 또는 자기장 발생기(127)를 사용하여 강화될 수 있다. 예컨대, MxP+OXIDE ETCH 챔버는 캘리포니아 산타클라라에 위치한 어플라이드 머티어리얼스 인코포레이티드에 의해 판매되며 1989년 6월 27일에 특허가 허여되고 여기에 참조에 의해 통합되는 미국특허 제4,842,683호에 개시되어 있다. 도 3a를 참조하면, 처리가스는 처리영역(40)내에 유입되고 공정 챔버(35)의 지지부(45) 및 천장(55) 또는 측벽에 RF 전력을 공급함으로써 발생된 전기장에 의해 여기된다. 바람직하게, 전기장은 기판(30)의 평면에 평행하게 회전하는 자기장의 축과 함께 회전 자기장을 포함한다. 공정 챔버(35)의 자기장은 에너지 공급 처리가스내에 형성된 이온의 밀도를 증가시키기 위하여 충분히 강해야 하며 CMOS 게이트와 같은 기판(30)상에 형성된 형상에 대한 전하축적 손상을 감소시키기 위하여 충분히 균일해야 한다. 일반적으로, 기판(30)의 표면상에서 측정되는 자기장은 약 500 가우스이하, 더 전형적으로 약 10 내지 약 100가우스, 더 전형적으로 약 10가우스 내지 약 30 가우스이다.
처리감시시스템(25)은 공정 챔버(35)로부터 방사되거나 또는 공정 챔버(35)내로 공급되는 광에 투명한 공정 챔버 천장(55) 또는 벽의 윈도우(130)를 통해 공정 챔버(35)내에 수행되는 처리과정을 감시한다. 처리감시시스템(25)은 에칭된 층의 진행을 감시하기 위하여 사용되며 기판(30)상의 하부층의 손상을 방지한다. 적절한 처리감시시스템(25)은 광학 방사, 타원계 및 간섭계에 기초한 검출기를 포함한다. 광학 방사 검출기는 하부층의 에칭시작을 지시하는 화학물질의 변화를 검출하기 위하여 에너지 공급 처리가스의 종에 의하여 방사된 광 스펙트럼의 특정 라인의 변화를 검출한다. 탄원계는 기판(30)의 표면에 예각으로 광빔을 투영하며, 기판(30)상의 투명막의 상부 및 하부표면으로부터 반사되는 광빔의 부분사이의 위상 시프트를 검출한다. 간섭계는 기판(30)상의 투명막의 상부 및 하부표면으로부터 광빔을 반사시킨다. 그러나, 간섭계는 반사된 광빔사이의 구성적 또는 부정적 간섭의 크기를 측정함으로써 기판(30)상의 막의 두께를 결정하며, 기판(30)의 표면에 대하여 예각으로 입사 광빔을 투영할 필요가 없다. 사실상, 간섭계는 기판(30)의 표면에 대하여 거의 직각으로, 즉 90°에 근접한 각도로 광빔을 공급한다. 광방사 검출기와 다르게, 간섭계 검출기는 에칭된 층아래에 있는 하부층에 도달하기전에 반도체 에칭처리를 검출하여 정지시키도록 사용될 수 있다. 또한, 광빔이 거의 직각으로 전달되기 때문에, 간섭계는 타원계의 낮은 각도 빔을 차단하는 높은 어스펙트비를 가진 형상을 에칭하기 위하여 사용될 수 있다. 따라서, 공정 챔버(35)내에서 수행되는 에칭공정의 종단을 검출하기 위하여 간섭계 시스템을 사용하는 것이 일반적으로 바람직하다.
도 4a 및 도 4b를 참조하면, 본 발명에 따른 윈도우(130)는 투명 플레이트(135) 및 투명 플레이트(135)로부터 연장되는 적어도 하나의 개구부(145)를 가진 중복 마스크(140)을 포함한다. 마스크(140)는 광이 기판(30)상에서 수행되는 처리를 감시하기 위하여 투명 플레이트(135)를 통해 그리고 마스크(140)의 개구부(145)를 통해 전송될 수 있도록 공정 챔버(35)의 내부와 맞선 투명 플레이트(135)의 표면을 커버한다. 투명 플레이트(135)를 커버하는 마스크(140)는 윈도우(130)상의 처리 잔류물, 부산물 및 다른 증착물의 증착을 감소시키기 위하여 사용되어, 기판(30)상에서 수행되는 처리를 정확하고 재생가능하게 감시할 수 있다. 윈도우(130)를 통해 전송된 광은 간섭계 또는 타원계 원리에 기초하여 처리감시시스템(25)을 위해 사용되는 기판(30)으로부터 반사되는 광 또는 플라즈마 방사 분해에 대한 플라즈마 스펙트럼을 포함한다. 윈도우(130)는 입사 광빔(148a)이 기판(30)의 중심 근처에 광의 수직입사를 제공하기에 충분히 큰 각도로 기판(30)상에 입사될 수 있도록 하는 형상 크기를 가지고 배치된다. 일반적으로, 윈도우(130)는 원형, 타원형 또는 다각형을 포함하는 임의의 형상을 포함할 수 있다.
윈도우의 투명 플레이트(135)는 처리감시시스템(25)에 사용되는 전자기 방사의 선택된 주파수가 통과하는 고체 투명재료로 만들어진다. 바람직하게, 투명 플레이트(135)는 종점 검출 시스템에 입사 광빔(148a)을 제공하기 위하여 사용된 광 소스(150)로부터의 자외선, 가시광선 및 적외선이 통과되도록 한다. 입사 광빔(148a)이 산란에 의하여 감쇠되는 것을 막기 위하여, 투명 플레이트(135)의 양 표면은 광이 그곳을 통해 전송될 수 있도록 충분히 작은 피크 투 피크 RMS 거칠기가 스무스하게 폴리싱된다. 바람직하게, 투명 플레이트(135)의 피크 투 피크 RMS 거칠기는 약 1um이하, 바람직하게 약 0.1um이하이다. 투명 플레이트(135)의 표면은 임의의 적정 수단, 예컨대 프레임 폴리싱 또는 종래의 래핑 및/또는 마멸 방법에 의하여 스무스하게 폴리싱될 수 있다.
투명 플레이트(135)는 동일한 결정방향으로 지향된 약간(전형적으로 10 이하) 큰 수정을 포함하는 단결정 재료인 세라믹 다결정 재료로 만들어지며 광 또는 가시광선의 특정 파장이 통과된다. 바람직하게, 다결정 재료는 예컨대 Al2O3, Si, SiO2, TiO2, ZrO2또는 이들의 혼합물 및 화합물중 하나 또는 다수와 같은 세라믹을 포함한다. 다결정 세라믹 재료는 특정 플라즈마 또는 다른 처리환경에서의 높은 부식저항을 막기 위하여 선택된다. 바람직한 실시예에 있어서, 투명 플레이트(135)는 할로겐 플라즈마 환경, 특히 플루오르 함유 환경에서 높은 화학적 부식 저항을 막는 알루미늄의 다결정 형태인 폴리싱 사파이어를 포함한다. 다결정 사파이어는 1000℃를 초과하는 온도, 바람직하게 2000℃를 초과하는 온도에서 윈도우(130)를 사용하는 고용해 온도를 가진다.
일 실시예에 있어서, 투명 플레이트(135)는 투명 플레이트(135)로부터 반사된 광이 처리감시시스템(25)으로 다시 반사되지 않도록 표면 기판(30)의 평면에 비해 작은 각도로 경사지고, 그 결과 처리 감시에서 큰 신호 이득을 발생시킨다. 경사면의 적정 각도는 적어도 약 2도, 더 바람직하게 약 2 내지 약 15도이다. 예컨대, 도 3a는 기판(30)의 평면에 대하여 약 3도정도 기울어진 경사진 투명 플레이트(135)를 도시한다. 경사진 플레이트(135)는 투명 플레이트(135)의 에지 이하의 상승된 스텝(152)을 제공함으로써 투명 플레이트의 양 측면/에지보다 높은 투명 플레이트(135)의 측면 또는 에지를 상승시킴으로써 기울어진다. 스텝은 투명 플레이트(135)의 직경에 따라 약 0.5 내지 약 5mm인 사각 또는 기울면에 따른 크기를 가진다.
투명 플레이트(135)를 덮는 마스크(140)는 투명 플레이트(135)상의 공정 챔버(35)내에 형성된 처리가스 부산물의 증착을 감소시키기 위하여 사용된다. 마스크(140)는 처리 가스로부터 형성된 플라즈마 및/또는 처리가스에 의한 부식을 방지하는 재료로 만들어진다. 바람직하게, 마스크(140)는 Al2O3, SiO2, AlN, BN, Si, SiC, Si3N4, TiO2, 또는 ZrO2중 하나 또는 다수를 포함하는 플라즈마 저항재료로 만들어진다. 도 4b를 참조하면, 마스크의 일 실시예는 윈도우의 투명 플레이트(135)의 전체 노출부분을 덮기 위한 형상을 가진 알루미늄 산화물의 두꺼운 디스크를 포함한다. 바람직하게, 투명 플레이트(135)가 디스크를 포함할 때, 마스크(140)는 디스크의 표면을 덮기 위한 크기 및 형상을 가진 우측 실린더를 포함한다. 더 바람직하게, 마스크(140)는 주변 환상립(154)을 가진 상승된 중심부(153)를 가진 디스크를 포함한다. 상승된 중심 디스크 부분의 두께는 약 0.5mm 내지 약 500mm이다. 마스크의 상승된 중심부(153)의 직경은 약 50mm 내지 약 200mm이다. 환상 립(154)의 두께는 약 0.5mm 내지 약 10mm이며, 스무스하게 라운딩된 에지는 상승된 중심 디스크 및 환상 립(154)사이의 전이를 형성한다.
마스크(140)는 충분한 광의 세기가 처리감시시스템(25)을 동작시키기 위하여 개구부를 통과하도록 하며 윈도우(130)의 투명 플레이트(135)로의 에너지 공급 처리가스 종의 액세스를 제어하는 적어도 하나의 개구부(145)를 포함한다. 개구부(145)의 단면적은 공정 챔버(35)로부터 인입 및 배출되는 광 플럭스의 양이 충분할 만큼 충분히 크다. 개구부(145)는 실리더형 또는 삼각형, 6각형, 사각형 및 직사각형을 포함하는 다각형일 수 있으며, 다수의 개구부(145)가 공정 챔버(35)내로 그리고 공정 챔버(35)로부터 광의 통과를 개선시키도록 근접하게 이격될 수 있도록 하기 때문에 6각형이 바람직하다. 개구부(145)의 어스펙트비는 윈도우(130)의 투명 플레이트(145)로의 에너지 공급 처리가스종의 액세스를 제어한다. 바람직하게, 개구부(145)의 어스펙트비는 약 0.25:1 내지 약 12:1이다.
일실시예에 있어서, 개구부(145)는 투명 플레이트(135)로의 처리가스의 액세스를 제한 및 감소시키는 형상 및 크기를 가지며, 투명 플레이트(135)상의 처리가스 부산물 및 다른 증착물의 증착을 막는다. 이는 처리가스의 중성 플럭스의 액세스를 제한하기에 충분히 큰 개구부(145)의 어스펙트비(개구부의 높이 대 직경/폭의 비)를 형성함으로써 달성되며, 개구부(145)내로 그리고 윈도우(130)의 하부 투명 플레이트(135)상으로 처리 잔류물을 형성하기 위하여 압축하는 휘발된 처리가스 부산물을 액세스한다. 바람직하게, 어스펙트비는 약 1:1 내지 약 2:1, 바람직하게 약 3:1 내지 약 7.5:1이다.
도 3b에 기술된 다른 실시예에 있어서, 마스크(140)의 개구부의 형상 및 크기는 다른 메커니즘에 의하여 윈도우(135)의 하부 투명 플레이트(135)상의 처리 잔류물의 축적을 감소시키기 위하여 선택된다. 이러한 실시예에 있어서, 개구부(145)의 어스펙트비는 처리가스의 이온이 개구부에 입력될 수 있도록 충분히 작으며 개구부(145)의 측면상에 및/또는 투명 플레이트(135)의 표면상에 형성된 처리 잔류물을 에칭한다. 이러한 실시예에 있어서의 개구부(145)는 매우 낮은 어스펙트비를 제공하기 위하여 그것의 높이에 대하여 충분한 크기의 직경 또는 폭을 가진다. 낮은 어스펙트비는 높은 지향성 플라즈마 종의 높은 비율이 개구부(145)내로 입력되도록 플라즈마 종을 필터링하며 개구부(145)의 측벽 및 투명 플레이트(135)의 표면상에 증착된 처리 잔류물을 스퍼터 에칭한다. 적절한 어스펙트비는 약 0.25:1 내지 약 3:1이며, 바람직하게 약 0.5:1 내지 약 2:1이다.
마스크(140)는 다수의 개구부(145), 바람직하게 이미 기술된 개구부 어레이를 포함할 수 있다. 바람직하게, 개구부(145)의 전체 영역은 입사 광빔(148a) 및 반사된 광빔(148b) 또는 플라즈마 방사 분석을 위한 플라즈마 스펙트럼 플럭스의 적정 레벨 및 세기를 전송하기에 충분히 크다. 바람직하게, 간섭계 또는 타원계 시스템을 포함하는 처리감시시스템(25)에 대하여, 개구부(145)에 의해 노출된 투명 플레이트(135)의 전체 영역은 입사 광빔(148a)이 처리 종점 측정을 만드는 비아, 또는 깊고 좁은 트렌치 또는 적절한 평면 및/또는 투명점과 같은 특정 형상을 찾기 위하여 기판(30)의 표면 전반에 걸쳐 이동 또는 스캐닝되도록 하는 크기를 가진다. 예컨대, 300mm 기판을 처리하기 위하여 사용된 공정 챔버(35)에 있어서, 개구부(145)의 영역은 바람직하게 약 200 내지 약 2000mm2(약 0.3 내지 약 3 in2)이어야 하며, 더 바람직하게 약 400 내지 약 600mm2(약 0.6 내지 약 0.9in2)이어야 한다.
다른 실시예에 있어서, 도 4a 및 도 4b에서, 마스크(140)는 서로 이격되어 있는 다수의 개구부(145)를 포함할 수 있다. 예컨대, 마스크는 처리감시시스템(25)을 동작시키기 위하여 충분한 세기의 광이 통과되도록 하는 전체 개방영역을 가지는 크기 및 배열을 가진다. 개구부(145)의 실제 크기, 수 또는 구조는 특정 공정 챔버(35), 기판 직경, 공정, 및 처리감시시스템(25)의 형태에 따른다. 간섭 광학 시스템에 특히 유용한 일실시예에 있어서, 마스크(140)는 약 0.1 내지 약 50mm의 직경 또는 폭과 약 0.5mm 내지 약 500mm의 높이와 같은 개방 크기를 가진 개구부(145)를 포함한다. 어레이는 도 4b에 도시된 바와같이, 바람직하게 약 3 내지 약 800 개구부(145)로 이루어지며, 더 바람직하게 약 7 내지 약 200 개구부(145)로 이루어진다. 개구부(145)는 약 0.25 내지 약 15mm의 간격만큼 서로 이격되어 있다. 또한 도 3b에 도시된 바와같이, 어레이는 여러 크기의 개구부(145), 예컨대 약 3.5 내지 약 5mm의 평균 크기를 가지는 중심부에 있는 제 1 개구부(145a) 및 약 2 내지 약 3mm의 직경을 가진 원주 에지에 있는 제 2개구부(145b)를 포함할 수 있다.
윈도우(130)를 덮는 마스크(140)가 윈도우(130)의 투명 플레이트(135)상의 처리 잔류물의 증착을 상당히 감소시키는 것이 발견되었다. 예컨대, 중복 마스크(140) 및 윈도우(130)는 종래의 비보호 윈도우에서 발생하는 것에 비하여 약 100배 낮은 약 3 내지 약 10Å/hr 이하로 폴리실리콘 에칭처리에서의 에천트 잔류물의 증착을 감소시킨다. 더욱이, 마스크(140)는 윈도우(130)가 높은 화학적 반응 처리가스에 의하여 부식되는 것을 막으며 윈도우(130)의 수명을 연장시킨다. 또한, 본 발명에 따른 마스크(140)를 가진 윈도우(130)를 포함하는 공정 챔버(35)의 "풋프린트"(클린룸의 점유영역)는 종래의 클린 윈도우 시스템을 가진 다른 공정 챔버(35)의 "풋프린트"보다 매우 작다.
본 발명의 공정 챔버(35) 및 윈도우(130)는 간섭계, 원형계 또는 플라즈마 방사 분석과 같은 처리감시방법을 사용할 수 있다. 처리감시윈도우(130)상의 감소된 잔류물 증착은 다수의 기판(30)을 처리한후 조차 정확하고 신뢰성 있는 판독을 제공하는 레벨로 처리감시시스템(25)의 신호대 잡음비를 증가시킨다. 이들 측정기술의 신뢰도는 고속 동작 주파수 집적회로를 제공하기 위하여 기판(30)상에의 얇은 막의 증착 및 에칭에 대한 필수적인 처리제어를 제공한다. 더욱이, 공정 챔버(35)가 윈도우(130)의 표면을 세정하기 위하여 자주 개방되지 않기 때문에, 공정 챔버 효율성 및 기판 스루풋이 강화된다.
본 발명에 따른 윈도우(130)를 사용하는 공정 챔버 및 공정 모니터링 시스템(125)의 동작을 이하 설명한다. 상술된 것처럼, 공정 모니터링 시스템(25)은 에칭 공정의 엔드포인트를 계산하도록 공지된 또는 저장된 특정값으로 반사된 광빔의 세기 및/또는 위상 각도와 같은, 반사된 광빔(148b)의 특성을 비교하는 간섭측정법(interferometry) 또는 타원편광방사측정법(ellipsometry) 시스템 일 수 있다. 바람직하게, 공정 모니터링 시스템(25)은 공정 챔버(35)에서 공정 조건을 조절하는 컴퓨터 제어기(155)를 포함한다. 공정 엔드포인트를 검출함에 따라, 컴퓨터 제어기(155)는 전체 층이 에칭 공정으로 완전히 에칭되거나 또는 중지되기 전에 기판(30) 상에 있는 층의 에칭비를 변화시키기 위해 제 1 공정 조건에서 제 2 공정 조건으로 변한다. 예를 들어, 에칭비는 적극적(aggressive) 에천트 가스를 제거하기 위해 처리 가스의 조성물을 변화시킴으로써 감소될 수 있고, 상기 처리 가스에 연결된 RF 전력이 낮아질 수 있고, 또는 기판 온도를 낮출 수 있다.
적절한 컴퓨터 제어기(155)는 공정 챔버(35)를 작동시키는 컴퓨터 프로그램 코드 제품 및 예를 들어, 캘리포니아 산타클라라에 있는 Intel Corporation에서 상업적으로 시판되는 PENTIUM 마이크로프로세서와 같은 주변 제어 부품을 갖춘 메모리 시스템에 상호연결된 1개 이상의 중앙 처리 장치(CPU)를 포함한다. 또한, 컴퓨터 제어기(155)의 CPU는 공정 챔버(35)의 특정 부품을 작동시키는 ASIC(응용 주문형 집적 회로)를 포함할 수 있다. 작업자와 컴퓨터 제어기(155) 사이의 인터페이스는 CRT 모니터 및 광펜(도시되지 않음), 또는 키보드, 마우스 또는 포인팅 커뮤니케이션 장치와 같은 다른 장치들을 포함할 수 있다.
광원(150)은 층이 측정가능한 세기로, 적절한 두께를 갖는 경우, 기판(30) 상에 있는 층으로부터 반사되는 반사된 광빔(148b)을 제공하기에 충분히 높은 세기를 갖는 입사 광빔(148a)을 발생시키는 단색성 또는 다색성 광원(150)을 포함한다. 일부 변형에 있어, 광원(150)은 약 200 내지 약 600 나노미터의 파장인 광 방출 스펙트럼을 발생시키는 Hg-Cd 램프와 같은 다색성 광을 제공한다. 다색성 광원(150)은 선택된 주파수를 갖는 입사 광빔을 제공하도록 필터링될 수 있고, 특정 방출 스펙트럼 파장을 사용가능하며, 또는 광필터(도시되지 않음) 광 검출기(16)로 들어오는 반사된 광빔(148b)의 세기를 측정하기 전에 원하는 광파장을 제외하고 모든 원치않는 파장을 필터링하기 위해 반사된 광빔(148b)을 검출하기 위한 광 검출기(160)의 정면에 위치될 수 있다. 또한 광원(150)은 예를 들어, He-Ne 또는 ND-YAG 레이저와 같은 선택된 광파장을 제공하는 단색성 광원(150)을 포함할 수 있다.
1개 이상의 볼록 초점 렌즈(165)는 기판 표면상에 있는 빔 스폿 또는 조준된 빔과 같은 광원(150)으로부터의 입사 광빔(148a)을 집속시키고 광검출기(160)의 활성 표면상에서 뒤로 반사된 광빔(148b)을 집속시키는데 사용된다. 빔 스폿의 크기 및 영역은 비아 또는 협폭 트렌치와 같은 작은 개구부를 갖는 높은 어스펙트비의 에칭을 허용하도록 기판(30)의 표면 구조의 변화에 대해 보상되도록 충분히 넓어야 한다. 반사된 광빔(148a)의 영역은 광검출기(160)의 활성 광 검출 표면의 상당부를 활성화시키기 위해 충분히 커야 한다.
선택적으로, 광빔 위치결정기(170)는 에칭 공정을 모니터링하기 위해 빔 스폿을 "대기(park)" 시기도록 에칭되는 층의 적절한 부분에 위치되도록 기판 표면에 거쳐 입사 광빔(148a)을 이동시키는데 사용된다. 광빔 위치결정기(170)는 기판 표면의 상이한 위치상에서 광원(150)으로부터의 입사 광빔(148a)을 편향시키고, 반사된 광빔(148b)을 차단하여 광검출기(160) 상 집속시키기 위해 작은 각도로 회전하는 1개 이상의 제 1 밀러(175)를 포함한다. 또다른 실시예에서, 광빔 위치결정기(170)는 처리공정 동안 기판 표면에 거쳐 래스터 패턴에 광빔을 주사하는데 사용된다. 이러한 변형에서, 광빔 위치결정기(170)는 광원(150), 집속 어셈블리, 수집 렌즈, 및 검출기가 장착된 이동식 스테이지(도시되지 않음)로 구성된 주사 어셈블리를 포함한다. 이동식 스테이지는 스텝퍼 모터와 같은 구동 시스템에 의해 설치 간격으로 이동될 수 있고 기판 표면에 거쳐 빔 스폿이 이동한다.
광검출기(160)는 광전지 셀, 광다이오드, 또는 광트랜지스터와 같은 광 민감성 표면을 갖는 전기 부품을 포함하며, 이들은 광 민감성 표면으로 입사하는 반사된 광빔(148b)의 세기에 응답하는 신호를 제공한다. 신호는 전기 부품에 인가되는 전압 변화 또는 전기 부품을 통해 흐르는 전류 레벨 변화의 형태를 나타낸다. 바람직하게, 반사된 광빔(148b)은 기판(30)사에 있는 막두께 또는 트렌치가 증가 또는 감소함에 따라 반사된 광빔(148b)의 세기를 증가 또는 감소시키는 보강간섭 및/또는 상쇄간섭을 거치게 되며, 광검출기(160)는 반사된 광빔(148b)의 측정된 세기에 관련하여 전기적 출력 신호를 제공한다. 컴퓨터 시스템은 광검출기(160)로부터 신호를 수신하여, 저장된 값 또는 파형과 신호를 비교하여, 신호와 관련하여 프로그램된 지침값에 따라 공정 챔버(35)에서의 공정 조건을 변화시킨다.
예시적 에칭 공정을 참조로 본 발명에 따른 기판을 처리하는 방법의 예를 설명하며, 게이트 산화물(실리콘 이산화물) 하부층상에 있는 폴리실리콘 상부층은 하부층을 에칭 또는 손상시키지 않고 에칭된다. 초기에, 반사율 두께를 측정하는 장치는 캘리포니아, 산타클라라에 있는 KLA-TENCOR에서 시판되는 UV1050 모델과 같은, 기판(30) 상에서 에칭되는 층의 초기 두께를 정확하게 검출하는데 사용된다. 정확한 층두께는 에칭 공정의 전체 작업시간을 추정하거나 에칭 공정후에 기판(30)상에 남아있는 층의 예정된 두께를 제공하도록 에칭되는 층의 두께를 계산하는데 사용된다.
기판(30)은 슬릿 밸브를 통해 로드-락(load-lock) 전송 챔버(180)로부터 로봇암(도시되지 않음)로 공정 챔버(35)의 처리 영역(40)으로 전송된다. 기판(30)은 정전기척(50)에 의해 보유되는 지지체(45)상에 위치된다. 선택적으로, 열 전송 가스는 기판(30)의 온도를 제어하기 위해 정전기척(50)의 표면으로 홀(185)을 통해 공급된다. 그후, 공정 챔버(35)에서의 공정 조건은 기판(30) 상에 있는 특정 층을 처리하도록 설정되며 처리 가스 부산물을 형성하게 되며, 상기 공정 조건으로는 1개 이상의 처리 가스 조성물 및 흐름비, 가스 에너자이저(60)의 전력 레벨, 가스 압력, 및 기판 온도를 포함한다. 또한 공정은 다중 스테이지에서 행해질 수 있으며, 예를 들어, 각 스테이지는 상이한 공정 조건을 갖는다. 예를 들어, 에칭 공정에서, 기판(30)을 에칭하는 에천트 가스를 포함하는 활성화된 처리 가스의 1개 이상의 조성물은 공정 챔버(35)에 제공된다. 기판(30) 상에 있는 층을 에칭하는 적절한 에천트 가스로는, 예를 들어, HCl, BCl3, HBr, Br2, Cl2, CCl4, SiCl4, SF6, F, NF3, HF, CF3, CF4, CH3F, C2H2F2, C2H4F6, C2F6, C3F8, C4F8, C2HF5, C4F10, CF2Cl2, CFCl3, O2, N2, He 및 이들의 혼합물을 포함한다. 전형적으로 공정 챔버(35)는 약 0.1 내지 약 400mTorr 범위의 압력으로 유지된다. 에천트 가스 조성물은 높은 에칭비 및/또는 하부층을 기준으로 상부층을 에칭하기 위한 선택성이 높은 에칭비를 제공하도록 선택된다. 다층이 차후 에칭되는 경우, 제 1, 제 2, 제 3 에천트 가스 조성물이 각각의 층을 에칭하기 위해 공정 챔버(35)로 차후 주입된다.
처리 가스는 기판(30)을 에칭하기에 적합한 제 1 공정 조건으로 활성화되어 유진된다. 도 1을 참조로, 활성화된 처리 가스는 가스 에너자이저(60)를 사용하여 처리 영역(40) 속의 유도적 및/또는 용량적 결합 에너지에 의해, 또는 도 2에 도시된 것처럼, 원격 챔버(105)의 원격 영역(110)으로 에천트 가스에 마이크로파를 인가함으로써 처리 영역(40)에 제공된다. 활성화된 처리 가스는, 처리 가스가 1개 이상의 분열된 종, 비-분열 종, 이온 종, 및/또는 중성 종(neutral species)은 보다 화학적으로 반응성이 있는 높은 에너지 상태로 여기되도록 활성화 또는 에너지화된다는 것을 의미한다. 바람직하게, 처리 가스는 공정 챔버(35)를 둘러싸는 인덕터 안테나(100)에 RF 소스 전류를 인가함으로써 또는 처리 전극에 RF 바이어스 전압을 인가함으로써 활성화된다. 에천트 가스는 공정 챔버(35)로부터 배출되는 휘발성 가스 종을 형성하도록 기판(30) 상에 있는 층을 에칭하는 이온 및 중성자를 형성하도록 인가된 전계에서 이온화된다.
기판(30) 상에 있는 주어진 층 두께가 처리된 후, 공정 조건을 정확하게 변화시키기 위해 공정 모니터링 시스템(25)이 사용된다. 에칭 공정에서, 공정 모니터링 시스템(25)은 특정 에칭비 또는 에칭 선택비를 제공하기 위해 처리 가스 조성물을 변화시키는데 사용될 수 있다. 예를 들어, 공정 모니터링 시스템(25)은
에천트 가스에 불소가 함유된 가스가 존재로 인해 높은 에칭비를 제공하는 제 1의 높은 적극적(aggressive) 에칭 단계 후에 에칭 공정을 중지시키고, 보다 제어된 에칭을 얻기 위해서 보다 느린 에칭비로 남아있는 유전체층 에칭하도록 불소를 함유한 가스가 없는 처리 가스를 사용하는, 제 2 및 덜 반응성이 있는 에칭 단계에 대해 시작점을 검출하기 위해 사용될 수 있다. 공정 모니터링 시스템(25)은 에칭되는 실리콘층의 거의 대부분에서 시간을 검출하는데 사용되어 제 1 공정 조건이 덜 적극적으로 변화되거나 또는 제 2 공정 조건, 또는 반대로, 에칭비, 에칭 선택비에서의 원하는 변화, 또는 에칭 공정 다른 특성, 예를 들어, 높은/낮은 에칭비 또는, 상이한 조성물을 갖는 하부층의 에칭을 변화를 얻게된다.
일반적으로, 본 발명의 방법에서, 입사 광빔(148b)은 공정 챔버(35)의 처리 영역(40)으로 활성화된 처리 가스를 지나 전송되어, 층이 에칭하면서 기판(30)을 덮는 층상으로 입사된다. 이러한 심험은 Hg-Cd 램프로 구성된 광원(150)을 사용하여 행해진다. 이러한 광원(150)으로부터의 광빔은 기판 상에서 에칭되는 1개 이상의 픽춰를 덮는 충분한 큰 크기를 갖는 빔 스폿을 제공하도록 수직각 부근에서 기판(30)상으로 입사되어 윈도우(130) 향한다. 입사광빔(148a)은 대부분 단지 비-편광된 광으로만 구성되며, 이는 편광된 광이 우선적으로 공정 윈도우(130)상에 얇은 잔류물 증착시킴으로써 흡수되기 때문이다.
층두께가 충분히 낮은 경우(시간 주기 동안 에칭 후) 기판(30) 상에 있는 층의 상부 및 하부 표면 모두로부터 반사되는 반사된 광빔(148b)의 특성이 측정된다. 반사된 광빔의 세기 또는 위상과 같은, 측정된 특성 변화는 측정된 파형 패턴을 형성하도록 시간에 거쳐 기록된다. 측정된 파형 패턴은 저장된 파형 패턴과 비교되며, 상기 두 신호가 거의 동일한 경우, 에칭 공정의 엔드포인트에 이른 것이다. 이때, 제 1 공정 조건은 반사된 광빔의 특성의 특성과 관련하여 제 2 공정 조건으로 변화된다. 예를 들어, 제 1 공정 조건은 기판(30) 상에 있는 층의 에칭비, 또는 전체 층이 완전히 에칭되기 전에, 하부층을 기준으로 에칭 선택비의 변화로, 에칭 공정을 변화시키기 위해 제 2 공정 조건으로 변한다.
또한 반사된 광빔(148b)의 측정된 세기는 측정된 파형 패턴을 얻도록 기간에 따라 구획될 수 있으며, 상기 측정된 파형 패턴은 본 명세서에서 참조로 하며, Grimbergen 등에 의해 1998년 4월 17일 출원된 공동 양도된 미국특허출원 제 09/062,520호에 개시된 것처럼, 2개의 파형이 서로 동일하거나 또는 거의 같은 경우 발생하는 에칭 공정의 엔드포인트를 검출하도록 예정된 특정 파형 패턴과 비교된다. 본 방법에서, 컴퓨터 제어기(155)는 반사된 광빔(148b)의 세기 변화에 대응하는 다수의 파형 패턴을 갖는 파형 스펙트럼을 제공하기 위해서, 시간에 거쳐 반사된 광빔(148b)의 세기의 전기적 출력 신호를 구획한다. 컴퓨터 제어기(155)는 기판(30) 상에서 처리되는 층두께로부터 반사된 광의 실시간 파형 스펙트럼을 계산하고 저장된 특정 파형 패턴으로 측정된 세기의 파형을 비교하고 2개 파형이 거의 동일한 형상 및 형태를 갖는 경우 공정 챔버(35)에서 공정 조건을 조절한다. 측정된 파형 패턴이 반사된 파형 패턴에서 피크(peak) 또는 딥(dip) 마지막 바로 전에 일어나는 반복적 파형 진동을 포함하는 경우 층을 처리하는 스테이지의 완성을 결정하며, 상기 피크 또는 딥 마지막은 층을 처리하는 완성부에 해당한다. 또한 컴퓨터 프로그램은 실시간으로 기판(30) 상에 남아 있는 에칭되는 층두께를 계산하여, 공정 챔버(35)에서의 공정 조건을 조절하는 프로그램 코드를 포함할 수 있다. 또한 컴퓨터 프로그램은 반사된 광빔의 세기에서 최대 및 최소 피크 수를 계산하여, 프로그램된 지침서에 따라 공정 챔버(35)의 공정 조건을 변화시킨다.
본 발명의 또다른 면에서, 인슈트 또는 건조 세정 공정은 공정 챔버(35)의 작을 강화시키기 위해 본 발명의 공정 모니터링 방법과 결합하여 수행될 수 있다. 본 방법에 있어, 기판(30) 상에 있는 제 1층은 공정 챔버(35)의 벽에 형성되는 잔류 증착물 및 처리 가스 부산물을 제거하는 높은 에칭 비율 및 공정 챔버 세정 가스를 제공하는 에천트 가스 조성물을 포함하는 처리 가스에 의해 에칭 공정의 제 1 스테이지에서 에칭된다. 세정 가스는 얇은 하부 게이트 산화물층을 빠르게 완전히 에칭하는 극도로 적극적인 불소를 함유한 가스이기 때문에, 공정 모니터링 시스템(25)은 제 1 층을 완전히 에칭하기 바로 전에 세정 가스를 제거하도록 처리 가스의 조성물을 변화시키고 공정 엔드포인트를 검출하는데 사용된다. 공정의 제 1 스테이지에서의 세정 가스는 습식 세정 공정을 수행하기 위한 기판(30)의 일괄 처리 공정 사이에서 에칭을 중단시키지 않고도 공정 챔버(35)를 세정한다. 바람직한 실시예에서, 에천트 가스는 1개 이상의 Cl2, N2, O2, HBr 또는 He-O2를 포함한다; 그리고 세정 가스는 1개 이상의 NF3, CF4, 또는 SF8와 같은 불소를 함유한 무기성 비-탄화수소를 포함한다. 바람직하게, 세정 가스대 에천트 가스의 체적 흐름비는 제 1 스테이지가 완성됨에 따라 공정 챔버 표면으로부터의 모든 잔류 증착물 및 처리 가스 부산물을 거의 모두 제거하도록 선택된다. 보다 바람직하게, 공정 챔버(35)를 세정하는 동안 개별 세정 단계를 행하지 않고도, 세정 가스대 에천트 가스의 체적 흐름비는 공정 챔버(35)에서 2000개 이상의 기판(30)을 처리하는 동안 형성된 모든 잔류 증착물 및 처리 가스 부산물을 제거하도록 선택된다. 세정 가스대 에천트 가스의 바람직한 체적 흐름비는 약 1:20 내지 약 1:1, 보다 바람직하게는 약1:10 내지 약 2:3이며, 보다더 바람직하게는 약 2:3이다. 이러한 체적 흐름비는 공정 챔버 표면을 손상시키지 않고 공정 챔버 표면상의 거의 모든 에천트 잔류물을 제거하는 것으로 밝혀졌다. 또한, 공정 챔버 표면은 개별 공정 챔버 조건 또는 시즈닝(seasoning) 단계 없이, 에천트 및 세정 가스 조합 단계에 의해 세정되고 조절된다. 적절한 세정 가스 조성물은 앞서 설명된 미국 특허 출원 09/062,520호에서 제공된다.
실시예
이하 실시예는 본 발명에 따른 윈도우(130) 및 마스크(140)를 갖춘 공정 챔버(35)의 사용에 대해 설명한다. 이들 실시예에서, 2500Å 폴리실리콘층, 45Å 이산화실리콘층, 및 2000Å 패턴화된 레지스트층을 갖는 일련의 200mm 실리콘 기판(30)이 에칭된다. 다단계 공정이 기판(30) 상에 있는 폴리실리콘층을 에칭하는데 사용된다. 제 1 또는 주요 에칭 스테이지에서, 50sccm CF4및 40sccm SF6를 포함하는 활성화된 처리 가스가 레지스트층에 있는 개구부에 노출된 폴리실리콘층 두께가 거의 완전히 에칭되도록 공정 챔버(35)에 제공된다. 처리 가스는 인덕터 안테나(100)에 750 와트의 소스 전력 및 처리 전극(45,55)에 90와트의 바이어스 전력을 인가함으로써 활성화된다. 공정 챔버 압력은 약 2 내지 약 3m Torr로 유지된다. 공정 엔드포인트가 공정 모니터링 시스템(25)을 사용하여 검출된 후, 주요 에칭 스테이지는 중단되며 남아있는 폴리실리콘은 하부 이산화 실리콘층을 손상시키지 않고제 2 또는 오버-에칭 스테이지에서 제거된다. 오버에칭 스테이지에서, 60sccm SF6를 포함하는 제 2 활성화된 처리 가스가 공정 챔버(35)로 주입되고, 60와트의 소스 전력과 1와트의 바이어스 전력에 의해 활성화된다. 공정 챔버(35)는 약 10mTorr 압력으로 유지된다.
공정은 가변 두께의 마스크(140)를 포함하는 윈도우(130) 및 투명 플레이트(135)상에 있는 공정 잔류물의 축적을 감소시키는데 효과적이게 결정되는 가변수, 직경, 및 어스펙트비를 갖는 개구부(145)를 갖춘 공정 챔버(35)에서 행해진다. 일반적으로, 마스크(140)는 도 4b에 도시된 것처럼 얇은 환형 립(154)으로 둘러싸인 중심이 상승된 부분(153)을 갖는 산화 알루미늄 디스크를 포함한다. 마스크(140)는 투명 플레이트(135)로부터 약 0.038〃 부근에 위치되며, 사파이어 또는 Kapton과 같은 에칭 저항성 물질이 에칭 개구부(145)를 통해 노출된 투명 플레이트(135) 부분을 차폐시키기 위해 마스크(140)와 투명 플레이트(135) 사이에 위치된다. 작동 상태에 있는 공정 챔버(35)의 전체 시간이 기록되며 특정 시간이 흐른후 윈도우(130)는 제거되며 투명 플레이트(135)로부터 제거된 물질의 양 및/또는 그위에 증착된 공정 잔류물 두께가 DekTak, 또는 Alpha-단계와 같은 스타일러스 단계 높이 측정 장치를 사용하여 측정된다. 투명 플레이트(135)를 지나는 광 전송 또한 공지된 세기의 광원 및 전송된 광의 세기를 정확히 측정할 수 있는 광 검출기를 사용하여 측정된다.
실시예 1;
제 1 실시예에서, 마스크(140)는 19mm(0.75〃) 두께의 중심이 상승된 부분(153)을 갖고, 19개의 6각형 패턴의 개구부를 포함하며, 각각은 3.8mm(0.15〃) 폭과 5:1의 어스펙트비를 갖는다. 본 실시예는 직경이 작고 윈도우(130)의 투명 플레이트(135) 상에 있는 공정 잔류물의 증착을 감소시키는 높은 어스펙트비를 갖는 개구부(145)의 어레이를 설명한다. 80분 동안 챔버에서 에칭한후, 윈도우(130)는 디스어셈블리되고, 사파이어 슬라이드는 제거되고, 투명 플레이트(135)는 처리 잔류물의 축적 및 투명 플레이트(135)의 에칭을 결정하기 위하여 스타일러스(stylus) 단계 높이 측정 명령을 사용하여 스캔된다. 작은 구멍(145)의 높은 어스펙트비는 플라즈마 종을 형성하는 모든 잔류물이 투명 플레이트(135)에 도달하지 못하도록 하기 때문에, 투명 플레이트(135)의 에칭을 식별할 수 없다. 게다가, 투명 플레이트(135)상 처리 잔류물의 두께는 특정될 수 있는 제한치, 즉 600Å 이하이다. 투명 플레이트(135)를 통한 광의 전송 변화율은 검출 제한치, 즉 1% 이하이다.
실시예 2
이 실시예에서, 마스크(140)는 0.75" 두께의 상승된 중앙부(153) 및 0.1" 내지 1"의 가변하는 직경을 가지는 원형 구멍(145)으로 구성된다. 공정 챔버(35)가 80분동안 동작된후, 마스크(140)는 통상적인 윈도우와 비교하여 투명 플레이트(135)상 증착을 상당히 감소시키는 것을 발견하였다. 윈도우(130)는 부가적으로 18 및 25 시간의 동작후 다시 대체되고, 세척되지 않고, 시험된다. 25 시간의 동작후 잔류 증착물의 축적, 및 다양한 크기의 구멍(145)에 대한 투명 플레이트(135)의 에칭은 표 1에서 요약된다.
표 1
홀 크기(인치) 어스펙트비 중앙 두께(옴스트롱) 에지 에칭(옴스트롱) 150 시간후 245 NM의 투영 전송
1" 0.75 4000 내지 5000 5mm에서 -3000 내지 -6000Å 에지에서 높음:중앙에서 중간
0.5" 1.5 0 -2500Å 높음
0.25" 3 550 내지 650 0.5mm에서 -250Å 중간
0.2" 3.75 410 내지 500 없음 중간 내지 높음
0.15" 5 170 내지 200 없음 높음
0.1" 7.5 70 내지 100 없음 높음
도 5의 그래프를 참조하여, 구멍(145)의 크기가 커지고 어스펙트비가 증가할때, 구멍(145)쪽에 처리 잔류 증착물의 증착에 사용되는 중성 플라즈마 종의 흐름이 점차적으로 감소되고 투명 플레이트(135)에 완전히 도달될 수 없게 한다는 것이 발견되었다. 투명 플레이트(135)상 처리 잔류물의 순수 증착물은 처음에 구멍(145)의 직경이 0.5" 내지 0.25"로 감소될때 증가하고, 그후 구멍(145)이 보다 작아지는데 기여하면 감소한다. 대조하여, 구멍 크기가 0.5" 이상으로 증가하고 어스펙트비가 2:1 내지 0.75:1로 감소될때, 에칭이 구멍(145)의 측벽 또는 에지 근처에서 주로 진행되는 동안 증착은 구멍(145)의 중앙으로 제어된다. 대조하여, 약 1 내지 약 2의 어스펙트비를 가지는 구멍(145)에 대하여, 구멍의 전체 폭을 실질적으로 가로질러 순수 증착 비율이 네가티브이고, 이것은 구멍(145)에 단지 활성적인 플라즈마 종만이 진입되게 한다.
자기장 제한
본 발명의 다른 실시예에서, 도 6a에서 도시된 바와같이, 공정 챔버(35)는 윈도우(130) 근처 또는 윈도우를 가로질러 자기 플럭스를 유지하기 위한 수단으로서 제공 또는 사용하기 위하여 제공된 자기장 소스(195)를 포함한다. 지지부(45)상에 홀딩된 기판(30)이 에너지화된 처리 가스에 의해 처리될때, 윈도우(130)의 일부를 가로질러 연장하는 자기 플럭스는 윈도우(135)의 투명 플레이트(135)상 처리 잔류물의 증착을 감소시킨다. 자기장 소스(195)는 윈도우(130) 표면의 적어도 일부를 가로질러 자기 에너지 또는 플럭스를 결합하기 위하여 윈도우(130)에 인접하게 배치된 적어도 하나의 영구 자석(200) 또는 전자석(도시되지 않음)을 포함한다. 바람직하게, 자기장 라인은 윈도우(130) 주위 공간으로 한정되고, 공정 챔버(35)의 얕은 깊이만 또는 전혀 관통하지 않는다.
바람직한 자기장 소스(195)는 투명 플레이트(135)의 일부를 가로질러 및 윈도(130)의 평면으로 연장하는 자기장 성분을 제공하기 위하여 윈도우 주변에 배열된 영구 자석(200)을 포함한다. 바람직하게, 자기장 소스(195)는 공정 챔버(35)의 다른 부분에서의 밀도보다 윈도우를 가로질러 보다 높은 밀도를 가지며 윈도우(130)의 에지에서 끝나는 윈도우(130)를 가로지르는 로컬화된 자기 플럭스를 제공한다.
윈도우(130)를 가로질르는 자기 플럭스는 충전된 처리 가스 종이 투명 플레이트(135)에 도달하는 것을 방지하는 자기장 성분을 포함한다. 예를들어, 자기장 라인 또는 자기 플럭스가 윈도우9130)의 평면에 평행인 방향 벡터를 가질때, 필드 라인은 투명 플레이트(135)로부터 떨어져 몇몇 고정된 평균 거리에 있는 원형 경로에 에너지화된 처리 가스의 충전된 플라즈마 이온 및 전자를 한정하기 위하여 사용한다. 예를들어, 윈도우(130)의 일부를 가로지르고 윈도우에 평행한 평면을 따라 연장하는 자기 플럭스는 충전된 이온 및 전자가 이 지역에서 원형 운동으로 회전하도록 자기 플럭스의 지역에 진입하게 한다. 자기장 세기는 충전된 종이 이 지역에서 탈출할 수 없게 자기장 지역으로 충전된 이온 및 전자를 한정하도록 충분히 높아야 한다. 일반적으로, 적당한 자기장 세기는 약 10 내지 약 10,000 가우스, 및 바람직하게 약 50 내지 약 2000 가우스이다.
일실시예에서, 도 6b 내지 도 6d에 도시된 바와같이, 자기장 소스(195)는 윈도우(130)의 주변에 배치된 다수의 자기 극(205)을 포함한다. 윈도우(130) 주변의 자기 극(205)은 서로에 대해 면하는 관계이다. 예를들어, 도 6b에 도시된 바와같이, 자기장 소스(195)는 서로 면하는 적어도 한쌍의 북극 및 남극(205a.205b)을 포함할 수 있다. 바람직하게, 자기장 소스(195)는 그 안에 구멍(215)을 가지는 자기 요크(210)(영구 자석 또는 전자석의 강자성 요크를 의미함)를 포함한다. 자기 요크(210)는 구멍(215)을 가로질러 대칭 자기장을 제공한다. 도 6b는 서로 면하고 반대 자기 극성을 가진 적어도 한쌍의 방사적으로 연장하는 극(205a, 205b)을 포함하는 예시적인 자기 요크(210)를 도시한다. 선택적으로, 도 6d에 도시된 바와같이, 자기 요크(210)는 윈도우(130)의 표면을 가로질러 자기 플럭스를 제공하기 위하여 구멍(215)을 가로질러 서로 면하는 다수의 반대 자기 극(205)을 제공하도록 배열된 다수의 자기 재료를 포함할 수 있다.
환형 모양 또는 자기 요크(210) 주변에 배치된 구멍(215)은 광이 윈도우(130)를 통과할 수 있도록 하는 크기이다. 면하는 자기 극(205a, 205b)은 자기 요크(210)의 구멍(215)을 가로질러 일반적으로 직선인 자기장을 제공한다. 구멍(215)은 공정 모니터링 시스템(25)을 동작시키기 위해 충분한 세기의 광이 통과되도록 충분히 큰 크기를 가진다. 구멍(215)의 총 단면 영역은 구멍(215)을 통하여 공정 챔버(35)로부터 충분히 많은 양의 광이 통과되도록 충분히 크다. 구멍은 원통형, 삼각형 또는 직사각형이고, 그중 원통형은 자기장 소스 및 부드러운 내부 표면에 대해 우수한 축 대칭성을 제공한다.
전기장 에너지화
다른 변형에서, 공정 챔버(35)는 공정 챔버(35)의 벽 또는 천장(55)의 윈도우(130) 및 전기 에너지를 윈도우(130)에 결합하는 전기장 소스(220)를 포함한다. 윈도우(130)에 결합된 전기 에너지는 에너지화된 처리 가스 이온이 윈도우(130)와 에너지적으로 범버딩하도록 함으로써 윈도우(130)상 처리 잔류물의 축적을 감소시키고 윈도우상에 증착된 처리 잔류물을 제거한다. 전기장 소스(220)는 윈도우에 인접한 전극(225)을 포함한다. 예를들어, 도 7에 도시된 바와같이, 전기장 소스(220)는 윈도우에 형성된 처리 잔류 증착물을 스퍼터 에칭 및 제거하기 위하여 공정 챔버(35)의 에너지 플라즈마 이온 및 종이 윈도우(130) 쪽으로 가속되어 부딪히게 하도록 윈도우의 충전을 유발하고 윈도우(130)의 평면에 수직인 전기장을 생성하기 위하여 윈도우(130)에 인접하여 뒤쪽에 배치된 전극(225)을 포함한다.
다른 변형에서, 도 8a에 도시된 바와같이, 전기장 소스(220)는 그 안에 하나 이상의 구멍(230)을 가지며, 윈도우(130)의 평면에 수직인 전기장을 제공하기 위하여 투명 플레이트(135) 및 광 소스(150) 사이에 배치된 전극(225)을 포함한다. 전기장은 공정 챔버(35)의 에너지 플라즈마 이온 및 종이 윈도우(130)쪽으로 가속되게 하고, 구멍(230)을 통과하도록 하고, 처리 잔류 증착물을 스퍼터 에칭 및 제거하기 위하여 투명 플레이트(135)상에 충돌하도록 한다. 바람직하게, 구멍(230)의 총 단면 영역은 충분히 많은 양의 광속이 공정 챔버(35)로부터 구멍을 통해 입출도록 하여 공정 모니터링 시스템을 동작시킨다.
부가적으로, 역류 전류 감소 슬롯(232)은 전류의 연속적인 경로가 전극에 형성되지 않도록 함으로써 전극(225)에 유도된 역류 전류를 제거하도록 하는 크기, 모양 및 위치를 가진다. 역류 전류는 인덕터 안테나(100) 같은 다른 처리 구성요소로부터 결합된 전기 에너지로 인해 발생한다. 슬롯(232)은 전극(225) 전류의 원형 경로를 차단함으로써 역류 전류를 감소 또는 제거한다. 예를들어, 도 8b에 도시된 바와같이, 전극(225)은 전극(225)에 유도된 역류 전류의 경로에 있는 적어도 하나의 방사적으로 연장하는 컷아웃(240)을 가지는 디스크(235)를 포함할 수 있다. 선택적으로, 도 8c 및 도 8d에 도시된 바와같이, 전극(225)은 일련의 방사상 웨지 모양 컷(242) 또는 서로 간격진 원형 홀(243) 어레이를 포함한다.
자기장 소스(195)를 사용하여, 전기장 소스(220)는 윈도우(130)의 전체 표면의 일부를 가로질러 연장하고, 윈도우(130)의 에지 또는 에지 근처에서 끝나는 전기장 또는 플럭스를 제공하기 위하여 제공된다. 보다 바람직하게, 전극(225)은 윈도우(130)의 투명 플레이트의 전체 영역을 커버하고 윈도우(130)의 모양과 유사한 모양 및 크기를 가진 전기장을 제공하기에 충분히 큰 크기를 가진다. 전압 소스(245)는 D.C. 전압, A.C. 전압 또는 RF 전압중 어느 하나를 사용하여 전극(225)을 전기적으로 바이어스한다. 선택적으로, 도 8a에 도시된 바와같이, 전극(225)은 인덕터 안테나(100)중 선택된 코일을 전극(225)에 연결하는 탭(250)에 의해 전기적으로 바이어스된다. 따라서 코일 전력 공급기(104)는 윈도우 전극(225) 및 인덕터 안테나(100) 양쪽에 전력을 제공한다. 바람직하게, 코일 전력 공급기(104)는 약 10 내지 10,000 볼트의 전압, 및 보다 바람직하게 약 20 내지 약 4000 볼트의 전압을 사용하여 전극(225)을 바이어스한다.
마스크(140)는 자기장 및 전기장 제한 방식과 함께 사용될 수 있다. 이 방법에서, 구멍(145)을 가지는 마스크(140)는 자기 요크(210)의 구멍(215) 또는 전극(225)의 구멍(230)에 정렬되어, 구멍(145)을 구멍(210 또는 230)에 정렬한다. 마스크(140)의 구멍(145)은 자기 요크(210)의 구멍(215)에 에너지화된 처리 가스의 액세스를 제한 또는 감소시키는 모양 및 크기를 가지므로 아래 놓인 투명 플레이트(135)상 처리 가스 부산물 및 다른 증착물의 증착을 방지한다. 선택적으로, 구멍(145)은 낮은 에너지 플라즈마 종을 차단하고 단지 높은 에너지 및 지향성 플라즈마 종을 구멍(145)으로 통과시키는 크기 및 모양을 가진다. 높은 에너지 및 지향성 종은 구멍(145)의 측벽 및 투명 플레이트(135)의 표면상에 충돌하여 그 위에 형성된 처리 가스 증착물을 스퍼터 에칭하고 제거한다.
본 발명의 기판(20) 및 처리는 공정 모니터링 시스템(25)에 대한 윈도우(130)상 잔류물 및 증착물의 과도한 증착없이 처리의 정확하고 신뢰적인 모니터링이 본 챔버(35)에서 수행되도록 한다. 개선된 윈도우(130) 구조는 윈도우 구성요소로부터 증착물의 추가 박편화를 감소시켜서 기판 생산율을 증가시킨다. 윈도우(130)는 통상적인 윈도우(130)보다 공정 챔버(35)에서 플라즈마로부터 부식 손상에 덜 영향을 받는다. 윈도우(130)를 자주 교체할 필요성을 감소시킴으로써, 공정 챔버(35) 동작 비용 및 기판(30)에 대한 비용이 크게 감소된다. 부가적으로, 마스킹된 윈도우(130) 구조는 공정 챔버 벽 및 윈도우(130)를 포함하는 구성요소를 습식 세척하기 위한 정지 처리없이 공정 챔버(35)의 사용 시간이 연장되어 에칭 생산율이 증가하고 기판(30)당 비용이 추가로 감소한다. 자기 및 전기장 제한 방법은 마스킹 방법과 분리되어 또는 결합하여 동작하여, 윈도우상 처리 잔류물 증착을 감소 또는 완전히 제거시킨다.
본 발명의 에칭 및 종료시점 검출 방법은 위에 놓인 폴리실리콘 층의 에칭 동안 얇은 게이트 산화물 하부층의 에칭 또는 다른 손상을 감소시킴으로써 기판 생산율을 크게 증가시킨다. 특히, 폴리시리콘 에칭 공정은 이산화 실리콘의 몇층의 원자인 25 내지 65 옴스트롱의 두께, 및 종래 기술 게이트 산화물 층보다 4 내지 5배 얇은 초막막 게이트 산화물층을 통하여 에칭없이 종료된다. 에칭 방법은 고밀도 RF 바이어스 플라즈마가 얇은 게이트 산호물층을 통하여 실리콘 웨이퍼에 결합된 손상 전기 전류의 형성에 의해 발생시킬 수 있는 손상을 최소화한다. 또한, 적극적 에칭 공정 단계는 게이트 산화물 층이 적극적 에칭 공정 단계에 의해 손상되기 전에 에칭 공정을 정지함으로써, 본 공정은 보다 높은 생산율 및 보다 우수한 품질의 집적 회로를 제공한다.
게다가, 본 발명의 에칭/세척 공정의 결합은 동시에 에천트 잔류물 층의 두께 또는 화학양론과 무관하게 에칭 공정 동안 공정 챔버(35)상에 증착된 에천트 잔류를 제거하는 동안 기판(30)을 평탄하게 에칭하는 것이 발견되었다. 다수의 웨이퍼 처리후, 공정 챔버 표면상 에천트 잔류 증착물로부터 발생되는 에칭율 및 에칭 선택 비율의 변화 및 보다 높은 입자 오염 레벨로 인해, 종래 기술 공정은 단지 200 내지 300 웨이퍼의 처리후 공정 챔버(35)를 세척 및 조건화하는 것이 요구된다. 또한, 특히 조작자에 의해 수행되는 종래 기술 세척 처리는 공정 챔버 표면상에 형성된 에천트 잔류 증착물을 균일하게 세척하고 제거할 수 없게하고, 상기 에천트 증착물의 형성은 기판(30)의 박편화 및 오염을 유발한다.
본 발명은 몇몇 바람직한 변형을 참조하여 기술되었다 : 그러나, 다른 변형도 가능하다. 예를들어, 본 발명의 처리 및 세척 공정은 당업자에게 명백한 바와같이 다른 응용을 위하여 공정 챔버(35)를 처리하기 위해 사용될 수 있다. 예를들어, 처리는 당업자에게 명백한 바와같이, 스퍼터링 챔버, 이온 주입 챔버, 또는 증착 챔버, 또는 다른 세척 처리와 결합된 챔버에 적용될 수 있다. 그러므로, 첨부된 청구항의 사상 및 범위는 여기에 포함된 바람직한 변형의 기술로 제한되지 않아야 한다.

Claims (78)

  1. 반도체 기판을 처리하는 공정 챔버에 있어서,
    (a) 지지부;
    (b) 가스 분배기;
    (c) 가스 에너자이저:
    (d) 투명 플레이트 및 개구를 가진 상부 마스크를 포함하는 윈도우; 및
    (e) 배출 수단을 포함하며,
    상기 지지부에 의하여 유지되는 기판은 가스 분배기에 의하여 분배되는 처리 가스에 의하여 처리되고, 상기 처리 가스는 상기 가스 에너자이저에 의하여 에너지가 제공되고 배출 수단에 의하여 배출되며, 상기 윈도우상의 마스크는 윈도우상의 공정 잔류물의 증착을 감소시키며 광은 마스크의 개구 및 투명 플레이트를 통하여 투과되는 것을 특징으로 하는 기판 처리 공정 챔버.
  2. 제 1항에 있어서, 상기 마스크는 상기 투명 플레이트에 대하여 처리 가스의 진입을 감소시키기에 충분한 크기인 어스펙트비를 가지는 개구를 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  3. 제 1항에 있어서, 상기 마스크는 약 1:1 내지 12:1의 어스펙트비를 가지는 개구를 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  4. 제 1항에 있어서, 상기 마스크는 개구를 포함하는데 상기 개구는 상기 에너지를 가진 처리 가스의 이온이 유입되고 상기 개구의 측벽 및 상기 투명 플레이트상에 형성된 공정 잔류물을 상기 에너지를 가진 처리 가스가 에칭하도록 충분히 작은 어스펙트비를 가지는 것을 특징으로 하는 기판 처리 공정 챔버.
  5. 제 1항에 있어서, 상기 마스크는 약 0.25:1 내지 약 3:1의 어스펙트비를 가지는 개구를 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  6. 제 1항에 있어서, 상기 마스크는 약 0.1 내지 약 50mm의 직경 또는 폭 및 약 0.5 내지 500mm의 높이를 가지는 개구를 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  7. 제 1항에 있어서, 상기 마스크는 육각형 개구 어레이를 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  8. 제 1항에 있어서, 상기 마스크는 처리 가스에 의한 부식에 대하여 저항성을 가지는 것을 특징으로 하는 기판 처리 공정 챔버.
  9. 제 8항에 있어서, 상기 마스크는 Al2O3, SiO2, AlN, BN, Si, SiC, Si3N4, TiO2또는 ZrO2중 하나 이상을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  10. 제 1항에 있어서, 상기 윈도우상의 공정 잔류물의 증착을 감소시키기 위하여 상기 윈도우에 전기 에너지를 결합시키는 전기장 소스를 더 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  11. 제 1항에 있어서, 상기 윈도우상의 공정 잔류물의 증착을 감소시키기 위하여 상기 윈도우에 자속을 제공하는 자기장 소스를 더 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  12. 반도체 기판을 처리하는 공정 챔버에 있어서,
    (a) 기판을 지지하는 수용면을 가진 지지부;
    (b) 상기 공정 챔버에 처리 가스를 제공하기 위하여 가스 인입구를 가진 가스 분배기 및 상기 처리 가스에 에너지를 결합시킬 수 있는 가스 에너자이저;
    (c) 상기 기판의 처리를 모니터링하기 위하여 광이 투과되도록 하는 윈도우;
    (d) 상기 윈도우상에 처리 가스로부터의 공정 잔류물의 증착을 감소시키는 수단; 및
    (e) 상기 공정 챔버로부터 처리 가스를 배출시키는 배출 수단을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  13. 제 12항에 있어서, 상기 윈도우상에 공정 잔류물의 증착을 감소시키는 상기 수단은 윈도우에 에너지를 가진 처리 가스 종의 진입을 제어하는 수단을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  14. 제 12항에 있어서, 상기 윈도우상에 공정 잔류물의 증착을 감소시키는 상기 수단은 상기 에너지를 가진 처리 가스로부터 윈도를 마스킹하는 마스킹 수단을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  15. 제 12항에 있어서, 상기 윈도우상에 공정 잔류물의 증착을 감소시키는 상기 수단은 약 1:1 내지 12:1의 어스펙트비를 가진 개구를 가진 상부 마스크를 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  16. 제 15항에 있어서, 상기 마스크는 약 0.25:1 내지 3:1의 어스펙트비를 가진 개구를 가지는 것을 특징으로 하는 기판 처리 공정 챔버.
  17. 제 12항에 있어서, 상기 윈도우상에 공정 잔류물의 증착을 추가로 감소시키기 위하여 상기 윈도우에 전기 에너지를 결합시키는 전기장 소스를 더 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  18. 제 12항에 있어서, 상기 윈도우상에 공정 잔류물의 증착을 추가로 감소시키기 위하여 상기 윈도우에 자속을 제공하는 자기장 소스를 더 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  19. 반도체 기판을 처리하는 공정 챔버에 있어서,
    (a) 지지부;
    (b) 가스 분배기;
    (c) 가스 에너자이저:
    (d) 기판의 처리 중에 공정 챔버에 대하여 광을 투과하는 광 투과 수단;
    (e) 상기 광 투과 수단 상에 공정 잔류물의 증착을 감소시키는 수단; 및
    (f) 배출 수단을 포함하며,
    상기 지지부에 의하여 유지되는 기판은 가스 분배기에 의하여 분배되고 상기 가스 에너자이저에 의하여 에너지가 제공되고 배출 수단에 의하여 배출되는 처리 가스에 의하여 처리되며, 상기 광 투과 수단 상에 공정 잔류물의 증착을 감소시키는 상기 수단은 기판 처리를 모니터링하기 위하여 상기 광 투과 수단을 통하여 광이 투과되도록 하는 것을 특징으로 하는 기판 처리 공정 챔버.
  20. 제 19항에 있어서, 상기 공정 잔류물의 증착을 감소시키는 수단은 상기 광 투과 수단에 에너지를 가진 처리 가스의 유입을 제한하는 수단을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  21. 제 19항에 있어서, 상기 공정 잔류물의 증착을 감소시키는 수단은 상기 광 투과 수단으로부터 에너지를 가진 처리 가스를 마스킹하는 마스킹 수단을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  22. 제 19항에 있어서, 상기 공정 잔류물의 증착을 감소시키는 수단은 상기 광 투과 수단을 커버하는 마스크를 포함하며, 상기 마스크는 약 0.25:1 내지 12:1의 어스펙트비를 가진 개구를 가지는 것을 특징으로 하는 기판 처리 공정 챔버.
  23. 제 19항에 있어서, 상기 윈도우 상의 마스크의 개구를 통과하는 광 투과를 모니터링하는 공정 모니터링 시스템을 더 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  24. 공정 챔버에서 기판을 처리하는 방법에 있어서,
    (a) 상기 공정 챔버에 기판을 배치하는 단계;
    (b) 상기 기판을 처리하기 위하여, 공정 챔버에 에너지를 가진 처리 가스를 제공하는 것을 포함하는 제 1공정 조건을 공정 챔버에 유지하는 단계;
    (c) 공정 챔버 벽의 윈도우를 마스킹하고 윈도우를 통하여 투과된 광의 성질을 모니터링하는 단계; 및
    (d) 상기 투과된 광의 측정된 성질에 관련하여 제 1공정 조건을 제 2공정 조건으로 변경하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  25. 제 24항에 있어서, 상기 윈도우를 통하여 기판상에 입사될 입사광빔을 전달하는 단계 및 상기 기판으로부터 반사되고 윈도우를 통하여 투과되는 반사 광빔의 성질을 측정하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  26. 제 24항에 있어서, 상기 제 1공정 조건은 기판을 에칭하기에 적합한 공정 조건을 포함하며, 상기 제 2공정 조건은 에칭 공정을 중지하거나 기판 에칭 레이트를 변경하기에 적합한 공정 조건인 것을 특징으로 하는 기판 처리 방법.
  27. 반도체 기판을 처리하는 공정 챔버에 있어서,
    (a) 지지부;
    (b) 가스 분배기;
    (c) 가스 에너자이저:
    (d) 상기 공정 챔버 벽내의 윈도우;
    (e) 상기 윈도우에 자속을 제공하는 자기장 소스; 및
    (f) 배출 수단을 포함하며,
    상기 지지부에 의하여 유지되는 기판은 상기 에너지를 가진 처리 가스에 의하여 처리되어 공정 챔버에 공정 잔류물을 형성하며, 윈도우사이의 자속은 윈도우상에 공정 잔류물의 증착을 감소시키는 것을 특징으로 하는 기판 처리 공정 챔버.
  28. 제 27항에 있어서, 상기 자기장 소스는 상기 챔버의 다른 부분보다 윈도우사이에서 밀도가 더 높은 자속을 제공하는 것을 특징으로 하는 기판 처리 공정 챔버.
  29. 제 27항에 있어서, 상기 자기장 소스는 상기 윈도우의 주변을 중심으로 배치된 하나 이상의 자극을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  30. 제 27항에 있어서, 상기 윈도우 주변 둘레에 서로 접하는 상기 자극은 대향하는 자기 극성을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  31. 제 27항에 있어서, 상기 자기장 소스는 개구를 포함하며 상기 개구사이에 자기장을 제공하는 것을 특징으로 하는 기판 처리 공정 챔버.
  32. 제 32항에 있어서, 상기 자기장 소스는 상기 윈도우에 인접한 적어도 하나의 영구 자석 또는 전자석을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  33. 제 27항에 있어서, 상기 자기장 소스는 광이 상기 윈도우를 통과하도록 하는 크기의 홀을 가진 환상 요크를 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  34. 제 27항에 있어서, 상기 자기장 소스는 상기 윈도우 면에 평행한 자기장 성분을 제공하도록 배치된 자석 또는 전자석을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  35. 제 27항에 있어서, 상기 자기장 소스는 상기 윈도우의 전체 표면사이에 연장하는 자기장을 제공하는 것을 특징으로 하는 기판 처리 공정 챔버.
  36. 제 27항에 있어서, 상기 자기장 소스는 상기 윈도우 에지 근방에서 종료하는 자기장을 제공하는 것을 특징으로 하는 기판 처리 공정 챔버.
  37. 제 27항에 있어서, 상기 자기장 소스는 약 10 내지 10,000가우스의 자기장을 제공하는 것을 특징으로 하는 기판 처리 공정 챔버.
  38. 제 27항에 있어서, 상기 윈도우를 커버하고, 광이 통과하도록 하는 개구를 포함하는 마스크를 더 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  39. 제 27항에 있어서, 상기 마스크는 약 0.25:1 내지 12:1의 어스펙트비를 가지는 개구를 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  40. 반도체 기판을 처리하는 공정 챔버에 있어서,
    (a) 지지부;
    (b) 가스 분배기;
    (c) 가스 에너자이저:
    (d) 상기 공정 챔버 벽내에 배치되며, 특정 광 파장을 투과시키는 윈도우;
    (e) 상기 윈도우에 자속을 유지하는 수단; 및
    (f) 챔버로부터 처리 가스를 배출하는 배출 수단을 포함하며,
    상기 지지부에 의하여 유지되는 기판은 상기 에너지를 가진 처리 가스에 의하여 처리되어 공정 챔버에 공정 잔류물을 형성하며, 상기 윈도우사이에 자속을 유지하는 상기 수단은 윈도우상에 공정 잔류물의 증착을 감소시키는 것을 특징으로 하는 기판 처리 공정 챔버.
  41. 제 40항에 있어서, 상기 윈도우사이에 자속을 유지하는 상기 수단은 하전된 처리 가스종이 윈도우에 도달하는 것을 방지하는 자기장 성분을 가지는 자속을 제공하는 것을 특징으로 하는 기판 처리 공정 챔버.
  42. 제 40항에 있어서, 상기 윈도우사이에 자속을 유지하는 상기 수단은 상기 윈도우 전체 표면사이에 연장하는 자속을 제공하는 것을 특징으로 하는 기판 처리 공정 챔버.
  43. 제 40항에 있어서, 상기 윈도우사이에 자속을 유지하는 상기 수단은 자석 또는 전자석을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  44. 제 40항에 있어서, 상기 윈도우사이에 자속을 유지하는 상기 수단은 광이 상기 자속 수단을 통과하도록 하는 수단을 포함하는 것을 특징으로 하는 기판 처리 공정 챔버.
  45. 제 40항에 있어서, 상기 윈도우사이에 자속을 유지하는 상기 수단은 약 10 내지 10,000가우스의 자기장을 제공하는 것을 특징으로 하는 기판 처리 공정 챔버.
  46. 공정 챔버에서 기판을 처리하는 방법에 있어서,
    (a) 상기 공정 챔버에 기판을 배치하는 단계;
    (b) 상기 기판을 처리하기 위하여, 공정 챔버에 에너지를 가진 처리 가스를 제공하는 것을 포함하는 제 1공정 조건을 공정 챔버에 유지하는 단계; 및
    (c) 공정 챔버 벽의 윈도우사이에 자속을 유지하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  47. 제 46항에 있어서, 상기 단계(c)는 상기 윈도우상에 공정 잔류물의 증착을 감소시키기에 충분히 높은 자속을 유지하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  48. 제 46항에 있어서, 상기 단계(c)는 상기 윈도우의 면에 실질적으로 평행한 자기장 성분을 가지는 자속을 유지하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  49. 제 46항에 있어서, 상기 단계(c)는 윈도우 사이에 집중된 자속을 유지하는 단계를 포함하며, 챔버의 다른 부분 보다 윈도우 사이에 높은 자속을 포함하는 것을 특징으로 하는 기판 처리 방법.
  50. 제 46항에 있어서, 상기 단계(c)는 상기 윈도우 주변을 중심으로 다수의 자극을 유지하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  51. 제 46항에 있어서, 상기 단계(c)는 상기 윈도우 주변 둘레에 서로 접하는 대향하는 자극을 유지하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  52. 제 46항에 있어서, 상기 단계(c)는 상기 윈도우의 면에 실질적으로 평행한 자기장 성분을 가지는 자속을 유지하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  53. 제 46항에 있어서, 상기 단계(c)는 상기 윈도우의 전체 표면사이에 연장하고 상기 윈도우 에지 주위에서 종료하는 자속을 유지하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  54. 제 46항에 있어서, 상기 윈도우를 커버하는 마스크를 제공하는 단계를 포함하며, 상기 마스크는 광이 통과하도록 하는 개구를 포함하는 것을 특징으로 하는 기판 처리 방법.
  55. 공정 챔버에서 기판을 처리하는 방법에 있어서,
    (a) 상기 공정 챔버에 기판을 배치하는 단계;
    (b) 상기 기판을 처리하기 위하여, 공정 챔버에 에너지를 가진 처리 가스를 제공하는 것을 포함하는 제 1공정 조건을 공정 챔버에 유지하는 단계;
    (c) 공정 챔버 벽의 윈도우사이에 자속을 유지하는 단계;
    (d) 상기 윈도우를 통하여 투과된 광의 성질을 측정하는 단계; 및
    (e) 상기 투과된 광의 측정된 성질에 관련하여 제 1공정 조건을 제 2공정 조건으로 변경하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  56. 반도체 기판을 처리하는 공정 챔버에 있어서,
    (a) 지지부;
    (b) 가스 분배기;
    (c) 가스 에너자이저:
    (d) 상기 공정 챔버 벽의 윈도우;
    (e) 상기 윈도우에 전기 에너지를 결합하는 전기장 소스; 및
    (f) 챔버로부터 처리 가스를 배출하는 배출 수단을 포함하며,
    상기 지지부에 의하여 유지되는 기판은 상기 에너지를 가진 처리 가스에 의하여 처리되어 공정 챔버에 공정 잔류물을 형성하며, 상기 윈도우에 결합된 전기 에너지는 윈도우상에 공정 잔류물의 증착을 감소시키는 것을 특징으로 하는 기판 처리 공정 챔버.
  57. 제 56항에 있어서, 상기 전기장 소스는 상기 에너지를 가진 처리 가스 이온이 윈도우와 충돌하고 윈도우상에 증착된 공정 잔류물을 제거하도록 윈도우를 전기적으로 바이어싱하는 것을 특징으로 하는 기판 처리 방법.
  58. 제 56항에 있어서, 상기 전기장 소스는 윈도우 표면사이에 연장하고 윈도우 에지 앞에서 종료하는 전기장을 제공하는 것을 특징으로 하는 기판 처리 방법.
  59. 제 56항에 있어서, 상기 전기장 소스는 상기 윈도우에 인접한 전극 또는 코일을 포함하는 것을 특징으로 하는 기판 처리 방법.
  60. 제 59항에 있어서, 상기 전극 또는 유도 코일은 상기 윈도우의 면에 수직인 전기장 성분을 가지는 전기 플럭스를 제공하는 것을 특징으로 하는 기판 처리 방법.
  61. 제 59항에 있어서, 상기 공정 챔버는 인덕터 안테나를 더 포함하며, 상기 전극은 상기 인덕터 안테나로부터 결합된 전기 에너지에 의하여 유도된 와류 전류를 감소시키기 위하여 배치된 개구를 포함하는 것을 특징으로 하는 기판 처리 방법.
  62. 제 59항에 있어서, 상기 전극은 광이 통과하도록 하는 크기의 개구를 포함하는 것을 특징으로 하는 기판 처리 방법.
  63. 제 59항에 있어서, 상기 전극은 적어도 하나의 방사방향으로 연장된 슬롯을 가진 디스크를 포함하는 것을 특징으로 하는 기판 처리 방법.
  64. 제 56항에 있어서, 상기 공정 챔버는 DC, AC 또는 RF 전류중 하나로 전기장 소스에 전력을 제공하는 전류 소스를 더 포함하는 것을 특징으로 하는 기판 처리 방법.`
  65. 제 56항에 있어서, 상기 전류 소스는 인덕터 코일 및 상기 인덕터 코일의 선택된 권선을 전극에 연결하는 탭을 포함하는 것을 특징으로 하는 기판 처리 방법.
  66. 제 56항에 있어서, 상기 전류 소스는 약 20 내지 4000볼트의 전압으로 상기 전극을 바이어싱하는 것을 특징으로 하는 기판 처리 방법.
  67. 반도체 기판을 처리하는 공정 챔버에 있어서,
    (a) 지지부;
    (b) 가스 분배기;
    (c) 가스 에너자이저:
    (d) 상기 공정 챔버 벽에 위치하며 특정 광 파장을 투과시키는 윈도우;
    (e) 상기 윈도우를 바이어싱시키는 수단; 및
    (f) 챔버로부터 처리 가스를 배출하는 배출 수단을 포함하며,
    상기 지지부에 의하여 유지되는 기판은 상기 에너지를 가진 처리 가스에 의하여 처리되어 공정 챔버에 공정 잔류물을 형성하며, 상기 윈도우를 바이어싱시키는 수단은 윈도우상에 공정 잔류물의 증착을 감소시키는 것을 특징으로 하는 기판 처리 공정 챔버.
  68. 제 67항에 있어서, 상기 윈도우를 바이어싱시키는 수단은 에너지를 가진 처리 가스 이온이 윈도우와 충돌하고 윈도우상에 형성된 공정 잔류물을 제거하도록 하는 것을 특징으로 하는 기판 처리 방법.
  69. 제 67항에 있어서, 상기 윈도우를 바이어싱시키는 수단은 윈도우의 전체 표면사이에 연장하는 전기장을 제공하는 것을 특징으로 하는 기판 처리 방법.
  70. 제 67항에 있어서, 상기 윈도우를 바이어싱시키는 수단은 윈도우에 인접한 전극 또는 코일을 포함하는 것을 특징으로 하는 기판 처리 방법.
  71. 제 67항에 있어서, 상기 윈도우를 바이어싱시키는 수단은 상기 전기 바이어싱 수단에 유도된 와전류를 감소시키는 수단을 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  72. 공정 챔버에서 기판을 처리하는 방법에 있어서,
    (a) 상기 공정 챔버에 기판을 배치하는 단계;
    (b) 상기 기판을 처리하기 위하여, 공정 챔버에 에너지를 가진 처리 가스를 제공하는 것을 포함하는 제 1공정 조건을 공정 챔버에 유지하는 단계; 및
    (c) 공정 챔버 벽의 윈도우를 전기적으로 바이어싱하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  73. 제 72항에 있어서, 상기 단계(c)는 상기 윈도우상에 공정 잔류물의 증착을 감소시키기에 충분히 높은 전압으로 윈도우를 바이어싱시키는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  74. 제 72항에 있어서, 상기 단계(c)는 상기 윈도우의 표면을 전기적으로 바이어싱시키는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  75. 제 72항에 있어서, 상기 단계(c)는 상기 윈도우에 인접하게 전극 또는 코일을 유지하는 단계를 포함하며, 상기 전극 또는 코일은 상기 윈도우의 표면사이에 전기 플럭스를 제공하는 크기인 것을 특징으로 하는 기판 처리 방법.
  76. 제 75항에 있어서, DC, AC 및 RF 전류중 하나로 상기 전극 또는 코일에 전력을 제공하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  77. 제 72항에 있어서, 상기 윈도우를 통하여 투과된 광의 성질을 측정하는 단계 및 상기 투과된 광의 측정된 성질에 관련하여 공정 조건을 변경시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  78. 공정 챔버에서 기판을 처리하는 방법에 있어서,
    (a) 상기 공정 챔버에 기판을 배치하는 단계;
    (b) 상기 기판을 처리하기 위하여, 공정 챔버에 에너지를 가진 처리 가스를 제공하는 것을 포함하는 제 1공정 조건을 공정 챔버에 유지하는 단계;
    (c) 공정 챔버 벽에 윈도우를 제공하는 단계; 및
    (d) 상기 공정 챔버의 윈도우의 표면사이에 전기 플럭스를 유지하는 단계를 포함하며, 상기 전기 플럭스는 상기 윈도우의 면에 수직인 전기장 성분을 가지는 것을 특징으로 하는 기판 처리 방법.
KR1020007014044A 1998-06-11 1999-05-10 개선된 공정 모니터링 윈도우를 가진 챔버 KR20010052752A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/096,728 US6390019B1 (en) 1998-06-11 1998-06-11 Chamber having improved process monitoring window
US09/096,728 1998-06-11
PCT/US1999/010258 WO1999065056A1 (en) 1998-06-11 1999-05-10 Chamber having improved process monitoring window

Publications (1)

Publication Number Publication Date
KR20010052752A true KR20010052752A (ko) 2001-06-25

Family

ID=22258806

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007014044A KR20010052752A (ko) 1998-06-11 1999-05-10 개선된 공정 모니터링 윈도우를 가진 챔버

Country Status (6)

Country Link
US (3) US6390019B1 (ko)
EP (1) EP1086481A1 (ko)
JP (1) JP2002518823A (ko)
KR (1) KR20010052752A (ko)
TW (1) TW418423B (ko)
WO (1) WO1999065056A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100474227B1 (ko) * 2001-08-31 2005-03-10 가부시끼가이샤 도시바 반도체 장치의 제조 방법
KR100976976B1 (ko) * 2002-12-26 2010-08-23 삼성전자주식회사 고주파 정합장치
KR101108443B1 (ko) * 2005-05-04 2012-01-31 주성엔지니어링(주) 원격 플라즈마를 이용한 챔버 세정방법
US9025143B2 (en) 2010-11-12 2015-05-05 Industry-Academic Cooperation Foundation Yonsei University Device for preventing intensity reduction of optical signal, optical emission spectrometer, optical instrument, and mass spectrometer including the same

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6632321B2 (en) * 1998-01-06 2003-10-14 Applied Materials, Inc Method and apparatus for monitoring and controlling wafer fabrication process
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6558504B1 (en) * 1998-12-21 2003-05-06 Research Triangle Institute Plasma processing system and method
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
US6786935B1 (en) * 2000-03-10 2004-09-07 Applied Materials, Inc. Vacuum processing system for producing components
EP1199378A4 (en) * 2000-03-27 2006-09-20 Mitsubishi Heavy Ind Ltd METHOD AND DEVICE FOR PRODUCING A METAL FILM
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
EP1320867A2 (en) * 2000-09-21 2003-06-25 Applied Materials, Inc. Reducing deposition of process residues on a surface in a chamber
EP1352415A2 (en) * 2000-10-23 2003-10-15 Applied Materials, Inc. Monitoring substrate processing using reflected radiation
DE10055033A1 (de) * 2000-11-07 2002-05-08 Aixtron Ag CVD-Reaktor mit grafitschaum-isoliertem, rohrförmigen Suszeptor
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6664740B2 (en) * 2001-02-01 2003-12-16 The Regents Of The University Of California Formation of a field reversed configuration for magnetic and electrostatic confinement of plasma
US6611106B2 (en) * 2001-03-19 2003-08-26 The Regents Of The University Of California Controlled fusion in a field reversed configuration and direct energy conversion
CN100336180C (zh) * 2001-06-22 2007-09-05 东京毅力科创株式会社 干蚀刻方法
US6911090B2 (en) * 2001-10-12 2005-06-28 International Business Machines Corporation Real-time process control for optical component fabrication
US7214289B2 (en) * 2001-10-24 2007-05-08 Tokyo Electron Limited Method and apparatus for wall film monitoring
JP3993561B2 (ja) * 2001-10-24 2007-10-17 東京エレクトロン株式会社 壁フィルムをモニターするための方法と装置
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6713969B2 (en) * 2002-01-31 2004-03-30 Tokyo Electron Limited Method and apparatus for determination and control of plasma state
US20030180971A1 (en) * 2002-03-25 2003-09-25 Adaptive Plasma Technology Corporation Plasma etching method and apparatus for manufacturing a semiconductor device
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
JP2004055600A (ja) * 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
TWI238680B (en) * 2002-09-30 2005-08-21 Tokyo Electron Ltd Plasma processing system and method
JP2006501620A (ja) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムとともに光学系を使用するための装置及び方法
KR100488541B1 (ko) * 2002-10-18 2005-05-11 삼성전자주식회사 플라즈마 처리장치
TWI240326B (en) * 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
US6987269B2 (en) * 2002-12-16 2006-01-17 Axcelis Technologies, Inc. Apparatus and process for measuring light intensities
JP4855625B2 (ja) * 2002-12-27 2012-01-18 東京エレクトロン株式会社 プラズマ処理装置の観測窓およびプラズマ処理装置
US6811657B2 (en) 2003-01-27 2004-11-02 Micron Technology, Inc. Device for measuring the profile of a metal film sputter deposition target, and system and method employing same
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7033518B2 (en) * 2003-06-24 2006-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for processing multi-layer films
US7604701B2 (en) * 2003-07-14 2009-10-20 Tokyo Electron Limited Method and apparatus for removing external components from a process chamber without compromising process vacuum
JP3886953B2 (ja) * 2003-10-22 2007-02-28 株式会社東芝 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US7420690B2 (en) * 2005-11-28 2008-09-02 Semitool, Inc. End point detection in workpiece processing
EP1734884B1 (en) 2004-03-16 2021-06-16 Guidance Endodontics, LLC Endodontic files
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7400096B1 (en) 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
KR100549955B1 (ko) * 2004-07-20 2006-02-07 삼성전자주식회사 반도체 제조 설비의 식각종말점 검출장치
US7305935B1 (en) 2004-08-25 2007-12-11 The United States Of America As Represented By The Administration Of Nasa Slotted antenna waveguide plasma source
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
JP4006004B2 (ja) * 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
FR2880470B1 (fr) * 2004-12-31 2007-04-20 Cit Alcatel Dispositif et procede pour le controle de la profondeur de gravure lors de la gravure alternee par plasma de substrats semi-conducteurs
US20060196846A1 (en) * 2005-03-01 2006-09-07 Tokyo Electron Limited Plasma processing method and apparatus, and method for measuring a density of fluorine in plasma
US9607719B2 (en) * 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US8031824B2 (en) 2005-03-07 2011-10-04 Regents Of The University Of California Inductive plasma source for plasma electric generation system
US9123512B2 (en) * 2005-03-07 2015-09-01 The Regents Of The Unviersity Of California RF current drive for plasma electric generation system
FR2887072A1 (fr) * 2005-06-08 2006-12-15 Alcatel Sa Systeme spectographique ameliore avec source plasma
KR100897176B1 (ko) * 2005-07-20 2009-05-14 삼성모바일디스플레이주식회사 유도 결합형 플라즈마 처리 장치
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
US7908993B2 (en) * 2005-08-24 2011-03-22 Brother Kogyo Kabushiki Kaisha Film forming apparatus, film forming method and method for manufacturing piezoelectric actuator
US20070077671A1 (en) * 2005-10-03 2007-04-05 Applied Materials In-situ substrate imaging
JP4722725B2 (ja) * 2006-02-17 2011-07-13 東京エレクトロン株式会社 処理方法およびプラズマエッチング方法
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
TWI335450B (en) * 2006-05-15 2011-01-01 Ind Tech Res Inst Film cleaning method and apparatus
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
JP4496191B2 (ja) * 2006-09-29 2010-07-07 株式会社東芝 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
US7582491B2 (en) * 2006-10-27 2009-09-01 Tokyo Electron Limited Method for diagnosing electrostatic chuck, vacuum processing apparatus, and storage medium
US20080233016A1 (en) * 2007-03-21 2008-09-25 Verity Instruments, Inc. Multichannel array as window protection
US7630859B2 (en) * 2007-05-01 2009-12-08 Verity Instruments, Inc. Method and apparatus for reducing the effects of window clouding on a viewport window in a reactive environment
JP2008288348A (ja) * 2007-05-16 2008-11-27 Canon Inc プラズマ処理装置及びプラズマ処理方法
JP5377487B2 (ja) * 2007-08-01 2013-12-25 アプライド マテリアルズ インコーポレイテッド 基板上の薄膜を識別するための方法及び装置
WO2009104379A1 (ja) * 2008-02-18 2009-08-27 三井造船株式会社 原子層成長装置および原子層成長方法
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
TW201122148A (en) * 2009-12-24 2011-07-01 Hon Hai Prec Ind Co Ltd Chemical vapor deposition device
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP5385875B2 (ja) * 2010-08-26 2014-01-08 東京エレクトロン株式会社 プラズマ処理装置及び光学モニタ装置
US10595365B2 (en) * 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US9174296B2 (en) * 2010-10-20 2015-11-03 Lam Research Corporation Plasma ignition and sustaining methods and apparatuses
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
MX351648B (es) 2011-11-14 2017-10-23 Univ California Sistemas y métodos para formar y mantener una configuración invertida de campo de alto rendimiento.
JP5927652B2 (ja) * 2012-07-13 2016-06-01 株式会社昭和真空 光学モニタ及びそれを用いた真空蒸着装置
US10541183B2 (en) 2012-07-19 2020-01-21 Texas Instruments Incorporated Spectral reflectometry window heater
US9856563B2 (en) * 2012-08-22 2018-01-02 Uchicago Argonne, Llc Micro-balance sensor integrated with atomic layer deposition chamber
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
JP3203666U (ja) * 2013-03-12 2016-04-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システム用ウインドウアセンブリ
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
CN104180828B (zh) * 2013-05-24 2016-11-23 北京金海创科技发展有限公司 用于确定角度位置的检测装置
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
PL3312843T3 (pl) 2013-09-24 2020-05-18 Tae Technologies, Inc. Układy do tworzenia i utrzymywania wysokosprawnej FRC
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
TWI640039B (zh) * 2014-07-03 2018-11-01 美商西凱渥資訊處理科技公司 端點增強器系統及在晶圓蝕刻製程中用於光學端點偵測之方法
KR20160030812A (ko) * 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
HUE055365T2 (hu) 2014-10-13 2021-11-29 Tae Tech Inc Eljárás sûrû toroidok egyesítésére és összenyomására
ES2746302T3 (es) 2014-10-30 2020-03-05 Tae Tech Inc Sistemas y métodos para formar y mantener un plasma en una FRC de alto rendimiento
AU2016261503B2 (en) 2015-05-12 2021-08-12 Tae Technologies, Inc. Systems and methods for reducing undesired eddy currents
RS62629B1 (sr) 2015-11-13 2021-12-31 Tae Technologies Inc Sistemi i postupci za stabilnost položaja frc plazme
WO2017216847A1 (ja) 2016-06-13 2017-12-21 ギガフォトン株式会社 チャンバ装置及び極端紫外光生成装置
BR112019008478B1 (pt) 2016-10-28 2024-03-05 Tae Technologies, Inc Método para gerar e manter um campo magnético com uma configuração de campo reverso (frc)
WO2018085798A1 (en) 2016-11-04 2018-05-11 Tae Technologies, Inc. Systems and methods for improved sustainment of a high performance frc with multi-scaled capture type vacuum pumping
EP3542600A1 (en) 2016-11-15 2019-09-25 TAE Technologies, Inc. Systems and methods for improved sustainment of a high performance frc and high harmonic fast wave electron heating in a high performance frc
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
KR102172031B1 (ko) 2018-01-31 2020-10-30 주식회사 히타치하이테크 플라스마 처리 방법, 및 플라스마 처리 장치
US20200013588A1 (en) * 2018-07-03 2020-01-09 Applied Materials, Inc. Tilted interferometric endpoint (iep) window for sensitivity improvement
CN112823080B (zh) 2018-09-24 2023-07-14 应用材料公司 以机器视觉作为对cmp工艺控制算法的输入
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
CN114041205A (zh) * 2019-03-19 2022-02-11 动量光学公司 基底的热引导的化学蚀刻及其实时监测
KR20220034904A (ko) * 2019-07-26 2022-03-18 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 모니터링
JP6935598B1 (ja) * 2019-12-20 2021-09-15 株式会社日立ハイテク プラズマ処理装置およびウエハ処理方法
EP4172560A4 (en) 2020-06-29 2024-05-29 Applied Materials, Inc. LAYER THICKNESS ESTIMATION FROM MACHINE LEARNING-BASED PROCESSING OF SUBSTRATE IMAGES

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3170383A (en) * 1961-09-26 1965-02-23 Temescal Metallurgical Corp High vacuum observation apparatus
US3316468A (en) * 1963-05-03 1967-04-25 Temescal Metallurgical Corp Viewing method and apparatus for high vacuum systems
US3514391A (en) 1967-05-05 1970-05-26 Nat Res Corp Sputtering apparatus with finned anode
US4037945A (en) * 1975-04-01 1977-07-26 Wollam John S Indirect reflective window
US4033287A (en) 1976-01-22 1977-07-05 Bell Telephone Laboratories, Incorporated Radial flow reactor including glow discharge limiting shield
US4198261A (en) 1977-12-05 1980-04-15 Gould Inc. Method for end point detection during plasma etching
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
JPS586124A (ja) * 1981-07-02 1983-01-13 Toshiba Mach Co Ltd 半導体気相成長装置
US4384938A (en) * 1982-05-03 1983-05-24 International Business Machines Corporation Reactive ion etching chamber
US4493745A (en) 1984-01-31 1985-01-15 International Business Machines Corporation Optical emission spectroscopy end point detection in plasma etching
JPS61160926A (ja) 1985-01-09 1986-07-21 Toshiba Corp 光励起薄膜形成装置
JPS6242514A (ja) * 1985-08-20 1987-02-24 Fujitsu Ltd 分子線結晶成長装置
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPS63253617A (ja) * 1987-04-10 1988-10-20 Hitachi Ltd プラズマ処理装置
JPH0672306B2 (ja) * 1987-04-27 1994-09-14 株式会社半導体エネルギー研究所 プラズマ処理装置およびプラズマ処理方法
US4913928A (en) * 1987-06-22 1990-04-03 Canon Kabushiki Kaisha Microwave plasma chemical vapor deposition apparatus with magnet on waveguide
US4859277A (en) 1988-05-03 1989-08-22 Texas Instruments Incorporated Method for measuring plasma properties in semiconductor processing
US4953982A (en) 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
DE3910491C1 (ko) 1989-03-31 1990-06-28 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
JPH0665197B2 (ja) 1989-06-12 1994-08-22 日本高周波株式会社 反応性プラズマ生成用高周波電力導入装置
JPH03122273A (ja) * 1989-10-06 1991-05-24 Hitachi Ltd マイクロ波を用いた成膜装置
US5002631A (en) 1990-03-09 1991-03-26 At&T Bell Laboratories Plasma etching apparatus and method
US4975141A (en) 1990-03-30 1990-12-04 International Business Machines Corporation Laser ablation for plasma etching endpoint detection
JPH0429677A (ja) * 1990-05-23 1992-01-31 Rohm Co Ltd 真空容器用ガラス窓
JPH04160158A (ja) * 1990-10-22 1992-06-03 Yaskawa Electric Corp 原子吸光装置付き真空装置
US5362356A (en) 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5290383A (en) 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
US5129994A (en) 1991-04-23 1992-07-14 Applied Materials, Inc. Method and apparatus to inhibit obstruction of optical transmission through semiconductor etch process chamber viewport
US5212118A (en) 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5200023A (en) 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
AU4689293A (en) 1992-07-15 1994-02-14 On-Line Technologies, Inc. Method and apparatus for monitoring layer processing
US5277746A (en) * 1992-07-27 1994-01-11 Texas Instruments Incorporated High pressure liquid phase epitaxy reactor chamber and method with direct see through capability
AU5017293A (en) 1992-09-01 1994-03-29 University Of North Carolina At Chapel Hill, The High pressure magnetically assisted inductively coupled plasma
JP3242166B2 (ja) 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US5467883A (en) 1992-12-14 1995-11-21 At&T Corp. Active neural network control of wafer attributes in a plasma etch process
JPH06188108A (ja) 1992-12-21 1994-07-08 Canon Inc 薄膜抵抗器の製造方法、成膜装置用防着板及び成膜装置
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5372673A (en) 1993-01-25 1994-12-13 Motorola, Inc. Method for processing a layer of material while using insitu monitoring and control
US5728253A (en) * 1993-03-04 1998-03-17 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US5399229A (en) 1993-05-13 1995-03-21 Texas Instruments Incorporated System and method for monitoring and evaluating semiconductor wafer fabrication
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
JPH0718423A (ja) 1993-07-06 1995-01-20 Japan Energy Corp 薄膜形成装置
KR0141659B1 (ko) * 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
JP3399040B2 (ja) 1993-09-20 2003-04-21 株式会社日立製作所 半導体製造装置及び半導体製造方法
US5392124A (en) 1993-12-17 1995-02-21 International Business Machines Corporation Method and apparatus for real-time, in-situ endpoint detection and closed loop etch process control
KR0152355B1 (ko) 1994-03-24 1998-12-01 가나이 쓰토무 플라즈마 처리장치 및 처리방법
JPH07280020A (ja) 1994-04-11 1995-10-27 Showa:Kk 緩衝器の車高調整装置
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5451289A (en) 1994-06-30 1995-09-19 International Business Machines Corporation Fixture for in-situ noncontact monitoring of wet chemical etching with passive wafer restraint
US5445705A (en) 1994-06-30 1995-08-29 International Business Machines Corporation Method and apparatus for contactless real-time in-situ monitoring of a chemical etching process
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5683538A (en) 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
EP0735565B1 (en) 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
US5874704A (en) 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
US5738756A (en) 1995-06-30 1998-04-14 Lam Research Corporation Method and apparatus for detecting optimal endpoints in plasma etch processes
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
EP0756318A1 (en) 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
KR0155950B1 (ko) * 1995-08-16 1998-12-01 김광호 플라즈마 확산 제어 방법 및 그 장치
KR100290813B1 (ko) 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US6366340B1 (en) 1995-08-18 2002-04-02 Hitachi, Ltd. Electron exposure apparatus
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
KR0159224B1 (ko) 1995-12-13 1999-02-01 김광호 플라즈마 에칭 설비에서의 엔드 포인트 검출 장치
US5686796A (en) 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
JPH09232099A (ja) * 1996-02-20 1997-09-05 Hitachi Ltd プラズマ処理装置
US5747380A (en) 1996-02-26 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Robust end-point detection for contact and via etching
TW327236B (en) 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
US5880850A (en) 1996-04-18 1999-03-09 American Air Liquide Inc Method and system for sensitive detection of molecular species in a vacuum by harmonic detection spectroscopy
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5691540A (en) 1996-04-30 1997-11-25 Ibm Corporation Assembly for measuring a trench depth parameter of a workpiece
US5800619A (en) 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5834375A (en) 1996-08-09 1998-11-10 Industrial Technology Research Institute Chemical-mechanical polishing planarization monitor
US5814154A (en) 1997-01-23 1998-09-29 Gasonics International Short-coupled-path extender for plasma source
US6035868A (en) 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
AU6977998A (en) 1997-04-21 1998-11-13 Tokyo Electron Arizona, Inc. Method and apparatus for ionized sputtering of materials
US5800688A (en) 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
EP0908921A1 (en) 1997-10-10 1999-04-14 European Community Process chamber for plasma enhanced chemical vapour deposition and apparatus employing said process chamber
WO1999029923A1 (en) * 1997-12-05 1999-06-17 Tegal Corporation Plasma reactor with a deposition shield
JPH11176815A (ja) 1997-12-15 1999-07-02 Ricoh Co Ltd ドライエッチングの終点判定方法およびドライエッチング装置
JP3833810B2 (ja) * 1998-03-04 2006-10-18 株式会社日立製作所 半導体の製造方法並びにプラズマ処理方法およびその装置
US6085688A (en) 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
KR100292053B1 (ko) 1998-03-30 2001-11-30 김영환 반도체제조용식각장치의엔드포인트윈도우
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
EP1125314A1 (en) * 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
US6146242A (en) 1999-06-11 2000-11-14 Strasbaugh, Inc. Optical view port for chemical mechanical planarization endpoint detection
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
US6400458B1 (en) * 1999-09-30 2002-06-04 Lam Research Corporation Interferometric method for endpointing plasma etch processes
US6306246B1 (en) 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
US6623595B1 (en) * 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100474227B1 (ko) * 2001-08-31 2005-03-10 가부시끼가이샤 도시바 반도체 장치의 제조 방법
US7115424B2 (en) 2001-08-31 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
KR100976976B1 (ko) * 2002-12-26 2010-08-23 삼성전자주식회사 고주파 정합장치
KR101108443B1 (ko) * 2005-05-04 2012-01-31 주성엔지니어링(주) 원격 플라즈마를 이용한 챔버 세정방법
US9025143B2 (en) 2010-11-12 2015-05-05 Industry-Academic Cooperation Foundation Yonsei University Device for preventing intensity reduction of optical signal, optical emission spectrometer, optical instrument, and mass spectrometer including the same

Also Published As

Publication number Publication date
US6390019B1 (en) 2002-05-21
TW418423B (en) 2001-01-11
EP1086481A1 (en) 2001-03-28
US6835275B1 (en) 2004-12-28
WO1999065056A1 (en) 1999-12-16
US6712927B1 (en) 2004-03-30
JP2002518823A (ja) 2002-06-25

Similar Documents

Publication Publication Date Title
US6390019B1 (en) Chamber having improved process monitoring window
US6081334A (en) Endpoint detection for semiconductor processes
US6905800B1 (en) Etching a substrate in a process zone
KR101226297B1 (ko) 포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법
US5322590A (en) Plasma-process system with improved end-point detecting scheme
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
JP4801045B2 (ja) ドライクリーニングプロセスのプラズマ処理システムからチャンバ残渣を除去する方法
US6852242B2 (en) Cleaning of multicompositional etchant residues
EP1918978A2 (en) Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
WO2005111265A1 (en) Method and system of dry cleaning a processing chamber
US20060040415A1 (en) Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
KR20030090801A (ko) 에칭 적용을 위한 고압력 웨이퍼리스 자동 세정 방법 및시스템
US7967930B2 (en) Plasma reactor for processing a workpiece and having a tunable cathode
WO2002103773A1 (en) Dry-etcching method
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) Plasma reactor for processing a transparent workpiece with backside process endpoint detection
WO2002025696A9 (en) Reducing deposition of process residues on a surface in a chamber
US20050106868A1 (en) Etching method
VOSHCHENKOV Fundamentals of plasma etching for silicon technology (Part 1)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application