JP4722725B2 - 処理方法およびプラズマエッチング方法 - Google Patents

処理方法およびプラズマエッチング方法 Download PDF

Info

Publication number
JP4722725B2
JP4722725B2 JP2006040536A JP2006040536A JP4722725B2 JP 4722725 B2 JP4722725 B2 JP 4722725B2 JP 2006040536 A JP2006040536 A JP 2006040536A JP 2006040536 A JP2006040536 A JP 2006040536A JP 4722725 B2 JP4722725 B2 JP 4722725B2
Authority
JP
Japan
Prior art keywords
etching
plasma
layer
silicon
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006040536A
Other languages
English (en)
Other versions
JP2007220939A (ja
Inventor
理子 中谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006040536A priority Critical patent/JP4722725B2/ja
Priority to US11/674,764 priority patent/US7902078B2/en
Priority to KR1020070016303A priority patent/KR100893959B1/ko
Publication of JP2007220939A publication Critical patent/JP2007220939A/ja
Application granted granted Critical
Publication of JP4722725B2 publication Critical patent/JP4722725B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Semiconductor Memories (AREA)

Description

本発明は、処理方法およびプラズマエッチング方法に関し、詳細には、プラズマを用いて被処理体をエッチングする工程を含む処理方法およびプラズマエッチング方法に関する。
半導体装置の製造過程において、シリコン基板に対し、例えば素子分離用のトレンチあるいはキャパシタ用のトレンチを形成する目的でエッチングが行なわれる。例えば、DTI(Deep Trench Isolation)用のトレンチ形成やメモリセル・キャパシタ用のトレンチ形成では、Si基板に開口径0.8〜1.2μm程度、深さ5〜8μm程度の高アスペクト比の溝や穴を形成する目的でシリコンエッチングが行なわれる。また、三次元実装デバイスやMEMS(Micro Electro Mechanical System)においても、Si基板に100μm以上の深さで配線用の貫通孔やメカニカル構造用の溝等を形成するエッチング加工が行なわれる。
上記シリコンエッチングでは、SiO膜などの酸化膜マスクとともに、エッチングガスとしてSF/Oガスが多く用いられている。
しかし、SF/Oガスは、マスク直下にアンダーカットが生じやすいこと、および酸化膜マスクとの選択比が十分に得られないという問題があった。この問題を改善すべく、エッチングガスとしてSF/O/SiFを用いることが提案されている(例えば、特許文献1)。
特開2004−87738号公報(例えば、特許請求の範囲)
上記特許文献1のように、エッチングガスとしてSF/O/SiFを用いることで、アンダーカットを抑制し、マスク選択比を改善することが可能である。ところが、上記エッチングガスを用いてプラズマエッチングを行なうと、酸化膜マスク上に「ピット」と呼ばれる小孔が多数形成されることが判明した。このピットが、シリコンエッチングの過程で成長し、酸化膜マスクを貫通してシリコンにまで達すると、半導体デバイスに悪影響をもたらす原因となる。
図15は、半導体ウエハの表面付近の断面構造を示しており、エッチングガスとしてSF/O/SiFを用いてシリコンエッチングを行なう際のピットの形成と成長の過程を模式的に図示したものである。図15の(a)の状態では、シリコン基板201の上にSiO層202が形成され、さらに、その上にレジスト203が形成されている。SiO層202は、レジスト203のパターンに基づきエッチングされて、開口210が形成されている。このSiO層202のエッチングは、例えばC、C、Cなどのフッ化炭素化合物を含むAr/C/Oなどのガス系により行なわれるが、このエッチングの際に生成するSiO、SiOF、SiCFなどの反応生成物がレジスト203の側壁や表面に付着物204として付着している(前記Cにおけるx、yは、化学量論的にとり得る数を意味する。以下同様である)。
図15の(b)は、アッシング、ウエット処理などの方法でレジスト203を剥離した後の状態を示すものであるが、付着物204は半導体ウエハ上から完全に除去されずに、SiO層202の表面に残り、これがピットの核となる。すなわち、図15の(c)に示すように、付着物204の耐エッチング性がSiO層202より強い場合には、シリコン基板201をエッチングする過程で、付着物204の周囲が選択的にエッチングされることによってマイクロトレンチ211が形成される。このようなマイクロトレンチ211が形成されると、その内部ではエッチング時の反応生成物(デポ)が付着しにくくなるとともに、マイクロトレンチ211の底へのイオン集中が起こることから、SiO層202の他の部位よりもエッチングレートが高くなる。その結果、図15の(d)に示すようにシリコンエッチングの進行に伴ってマイクロトレンチ211が深くなり、ピット212へと成長していく。そして、図15の(e)のように、シリコン基板201に達するような深いピット212が形成されると、半導体デバイスの信頼性を損なう原因となるのである。
上記ピット212の形成は、パターン形成されたレジスト203をマスクとしてSiO層202をエッチングした後、レジスト203を剥離してもSiO層202上にピットの核となる付着物204が残存し、マイクロトレンチ211が形成されてしまうことが原因である。このため、予めレジスト203の膜厚を十分に厚く設定しておき、シリコンエッチングの終点までレジスト203をマスクとして残存させることにより、マイクロトレンチ211の形成を阻止し、ピット212への成長を防止することが可能であると考えられる。しかし、レジスト203のみをマスクとしてシリコンエッチングを終点まで行なうと、シリコンに形成される高アスペクト比のトレンチの形状を制御することが困難であり、トレンチの側壁が傾いてボーイング(Bowing)形状になってしまうという問題がある。この現象は、酸化膜(SiO層202)ではなくレジスト203をマスクとすることによって、レジスト中のカーボンがトレンチ側壁の保護膜(SiO、SiOF)と反応してこれをエッチングしてしまうため、横方向へのシリコンエッチングが進行することが原因であると考えられる。
本発明の目的は、上記実情に鑑みてなされたものであり、エッチングガスとしてSF/O/SiFを用いてシリコンエッチングを行なう際に、ピットの発生を防止するとともに、エッチング形状の制御も可能なプラズマエッチング方法を提供することである。
上記課題を解決するため、本発明の第1の観点は、シリコンを主成分とする被エッチング層と、該被エッチング層の上に形成された酸化シリコン層と、該酸化シリコン層の上に形成された、予めパターニングされたレジスト層と、を有する被処理体に対して、前記レジスト層をマスクとして前記酸化シリコン層をプラズマエッチング処理する酸化シリコンエッチング工程と、前記酸化シリコンエッチング工程で生成し、被処理体に付着した付着物を除去する付着物除去工程と、前記酸化シリコン層をマスクとして、SFとOとSiFとを含む処理ガスから生成されるプラズマを用い、被エッチング層をプラズマエッチング処理するシリコンエッチング工程と、を含み、前記付着物除去工程は、前記シリコンエッチング工程の前に、前記レジスト層をマスクとして、SF とO とSiF とを含む処理ガスから生成されるプラズマを用い、前記レジスト層が削り尽くされるまで、被エッチング層をエッチング処理するものである、処理方法を提供する。
本発明の第2の観点は、プラズマ処理装置の処理室内で、シリコンを主成分とする被エッチング層に対し、
プラズマを生成する処理ガスとして、SFとOとSiFとを含む処理ガスを用い、被エッチング層の上に形成された酸化シリコン層および該酸化シリコン層の上に形成されたレジスト層をマスクとしてプラズマエッチング処理を行ない、被エッチング層に凹部を形成するプラズマエッチング工程を含むことを特徴とする、プラズマエッチング方法を提供する。
上記第2の観点において、前記プラズマエッチング工程の開始時点における前記レジスト層の膜厚が300nm以上1μm以下であることが好ましい。また、前記レジスト層が削り尽くされた後も前記酸化シリコン層をマスクとしてエッチングを継続する。この場合において、前記レジスト層が削り尽くされた時点の前記凹部の深さDと幅Lの比(D/L)が1以下であることが好ましい。また、前記プラズマエッチング工程の終了後の前記凹部の深さDと幅Lの比(D/L)が、1〜50であることが好ましい。
また、前記プラズマエッチング工程は、前記レジスト層をマスクとして被エッチング層をエッチングする第1のシリコンエッチング工程と、前記レジスト層が削り尽くされた後に、前記酸化シリコン層をマスクとして被エッチング層をエッチングする第2のシリコンエッチング工程と、を含むことが好ましい。この場合において、前記マスクの開口幅に対応して、前記凹部の側壁が略垂直に形成されるように、前記第1のシリコンエッチング工程の時間と前記第2のシリコンエッチング工程の時間とを配分することが好ましい。
本発明の第3の観点は、プラズマ処理装置の処理室内で、SFとOとSiFとを含む処理ガスからプラズマを生成する工程と、
シリコンを主成分とする被エッチング層の上に酸化シリコン層を介して設けられ、予めパターン形成されたレジスト層をマスクとして、前記プラズマにより、被エッチング層をエッチングする第1のシリコンエッチング工程と、
前記レジスト層が削り尽くされた後に、前記酸化シリコン層をマスクとして、前記プラズマにより、被エッチング層をエッチングする第2のシリコンエッチング工程と、
を含む、プラズマエッチング方法を提供する。
上記第3の観点において、前記第1のシリコンエッチング工程の開始時の前記レジスト層の膜厚が300nm以上1μm以下であることが好ましい。また、前記第2のシリコンエッチング工程の開始時において、エッチングにより前記被エッチング層に形成された凹部の深さDと幅Lの比(D/L)が、1以下であることが好ましい。
また、前記第2のシリコンエッチング工程の終了後の前記凹部の深さDと幅Lの比(D/L)が、1〜50であることが好ましい。
また、上記第2の観点および第3の観点において、前記被エッチング層は、シリコン基板またはシリコン層であることが好ましい。
本発明の第4の観点は、コンピュータ上で動作し、実行時に、上記第2の観点および第3の観点のプラズマエッチング方法が行なわれるように前記プラズマ処理装置を制御する、制御プログラムを提供する。
本発明の第5の観点は、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読み取り可能な記憶媒体であって、
前記制御プログラムは、実行時に、上記第2の観点および第3の観点のプラズマエッチング方法が行なわれるように前記プラズマ処理装置を制御するものである、コンピュータ読み取り可能な記憶媒体を提供する。
本発明の第6の観点は、被処理体に対しプラズマエッチング処理を行なうための処理室と、
前記処理室内で被処理体を載置する支持体と、
前記処理室内を減圧するための排気手段と、
前記処理室内に処理ガスを供給するためのガス供給手段と、
前記処理室内で上記第2の観点および第3の観点のプラズマエッチング方法が行なわれるように制御する制御部と、
を備えた、プラズマ処理装置を提供する。
本発明の処理方法によれば、エッチングガスとしてSF/O/SiFを用い、シリコンエッチングの際のピットの発生を防止しながら、シリコンに形成される凹部のエッチング形状を精度よく制御できる。また、前記エッチングガスを用いることにより、マスク直下におけるアンダーカットの発生を抑制できるとともに、高い対マスク(シリコン酸化膜マスク)選択比でシリコンエッチングを行なうことができる。従って、この処理方法は、信頼性の高い半導体装置を製造する上で有利に利用できるものであり、半導体装置のデザインルールの微細化、高集積化への対応も可能である。
以下、図面を参照しながら、本発明の好ましい形態について説明する。
<第1実施形態>
図1は、本発明の第1実施形態に係る処理方法の概要を示すフロー図であり、図2は第1実施形態の処理方法が適用される半導体ウエハ(以下、単に「ウエハ」と記す)Wなどの被処理体110の断面構造を模式的に示す図面である。この被処理体110は、シリコン基板101の上にSiO層102が形成され、さらにその上に、予めパターン形成されたレジスト103を備えている。
まず、図2の状態の被処理体110に対し、後述するプラズマエッチング装置100を用い、レジスト103のパターンに基づき、SiO層102をエッチングする(ステップS1)。図3は、前記パターン開口部内にシリコン基板101の表面が露出するまでSiO層102をエッチングした後の状態を示している。エッチングにより、SiO層102には、開口120が形成され、その底部にシリコン基板101が露出している。また、SiO層102のエッチングに伴い、レジスト103もその表面側から削られて消耗し、その膜厚がTからTへ減少している。このSiO層102のエッチングは、後述するプラズマエッチング装置100(図8参照)を用い、エッチングガスとして、堆積物をあまり生成しないフッ化炭素化合物(C)を含むガス、例えばAr/CF/OやAr/C/Oなどを用いて行なうことができる。
図4は、図3に示す状態の被処理体110に対し、SiO層102の上に残存したレジスト103をマスクとし、後述するプラズマエッチング装置100(図8参照)を用い、処理ガスとしてSF/O/SiFを用いて生成したプラズマにより処理してシリコンエッチングしている状態を示すものである(ステップS2;第1のシリコンエッチング工程)。この際のエッチング条件については後述する。
この第1のシリコンエッチング工程のシリコンエッチングによって、シリコン基板101には、図5に示すように、レジスト103のパターン形状に対応した幅Lを持つ所定深さDの凹部(トレンチまたはホール)121が形成される。この第1のシリコンエッチング工程は、SiO層102上のレジスト103が削られ、消尽するまで行なわれる。
このように、第1のシリコンエッチング工程のシリコンエッチングを、SiO層102上に残存したレジスト103をマスクとして行なうことにより、ピットの核となる付着物を取り去ることができる。つまり、第1のシリコンエッチング工程は、付着物除去工程としての意味を持つ。SiO層102をエッチングする際の反応生成物によって形成され、レジスト103上に付着した付着物は、第1のシリコンエッチング工程の間に取り除かれるため、SiO層102の表面に残存することがない。これにより、ピットの形成を抑制できる。
第1のシリコンエッチング工程に引き続き、図6に示すように、SiO層102をエッチングマスクとし、処理ガスとしてSF/O/SiFを用いて生成したプラズマによりシリコン基板101をエッチング処理する(ステップS3;第2のシリコンエッチング工程)。この際のエッチング条件については後述する。
第2のシリコンエッチング工程によって、シリコン基板101には、図7に示すように、目的とする深さDの凹部(トレンチまたはホール)122が形成される。前記のように、付着物除去工程でもある第1のシリコンエッチング工程において、ピット形成の核となる付着物がSiO層102表面から取り除かれているので、第2のシリコンエッチング工程におけるマイクロトレンチの形成とその成長が抑制され、ピットの発生を防止できる。
また、エッチング溝(凹部122)の側壁が水平方向に対してなす角度(180°−θ;以下「側壁角度」と記す)は、略90°に近く、エッチング形状の精度が確保される。
このように、第1のシリコンエッチング工程から第2のシリコンエッチング工程への切替えタイミングを適切に制御しつつ、これら二つの工程を連続的に実施することにより、ピットの形成を防止しながら、エッチング形状を良好にすることが可能になる。ここで、第1のシリコンエッチング工程から第2のシリコンエッチング工程への移行のタイミングは、例えば、レジスト103の初期膜厚Tにより制御することができる。このレジスト103の初期膜厚Tは、以下のような事項を考慮して定められる。
まず、第1のシリコンエッチング工程の開始時(SiO層102のエッチング終了後)に残存させるべきレジスト103の膜厚Tは、第1のシリコンエッチング工程の工程時間が、SiO層102のエッチングによってレジスト層103表面に付着した付着物を除去するために十分な時間となるように設定する必要がある。また、第1のシリコンエッチング工程で付着物を除去するためには、有機系のレジスト103からの炭素の供給が重要な役割を果たすので、付着物の除去が完全に行なわれるまでは、レジストを残存させておかなければならない。本発明者が別に実施した試験では、SiO層102を約100nm相当エッチングする時間をかけて同じ条件でレジスト103をSF/O/SiFプラズマによりエッチングすると、付着物を除去できることが確認されている。これはエッチングレートの違いからレジスト103の膜厚に換算すると約300nmに相当する。従って、第1のシリコンエッチング工程の開始時のレジスト103の膜厚Tは、例えば300nm以上とすることが好ましい。
一方、レジスト103の膜厚Tが厚すぎると、SiO膜102をマスクとして行なわれる第2のシリコンエッチング工程の時間が短くなり(極端には第2のシリコンエッチング工程がなくなり)、そのままレジスト103をマスクとしてエッチングすることになるため、凹部122の形状の制御が困難となって、凹部122がボーイング形状に形成されてしまうおそれがある。従って、レジスト103の膜厚、つまり、第1のシリコンエッチング工程の時間は、最終的に形成されるシリコン基板101の凹部122の形状制御性に悪影響を与えない範囲を上限として設定することが好ましい。本発明者の知見では、第1のシリコンエッチング工程のエッチングによって形成される凹部121(図5参照)のアスペクト比(D/L)が1以下の間にレジストマスク(第1のシリコンエッチング工程)からSiOマスク(第2のシリコンエッチング工程)へ切り替えれば、エッチング形状への影響が生じることはほとんどないと考えられる。例えば、凹部121が5μm幅(L=5μm)のパターンでは、開口率にも左右されるが、前記ガス系によるシリコンのエッチングレートは概ね5〜15μm/min程度と考えられるので、深さ5μm(D=5μm)までエッチングを行なうためには、20〜60秒間必要になる。この最大時間60秒間で考えると、レジスト103のエッチングレートが1μm/minのとき、レジスト残膜が約1μm以下であれば、エッチング形状へ与える影響は、ほとんど無視できる。従って、第1のシリコンエッチング工程の開始時のレジスト103の膜厚Tは、例えば1μm以下とすることが好ましい。
以上のことから、第1のシリコンエッチング工程の開始時に必要なレジスト103の残存膜厚Tは、300nm以上1μm(1000nm)以下とすることが好ましい。
また、SiO層エッチング工程の開始時のレジスト103の膜厚Tは、SiO層エッチング工程におけるレジスト103に対するエッチングレートを考慮して、第1のシリコンエッチング工程開始時のレジスト103の残存膜厚Tが上記範囲内になるようにレジスト膜厚を設定することが好ましい。
次に、マグネトロンRIEプラズマエッチング装置を例に挙げ、本実施形態をより具体的に説明する。図8は、本実施形態における第1および第2のシリコンエッチング工程を実施するために好適に使用可能なマグネトロンRIEプラズマエッチング装置100を示す断面図である。このプラズマエッチング装置100は、気密に構成され、小径の上部1aと大径の下部1bとからなる段つき円筒状をなし、壁部が例えばアルミニウム製のチャンバー(処理容器)1を有している。
このチャンバー1内には、被処理体として単結晶Si基板であるウエハWを水平に支持する支持テーブル2が設けられている。支持テーブル2は例えばアルミニウムで構成されており、絶縁板3を介して導体の支持台4に支持されている。また、支持テーブル2の上方の外周にはSi以外の材料、例えば石英で形成されたフォーカスリング5が設けられている。上記支持テーブル2と支持台4は、ボールねじ7を含むボールねじ機構により昇降可能となっており、支持台4の下方の駆動部分は、ステンレス鋼(SUS)製のベローズ8で覆われている。ベローズ8の外側にはベローズカバー9が設けられている。なお、上記フォーカスリング5の外側にはバッフル板10が設けられており、このバッフル板10、支持台4、ベローズ8を通してチャンバー1と導通している。チャンバー1は接地されている。
チャンバー1の下部1bの側壁には、排気ポート11が形成されており、この排気ポート11には排気系12が接続されている。そして排気系12の真空ポンプを作動させることによりチャンバー1内を所定の真空度まで減圧することができるようになっている。一方、チャンバー1の下部1bの側壁上側には、ウエハWの搬入出口を開閉するゲートバルブ13が設けられている。
支持テーブル2には、整合器14を介してプラズマ形成用の高周波電源15が接続されており、この高周波電源15から所定の周波数の高周波電力が支持テーブル2に供給されるようになっている。一方、支持テーブル2に対向してその上方には後で詳細に説明するシャワーヘッド20が互いに平行に設けられており、このシャワーヘッド20は接地されている。したがって、支持テーブル2およびシャワーヘッド20は一対の電極として機能する。
支持テーブル2の表面上にはウエハWを静電吸着して保持するための静電チャック6が設けられている。この静電チャック6は絶縁体6bの間に電極6aが介在されて構成されており、電極6aには直流電源16が接続されている。そして電極6aに電源16から電圧が印加されることにより、静電力例えばクーロン力によってウエハWが吸着される。
支持テーブル2の内部には、冷媒室17が設けられており、この冷媒室17には、冷媒が冷媒導入管17aを介して導入され冷媒排出管17bから排出されて循環し、その冷熱が支持テーブル2を介してウエハWに対して伝熱され、これによりウエハWの処理面が所望の温度に制御される。
また、チャンバー1が排気系12により排気されて真空に保持されていても、冷媒室17に循環される冷媒によりウエハWを有効に冷却可能なように、冷却ガスが、ガス導入機構18によりそのガス供給ライン19を介して静電チャック6の表面とウエハWの裏面との間に導入される。このように冷却ガスを導入することにより、冷媒の冷熱がウエハWに有効に伝達され、ウエハWの冷却効率を高くすることができる。冷却ガスとしては、例えばHeなどを用いることができる。
上記シャワーヘッド20は、チャンバー1の天壁部分に支持テーブル2に対向するように設けられている。このシャワーヘッド20は、その下面に多数のガス吐出孔22が設けられており、かつその上部にガス導入部20aを有している。そして、その内部には空間21が形成されている。ガス導入部20aにはガス供給配管23aが接続されており、このガス供給配管23aの他端には、エッチングガスおよび希釈ガスからなる処理ガスを供給する処理ガス供給系23が接続されている。
このような処理ガスが、処理ガス供給系23からガス供給配管23a、ガス導入部20aを介してシャワーヘッド20の空間21に至り、ガス吐出孔22から吐出される。
一方、チャンバー1の上部1aの周囲には、同心状に、ダイポールリング磁石24が配置されている。ダイポールリング磁石24は、図9の水平断面図に示すように、複数の異方性セグメント柱状磁石31がリング状の磁性体のケーシング32に取り付けられて構成されている。この例では、円柱状をなす16個の異方性セグメント柱状磁石31がリング状に配置されている。図9中、異方性セグメント柱状磁石31の中に示す矢印は磁化の方向を示すものであり、この図に示すように、複数の異方性セグメント柱状磁石31の磁化の方向を少しずつずらして全体として一方向に向かう一様な水平磁界Bが形成されるようになっている。
したがって、支持テーブル2とシャワーヘッド20との間の空間には、図10に模式的に示すように、高周波電源15により鉛直方向の電界ELが形成され、かつダイポールリング磁石24により水平磁界Bが形成され、このように形成された直交電磁界によりマグネトロン放電が生成される。これによって高エネルギー状態のエッチングガスのプラズマが形成され、ウエハWがエッチングされる。
また、プラズマエッチング装置100の各構成部は、CPUを備えたプロセスコントローラ50に接続されて制御される構成となっている。プロセスコントローラ50には、工程管理者がプラズマエッチング装置100を管理するためにコマンドの入力操作等を行うキーボードや、プラズマエッチング装置100の稼働状況を可視化して表示するディスプレイ等からなるユーザーインタフェース51が接続されている。
また、プロセスコントローラ50には、プラズマエッチング装置100で実行される各種処理をプロセスコントローラ50の制御にて実現するための制御プログラムや処理条件データ等が記録されたレシピが格納された記憶部52が接続されている。
そして、必要に応じて、ユーザーインタフェース51からの指示等にて任意のレシピを記憶部52から呼び出してプロセスコントローラ50に実行させることで、プロセスコントローラ50の制御下で、プラズマエッチング装置100での所望の処理が行われる。また、前記レシピは、例えば、CD−ROM、ハードディスク、フレキシブルディスク、フラッシュメモリなどのコンピュータ読み取り可能な記憶媒体に格納された状態のものを利用したり、あるいは、他の装置から、例えば専用回線を介して随時伝送させて利用したりすることも可能である。
次に、このように構成されるプラズマエッチング装置を用いて、シリコン(単結晶シリコン基板またはポリシリコン層)に対してプラズマエッチングを行なう本発明のエッチング方法について説明する。
まず、ゲートバルブ13を開にしてウエハWをチャンバー1内に搬入し、支持テーブル2に載置した後、支持テーブル2を図示の位置まで上昇させ、排気系12の真空ポンプにより排気ポート11を介してチャンバー1内を排気する。
そして処理ガス供給系23からエッチングガスおよび希釈ガスを含む処理ガスが所定の流量でチャンバー1内に導入され、チャンバー1内を所定の圧力にし、その状態で高周波電源15から支持テーブル2に所定の高周波電力を供給する。この際に、ウエハWは、直流電源16から静電チャック6の電極6aに所定の電圧が印加されることにより例えばクーロン力により静電チャック6に吸着保持されるとともに、上部電極であるシャワーヘッド20と下部電極である支持テーブル2との間に高周波電界が形成される。シャワーヘッド20と支持テーブル2との間にはダイポールリング磁石24により水平磁界Bが形成されているので、ウエハWが存在する電極間の処理空間には直交電磁界が形成され、これによって生じた電子のドリフトによりマグネトロン放電が生成される。そしてこのマグネトロン放電により形成されたエッチングガスのプラズマによりウエハWがエッチングされる。
エッチングガスとしては、SFとOとSiFを含むガスを用いることが好ましい。SFガスは、プラズマ中で生成されるF原子の密度が他のフッ素系ガスよりも数倍大きいことや、SFに含まれるS原子がSi表面の酸化を防止してSiエッチングを促進する働きがあることから、シリコンエッチングに好適に用いることができる。
また、Oガスは、シリコン基板101中のシリコンと反応して側壁にシリコン酸化膜(SiO)を形成し、垂直方向への異方性エッチングを促進する働きがある。
さらに、SiFは、プラズマ中で解離して気相状態のSiを生成させるので、このSiがO分子や酸素ラジカルと反応することにより、マスク(SiO層102)上にシリコン酸化膜(SiO)を堆積するとともに側壁保護膜(SiO)を増強させ、マスク選択比を改善し、サイドエッチングの進行を抑制する作用がある。
エッチングの形状を良好なものにするためには、ウエハWの温度を調節することも有効である。そのために冷媒室17が設けられており、この冷媒室17に冷媒が循環され、その冷熱が支持テーブル2を介してウエハWに対して伝熱され、これによりウエハWの処理面が所望の温度に制御される。
プラズマ生成用の高周波電源15は、所望のプラズマを形成するためにその周波数および出力が適宜設定される。シリコンエッチングにおいては、ウエハWの直上のプラズマ密度を高くする観点から、周波数を例えば13.56MHzもしくはそれ以上とすることが好ましい。
ダイポールリング磁石24は、ウエハWの直上のプラズマ密度を高くするために、対向電極である支持テーブル2およびシャワーヘッド20の間の処理空間に磁場を印加するが、その効果を有効に発揮させるためには処理空間に10000μT(100G)以上の磁場を形成するような強度の磁石であることが好ましい。磁場は強ければ強いほどプラズマ密度を高くする効果が増加すると考えられるが、安全性の観点から100000μT(1kG)以下であることが好ましい。
なお、プラズマエッチング装置100は、SiO層102をエッチングする際にも利用できる。また、プラズマエッチング装置100は、レジスト103を剥離するためのプラズマアッシングにも使用することが可能であるが、アッシングは専用のプラズマアッシング装置において実施してもよい。
プラズマエッチング装置100を用いてステップS1〜ステップS3までの各工程を実施する際の好適な条件は以下のとおりである。
まず、ステップS1のSiO層エッチング工程におけるエッチング条件として、例えば処理ガスの流量は、Ar=0〜1000mL/min(sccm)、CF=1〜100mL/min(sccm)、O=1〜100mL/min(sccm)、その流量比は、例えばAr/CF/O=30/1/1、処理圧力は1.3〜6.7Pa(10〜50mTorr)、高周波電源15の高周波の周波数は13.56MHz、高周波パワーは、0.5〜1kW、ウエハWの温度は例えば0〜60℃程度に調整することが好ましい。
ステップS2の第1のシリコンエッチング工程およびステップS3の第2のシリコンエッチング工程は、エッチングマスクが異なる点以外は同じ条件で実施することができる。
これらのシリコンエッチングにおいて、例えば、エッチングガスの流量は、SF=1〜1000mL/min(sccm)、O=1〜100mL/min(sccm)、SiF=1〜1000mL/min(sccm)とし、アンダーカットの形成を抑制する観点から、その流量比をSF/O/SiF=1/1/2程度とすることが好ましい。
処理圧力は、エッチングガスの解離により生成するエッチャント密度を高くする観点から、13.3〜133.3Pa(100〜1000mTorr)とすることが好ましい。
また、エッチングガスの解離度を高くする観点から、高周波電源15の高周波の周波数は40MHz、高周波パワーは、1〜3kW(200mm径ウエハの場合)とすることが好ましい。
また、エッチング形状つまり異方性を良好に制御する観点から、ウエハWの温度を例えば−15〜30℃程度に調整することが好ましい。
実施例1
2層マスク・Siエッチング:
図8のプラズマエッチング装置100を使用し、エッチングガスとしてSF/O/SiFを用い、シリコン基板101上にSiO層102とレジスト層103を有する被処理体(図3参照)に対し、レジストをマスクとして用いる第1のシリコンエッチング工程とSiO層102をマスクとして用いる第2のシリコンエッチング工程を連続的に実施し、シリコン基板101に凹部122を形成した。
エッチング条件は下記のとおりである。
レジスト:膜厚=1000nm、レジスト材料=炭素と水素と酸素を含む有機レジスト材料
SiO層:膜厚=2000nm、CVD酸化膜
SF/O/SiF比=150/80/400mL/min(sccm)
圧力=24Pa(180mTorr)
RF周波数(高周波電源15)=40MHz
RFパワー=1500W(4.77W/cm
背圧(センター部/エッジ部)=1333Pa/4000Pa(10/30Torr;Heガス)
上部及び下部電極間距離=37mm
温度(下部電極/上部電極/チャンバ側壁)=−10℃/60℃/60℃
エッチング時間=375秒(第1のシリコンエッチング工程=60秒;第2のシリコンエッチング工程=315秒)
比較例1
オキサイド単層マスク・Siエッチング:
レジストマスクを用いず、SiO層(膜厚=2000nm)のみをマスクとして用いた以外は実施例1と同様にして、シリコン基板101に凹部122を形成した。
比較例2
レジスト単層マスク・Siエッチング:
図8のプラズマエッチング装置100を使用し、エッチングガスとしてSF/O/SiFを用い、シリコン基板上にSiO層とレジスト層を有する被処理体(図2参照)に対し、レジストのみをマスクとして用いてエッチングを実施し、シリコン基板に凹部122を形成した。この際のエッチング条件は下記のとおりである。
レジスト:膜厚=5000nm、レジスト材料=炭素と水素と酸素を含む有機レジスト材料
SF/O/SiF比:以下のとおり変化させた。
1)300/80/0mL/min(sccm);5分間
2)0/80/300mL/min(sccm);5分間
3)300/80/0mL/min(sccm);4.5分間
圧力=13.3Pa(100mTorr)
RF周波数=40MHz(高周波電源15)
RFパワー=500W(1.59W/cm
背圧(センター部/エッジ部)=2666/2666Pa(20/20Torr;Heガス)
上部及び下部電極間距離=27mm
温度(下部電極/上部電極/チャンバ側壁)=−10℃/60℃/60℃
エッチング時間=900秒
表1に、実施例1および比較例1、2におけるSiエッチング深さ、Siエッチングレート、マスク残厚、エッチング溝(凹部122)の側壁角度、およびピット発生状況を示した。なお、側壁角度およびピット発生状況は透過型電子顕微鏡の撮像により評価した。
Figure 0004722725
表1に示すように、SiO層102のみをマスクとして(オキサイド単層マスク)、Siエッチングを行なった比較例1では、凹部122の側壁は略垂直に近く、エッチング形状の制御性は優れていたが、ピットが発生した。また、レジスト103のみをマスクとして(レジスト単層マスク)、Siエッチングを行なった比較例2では、エッチング条件が実施例1や比較例1とは異なるため、単純な比較は出来ないが、凹部122の側壁が傾いてボーイング形状に形成され、エッチング形状の制御が不可能であった。
以上に対し、レジスト103をマスクとして用いる第1のシリコンエッチング工程と、SiO層102をマスクとして用いる第2のシリコンエッチング工程を連続的に実施した実施例1(2層マスク)では、凹部122の側壁が略垂直に近く、エッチング形状の制御性に優れていたとともに、ピットも観察されなかった。従って、レジストマスクのシリコンエッチングと、SiOマスクのシリコンエッチングを組み合わせた2ステップ処理により、ピットの抑制とエッチング形状の制御が両立できることが確認された。
<第2実施形態>
次に、図11および図12を参照しながら、本発明の第2実施形態にかかる処理方法について説明を行なう。前記第1実施形態の処理方法では、シリコンエッチングの際に、SF/O/SiFガスのプラズマを用い、エッチングの途中でエッチングマスクをレジスト103からSiO層102に切替えることによって、ピットの原因となる付着物を除去したが、本第2実施形態では、図11のフロー図および図12に示すように、ピットの原因となる付着物を除去するために、レジストマスクを剥離するレジスト剥離工程の後で、付着物除去工程としてSiO層102の表面エッチング処理を実施する。
まず、ステップS11では、レジスト103をマスクとして用い、SiO層102をエッチング処理し、凹部120を形成する。この工程は第1実施形態の処理方法のステップS1のSiO層エッチング工程と同様に実施可能であるため、説明を省略する。
次に、ステップS12では、レジストを剥離する。ここで、レジスト剥離の方法は問わず、例えばウエット処理、Oガスのプラズマによるプラズマアッシング処理など任意の手法を採用できる。レジストを剥離した後は、SiO層102の表面が露出した状態になる
レジスト剥離後、ステップS13では、付着物除去工程として、エッチングガスのプラズマにより、SiO層102の表面をライトエッチングする。すなわち、プラズマによりSiO層102の表面が好ましくは100nm程度のエッチング量で薄く削られるようにエッチングを行なう。ここでは、エッチングガスとして堆積性の反応生成物を生じ難いフッ化炭素ガスを含むもの、例えばAr/CF/OやAr/C/Oを用いることが好ましい。
付着物除去工程であるステップS13のSiO層表面エッチングは、図8と同様のプラズマエッチング装置100を用いて行なっても良く、他のプラズマエッチング装置を用いてもよい。プラズマエッチング装置100を用いてステップS13のエッチングを行なう際の好適な条件は、次のとおりである。
処理ガスの流量は、例えばAr=0〜1000mL/min(sccm)、C=1〜100mL/min(sccm)、O=1〜100mL/min(sccm)とし、その流量比は、Ar/C/O=30/1/1程度とすることが好ましい。
処理圧力は、例えば1.3〜6.7Pa(10〜50mTorr)とすることが好ましい。
高周波電源15の高周波の周波数は13.56MHz、高周波パワーは、例えば0.5〜2kWとすることが好ましい。
ウエハWの温度は、例えば0〜60℃程度に調整することが好ましい。
次にステップS14では、処理ガスとしてSF/O/SiFを用いて生成したプラズマによりSiO層102をマスクとしてシリコン基板101をエッチングして凹部122を形成する。このシリコンエッチング工程は、第1実施形態の処理方法におけるステップS3の第2のシリコンエッチング工程と同様に実施できるので、ここでは説明を省略する。
本実施形態では、フッ化炭素ガスを含むエッチングガスのプラズマにより、レジスト剥離後のSiO層102の表面をエッチングすることによって付着物を効率よく除去することが可能になり、後に続くシリコンエッチング工程でピットが形成されることを防止することが可能になる。また、ステップS14のシリコンエッチング工程は、SiO層102をマスクとして行なうため、エッチング形状の制御性にも優れている。
<第3実施形態>
次に、図13および図14を参照しながら、本発明の第3実施形態にかかる処理方法について説明を行なう。本実施形態では、ピットの原因となる付着物を除去するために、プラズマを用いてレジストマスクを剥離するプラズマアッシングを実施するとともに、プラズマアッシングの処理ガス中に、フッ化炭素ガスを添加してエッチング作用を持たせることによって、付着物の除去を図るものである。
図13のフロー図および図14に示すように、ステップS21では、レジスト103をマスクとして用い、SiO層102をエッチング処理し、凹部120を形成する。この工程は第1実施形態の処理方法のステップS1のSiO層エッチング工程と同様に実施可能であるため、説明を省略する。
次に、ステップS22では、プラズマを用い、レジスト剥離と付着物除去を同時に行なう。すなわち、アッシングガスのプラズマにより、レジスト103を剥離するとともに、SiO層102の表面を軽くエッチングする。このアッシングの際には、レジストを除去した後、さらに好ましくは100nm程度のエッチング量でSiO層102が薄く削られるように実施する。ここでは、処理ガスとして堆積性の反応生成物を生じ難いフッ化炭素化合物を含むガス、例えばO/CFやO/Cなどを用いることが好ましい。なお、この処理ガス中に、Arなどの希ガスを加えてもよい。
レジスト剥離と付着物除去を同時に行なうステップS22のプラズマアッシングは、図8と同様のプラズマエッチング装置100を用いて行なっても良く、別のプラズマアッシング装置を用いてもよい。プラズマエッチング装置100を用いてステップS22のプラズマアッシングを行なう際の好適な条件は、次のとおりである。
処理ガスの流量は、例えばO=100〜1000mL/min(sccm)、C=1〜50mL/min(sccm)とし、その流量比は、O/C=10/1程度とすることが好ましい。
処理圧力は、例えば6.7〜133.3Pa(50〜1000mTorr)とすることが好ましい。
高周波電源の高周波の周波数は13.56MHz、高周波パワーは、例えば0.5〜2kWとすることが好ましい。
ウエハWの温度は、例えば0〜60℃程度に調整することが好ましい。
次にステップS23では、処理ガスとしてSF/O/SiFを用いて生成したプラズマによりSiO層102をマスクとしてシリコン基板101をエッチングして凹部122を形成する。この工程は第1実施形態の処理方法におけるステップS3の第2のシリコンエッチング工程と同様に実施可能であるため、説明を省略する。
本実施形態では、フッ化炭素ガスを含むアッシングガスを用い、レジスト剥離と同時に、添加したフッ化炭素ガスのエッチング作用によってSiO層102の表面を軽くエッチングするので、付着物を効率よく除去することが可能になり、後に続くシリコンエッチング工程でピットが形成されることを確実に防止できる。
以上のように、本発明の第1〜第3実施形態に係る処理方法では、ピットの形成を防止しながら、エッチング形状を良好に制御することが可能になる。また、エッチングガスとして、SF/O/SiFを用いることにより、被エッチング対象のシリコンに対し、アスペクト比(D/L)が1〜50の凹部122(ホールやトレンチなど)を高マスク選択比かつマスク直下のアンダーカットを防止しつつ形成できる。
従って、本発明の処理方法は、例えば素子分離を目的とするDTI(Deep Trench Isolation)用のトレンチ形成やメモリセル・キャパシタ用のトレンチ形成、三次元実装デバイスやMEMS(Micro Electro Mechanical System)における層間コンタクトのためのトレンチ形成などに好適に利用できる。
以上、本発明の実施形態を述べたが、本発明は上記実施形態に制約されることはなく、種々の変形が可能である。例えば、上記実施形態ではマグネトロンRIEプラズマエッチング装置の磁場形成手段としてダイポールリング磁石を用いたが、これに限るものではなく、磁場の形成も必須なものではない。また、本発明のガス種によってプラズマを形成することができれば装置は問わず、容量結合型や誘導結合型等の種々のプラズマエッチング装置を用いることができる。
本発明は、例えばトランジスタなどの各種半導体装置を製造する過程において好適に利用可能である。
本発明の第1実施形態に係る処理方法の概要を示すフロー図。 本発明方法が適用される半導体ウエハの表面付近の断面構造を示す模式図。 SiO層をエッチングした後の半導体ウエハの状態を示す図面。 第1のシリコンエッチング工程で半導体ウエハをプラズマにより処理している状態を示す図面。 第1のシリコンエッチング工程後の半導体ウエハの状態を示す図面。 第2のシリコンエッチング工程での半導体ウエハをプラズマにより処理している状態を示す図面。 第2のシリコンエッチング工程後の半導体ウエハの状態を示す図面。 本発明のエッチング方法の実施に好適なマグネトロンRIEプラズマエッチング装置を示す断面図。 図8の装置のチャンバーの周囲に配置された状態のダイポールリング磁石を模式的に示す水平断面図。 チャンバー内に形成される電界および磁界を説明するための模式図。 本発明の第2実施形態に係る処理方法の概要を示すフロー図。 第2実施形態に係る各工程の半導体ウエハの表面付近の断面構造を示す模式図。 本発明の第3実施形態に係る処理方法の概要を示すフロー図。 第3実施形態に係る各工程の半導体ウエハの表面付近の断面構造を示す模式図。 従来技術のプラズマエッチングの概要を説明する図面。
符号の説明
1;チャンバー(処理容器)
2;支持テーブル(電極)
12;排気系
15;高周波電源
17;冷媒室
18;ガス導入機構
20;シャワーヘッド(電極)
23;処理ガス供給系
24;ダイポールリング磁石
101;シリコン基板
102;SiO
103;レジスト
W;ウエハ

Claims (16)

  1. シリコンを主成分とする被エッチング層と、該被エッチング層の上に形成された酸化シリコン層と、該酸化シリコン層の上に形成された、予めパターニングされたレジスト層と、を有する被処理体に対して、前記レジスト層をマスクとして前記酸化シリコン層をプラズマエッチング処理する酸化シリコンエッチング工程と、
    前記酸化シリコンエッチング工程で生成し、被処理体に付着した付着物を除去する付着物除去工程と、
    前記酸化シリコン層をマスクとして、SFとOとSiFとを含む処理ガスから生成されるプラズマを用い、被エッチング層をプラズマエッチング処理するシリコンエッチング工程と、
    を含み、前記付着物除去工程は、前記シリコンエッチング工程の前に、前記レジスト層をマスクとして、SF とO とSiF とを含む処理ガスから生成されるプラズマを用い、前記レジスト層が削り尽くされるまで、被エッチング層をエッチング処理するものである、処理方法。
  2. プラズマ処理装置の処理室内で、シリコンを主成分とする被エッチング層に対し、
    プラズマを生成する処理ガスとして、SFとOとSiFとを含む処理ガスを用い、被エッチング層の上に形成された酸化シリコン層および該酸化シリコン層の上に形成されたレジスト層をマスクとしてプラズマエッチング処理を行ない、被エッチング層に凹部を形成するプラズマエッチング工程を含むことを特徴とする、プラズマエッチング方法。
  3. 前記プラズマエッチング工程の開始時点における前記レジスト層の膜厚が300nm以上1μm以下である、請求項2に記載のプラズマエッチング方法。
  4. 前記レジスト層が削り尽くされた後も前記酸化シリコン層をマスクとしてエッチングを継続する、請求項2または請求項3に記載のプラズマエッチング方法。
  5. 前記レジスト層が削り尽くされた時点の前記凹部の深さDと幅Lの比(D/L)が1以下である、請求項4に記載のプラズマエッチング方法。
  6. 前記プラズマエッチング工程の終了後の前記凹部の深さDと幅Lの比(D/L)が、1〜50である、請求項5に記載のプラズマエッチング方法。
  7. 前記プラズマエッチング工程は、前記レジスト層をマスクとして被エッチング層をエッチングする第1のシリコンエッチング工程と、前記レジスト層が削り尽くされた後に、前記酸化シリコン層をマスクとして被エッチング層をエッチングする第2のシリコンエッチング工程と、を含む、請求項2に記載のプラズマエッチング方法。
  8. 前記マスクの開口幅に対応して、前記凹部の側壁が略垂直に形成されるように、前記第1のシリコンエッチング工程の時間と前記第2のシリコンエッチング工程の時間とを配分する、請求項7に記載のプラズマエッチング方法。
  9. プラズマ処理装置の処理室内で、SFとOとSiFとを含む処理ガスからプラズマを生成する工程と、
    シリコンを主成分とする被エッチング層の上に酸化シリコン層を介して設けられ、予めパターン形成されたレジスト層をマスクとして、前記プラズマにより、被エッチング層をエッチングする第1のシリコンエッチング工程と、
    前記レジスト層が削り尽くされた後に、前記酸化シリコン層をマスクとして、前記プラズマにより、被エッチング層をエッチングする第2のシリコンエッチング工程と、
    を含む、プラズマエッチング方法。
  10. 前記第1のシリコンエッチング工程の開始時の前記レジスト層の膜厚が300nm以上1μm以下である、請求項9に記載のプラズマエッチング方法。
  11. 前記第2のシリコンエッチング工程の開始時において、エッチングにより前記被エッチング層に形成された凹部の深さDと幅Lの比(D/L)が、1以下である、請求項9または請求項10に記載のプラズマエッチング方法。
  12. 前記第2のシリコンエッチング工程の終了後の前記凹部の深さDと幅Lの比(D/L)が、1〜50である、請求項9から請求項11のいずれか1項に記載のプラズマエッチング方法。
  13. 前記被エッチング層は、シリコン基板またはシリコン層である、請求項2から請求項12のいずれか1項に記載のプラズマエッチング方法。
  14. コンピュータ上で動作し、実行時に、請求項2から請求項13のいずれか1項に記載されたプラズマエッチング方法が行なわれるように前記プラズマ処理装置を制御する、制御プログラム。
  15. コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読み取り可能な記憶媒体であって、
    前記制御プログラムは、実行時に、請求項2から請求項13のいずれか1項に記載されたプラズマエッチング方法が行なわれるように前記プラズマ処理装置を制御するものである、コンピュータ読み取り可能な記憶媒体。
  16. 被処理体に対しプラズマエッチング処理を行なうための処理室と、
    前記処理室内で被処理体を載置する支持体と、
    前記処理室内を減圧するための排気手段と、
    前記処理室内に処理ガスを供給するためのガス供給手段と、
    前記処理室内で請求項2から請求項13のいずれか1項に記載されたプラズマエッチング方法が行なわれるように制御する制御部と、
    を備えた、プラズマ処理装置。
JP2006040536A 2006-02-17 2006-02-17 処理方法およびプラズマエッチング方法 Active JP4722725B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2006040536A JP4722725B2 (ja) 2006-02-17 2006-02-17 処理方法およびプラズマエッチング方法
US11/674,764 US7902078B2 (en) 2006-02-17 2007-02-14 Processing method and plasma etching method
KR1020070016303A KR100893959B1 (ko) 2006-02-17 2007-02-16 처리 방법 및 플라즈마 에칭 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006040536A JP4722725B2 (ja) 2006-02-17 2006-02-17 処理方法およびプラズマエッチング方法

Publications (2)

Publication Number Publication Date
JP2007220939A JP2007220939A (ja) 2007-08-30
JP4722725B2 true JP4722725B2 (ja) 2011-07-13

Family

ID=38497875

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006040536A Active JP4722725B2 (ja) 2006-02-17 2006-02-17 処理方法およびプラズマエッチング方法

Country Status (2)

Country Link
JP (1) JP4722725B2 (ja)
KR (1) KR100893959B1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9224616B2 (en) 2012-06-12 2015-12-29 Tokyo Electron Limited Etching method and plasma processing apparatus

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5203340B2 (ja) * 2009-12-01 2013-06-05 東京エレクトロン株式会社 半導体装置の製造方法
JP2013084695A (ja) * 2011-10-06 2013-05-09 Tokyo Electron Ltd 半導体装置の製造方法
JP5792613B2 (ja) * 2011-12-28 2015-10-14 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP5878091B2 (ja) * 2012-07-20 2016-03-08 東京エレクトロン株式会社 エッチング方法
JP5889368B2 (ja) * 2013-09-05 2016-03-22 Sppテクノロジーズ株式会社 プラズマエッチング方法
JP6817168B2 (ja) * 2017-08-25 2021-01-20 東京エレクトロン株式会社 被処理体を処理する方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004259819A (ja) * 2003-02-25 2004-09-16 Hitachi High-Technologies Corp 試料の表面処理装置及び表面処理方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6432627A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
TW473857B (en) * 1996-04-26 2002-01-21 Hitachi Ltd Method of manufacturing semiconductor device
JP3267199B2 (ja) * 1996-07-11 2002-03-18 株式会社デンソー 半導体装置の製造方法
JPH11243080A (ja) * 1998-02-25 1999-09-07 Nec Corp 半導体基板のエッチング方法
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004259819A (ja) * 2003-02-25 2004-09-16 Hitachi High-Technologies Corp 試料の表面処理装置及び表面処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9224616B2 (en) 2012-06-12 2015-12-29 Tokyo Electron Limited Etching method and plasma processing apparatus

Also Published As

Publication number Publication date
JP2007220939A (ja) 2007-08-30
KR20070082883A (ko) 2007-08-22
KR100893959B1 (ko) 2009-04-20

Similar Documents

Publication Publication Date Title
US9177823B2 (en) Plasma etching method and plasma etching apparatus
JP5102653B2 (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP4877747B2 (ja) プラズマエッチング方法
JP6328524B2 (ja) エッチング方法
JP4827083B2 (ja) プラズマエッチング装置およびプラズマエッチング方法
JP4722725B2 (ja) 処理方法およびプラズマエッチング方法
WO2013008824A1 (ja) プラズマエッチング方法
KR102363778B1 (ko) 에칭 방법
US7902078B2 (en) Processing method and plasma etching method
JP2008192906A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
TW201104743A (en) Substrate processing method
KR102496968B1 (ko) 에칭 방법
JP5064319B2 (ja) プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
JP2008021791A (ja) プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP5878091B2 (ja) エッチング方法
JP6504827B2 (ja) エッチング方法
JP2017059750A (ja) 被処理体を処理する方法
US10651077B2 (en) Etching method
JP2010087233A (ja) ドライエッチング方法及びドライエッチング装置
JP4672318B2 (ja) エッチング方法
JP2006165246A (ja) プラズマエッチング方法
KR102441115B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2007059666A (ja) 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP2010166092A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090123

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101019

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110126

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110405

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110406

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140415

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250