JP3708031B2 - プラズマ処理装置および処理方法 - Google Patents

プラズマ処理装置および処理方法 Download PDF

Info

Publication number
JP3708031B2
JP3708031B2 JP2001198830A JP2001198830A JP3708031B2 JP 3708031 B2 JP3708031 B2 JP 3708031B2 JP 2001198830 A JP2001198830 A JP 2001198830A JP 2001198830 A JP2001198830 A JP 2001198830A JP 3708031 B2 JP3708031 B2 JP 3708031B2
Authority
JP
Japan
Prior art keywords
processing
recipe
result
plasma
estimation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001198830A
Other languages
English (en)
Other versions
JP2003017471A (ja
Inventor
昭 鹿子嶋
秀之 山本
祥二 幾原
俊夫 増田
浩之 橘内
潤一 田中
なつよ 森岡
研二 玉置
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi Ltd filed Critical Hitachi High Technologies Corp
Priority to JP2001198830A priority Critical patent/JP3708031B2/ja
Priority to TW090121995A priority patent/TWI227520B/zh
Priority to KR10-2001-0054377A priority patent/KR100463256B1/ko
Priority to US09/946,503 priority patent/US6733618B2/en
Publication of JP2003017471A publication Critical patent/JP2003017471A/ja
Priority to US10/350,061 priority patent/US6881352B2/en
Priority to KR10-2004-0045674A priority patent/KR100499226B1/ko
Priority to US10/933,413 priority patent/US20050022932A1/en
Priority to KR1020050005254A priority patent/KR20050016729A/ko
Application granted granted Critical
Publication of JP3708031B2 publication Critical patent/JP3708031B2/ja
Priority to US11/346,298 priority patent/US7601240B2/en
Priority to KR1020070012565A priority patent/KR100779178B1/ko
Priority to US11/783,653 priority patent/US20070193687A1/en
Priority to US12/351,159 priority patent/US20090120580A1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Description

【0001】
【発明の属する技術分野】
本発明はプラズマ処理装置および処理方法にかかり、特に外乱による影響を抑制することのできるプラズマ処理装置および処理方法に関する。
【0002】
【従来の技術】
プラズマ処理装置は、例えば、真空処理室内にエッチングガスを導入し、減圧下でプラズマ放電を発生させ、このプラズマ中に発生するラジカルあるいはイオンを、被処理物であるウエハ表面に反応させてエッチングをする装置が知られている。 このような処理を行うドライエッチング装置は、レシピと呼ばれる製造条件(ガス流量、ガス圧力、投入電力、エッチング時間等)のもとにエッチング処理を行う。前記レシピは半導体デバイスの特定の製造工程(同一プロセス)においては、常に一定に保持されている。なお、前記1つのプロセスを数ステップに分割して各ステップ毎に製造条件を変更する場合もある。
【0003】
【発明が解決しようとする課題】
半導体製造工程において、ドライエッチング装置があるプロセスを処理する場合、前述のようにレシピと呼ばれる製造条件をウエハ処理毎に毎回一定に設定してウエハ加工を行う。
【0004】
しかし、最近の微細化が進んだ状態でのドライエッチングプロセスでは、ウエハとエッチングガスの反応生成物が処理室内壁に堆積し、この堆積物からアウトガスと呼ばれる不要なガスが発生し、このため処理室内の環境が経時変化する。さらに、処理室関連部品の温度変化、部品の消耗によっても処理室内環境は変化する。このようにドライエッチング装置には様々な外乱要因が存在する。
【0005】
また、エッチングの前工程であるリソグラフィー工程で形成するマスクの形状寸法のばらつきも、エッチング結果に重要な影響を与える。
【0006】
すなわち、一定のレシピを用いてエッチング処理を行っても、種々の外乱により一定の性能を得ることは困難である。本発明はこれらの問題点に鑑みてなされたもので、特に外乱による影響を抑制することのできるプラズマ処理装置および処理方法を提供する。
【0007】
【課題を解決するための手段】
本発明は、上記の課題を解決するために次のような手段を採用した。
【0008】
真空処理室内に収容した試料に処理を施すプラズマ処理装置と、該処理装置の処理中のプロセス量をモニタするセンサと、該センサからのモニタ出力および予め実測した加工形状とモニタ出力を圧縮して生成した処理状態信号との相関関係の重回帰分析により求めた加工処理結果の予測式をもとに加工処理結果を推定する加工処理結果推定モデルと、前記加工処理結果推定モデルの推定結果をもとに加工処理結果が加工寸法の目標値となるように処理条件の補正量を計算する最適レシピ計算モデルを備え、該最適レシピ計算モデルが生成したレシピをもとに、該レシピに最も近いレシピを予め格納したレシピの中から選択してプラズマ処理を制御する。
【0009】
また、真空処理室内に収容した試料にプラズマ処理を施すプラズマ処理方法であって、該処理方法は、前記処理中のプロセス量をモニタするステップと、前記モニタ結果をもとに加工処理結果を推定するステップと、前記加工処理結果の推定結果をもとに加工処理結果が加工寸法の目標値となるように処理条件の補正量を計算して最適レシピを生成するステップと、前記生成した最適レシピをもとに該レシピに最も近いレシピを予め格納したレシピの中から選択してプラズマ処理を制御するステップからなる。
【0010】
【発明の実施の形態】
図1は、本発明の実施形態にかかるドライエッチング装置の概要を示す図である。図において、1はプラズマ1cを生成するプラズマ処理室、1bは処理室内のウエハステージ1a上に載置した被処理物であるウエハである。2は装置に供給するガス流量、ガス流量、ガス圧力、投入電力等の処理中のプロセス量をモニタするためのセンサであり、これらのセンサは通常ドライエッチング装置に標準装備される。3は付加センサであり、例えば、プラズマ光のスペクトルを解析するための発光分光センサ(OES: Optical Emission Spectroscopy)、プラズマ粒子の質量を分析するための4重極質量分析装置(QMS: Quadrupole Mass Spectrometry)、4はレシピ6に従ってドライエッチング装置を制御するアクチュエータ、5は処理中のプロセス量をレシピあるいは生産管理情報(ロット番号、ウエハID等)と結合して保存するデータベースである。なお、前記レシピはウエハ処理中あるいはウエハ処理毎に変更可能である。
【0011】
図2は、本発明の第1の実施形態にかかるドライエッチング処理システムの全体構成を示す図である。該装置はフィードバック(FB)制御系およびフィードフォワード(FF)制御系を含む。
【0012】
図において、21はフォトリソグラフィ処理装置であり、例えば半導体基板上にレジストを塗布し、該レジストを、例えば目的とするFETのゲート部分に目的とする電極幅のゲート電極が得られるようにエッチング加工する。以下この電極幅の目標値あるいは加工結果の値をCD(crtical dimension)値と称する。22は前記エッチング後のレジストのCD値を計測するCD−SEM等の計測機、23はプラズマエッチング処理装置(エッチャ)、24はプラズマエッチング処理装置に供給するガス流量、ガス圧力、投入電力、OES、QMS等の処理中のプロセス量をモニタするためのセンサ(以下In−Situセンサと称する)である。25は加工結果推定モデルであり、前記In−Situセンサのモニタ出力あるいは予め設定した加工結果の予測式を用いて加工結果(例えば前記加工結果CD値)を推定する。なお、In−Situセンサはウエハ処理中にウエハ毎にモニタ可能であるため、前記推定モデルはウエハ毎に加工結果を推定することができる。また、この推定モデルは後述する加工結果の測定機出力に基づき修正することができる。
【0013】
26は最適レシピ計算モデルであり、前記加工結果の推定モデルの推定結果および目標値27をもとに最適レシピ計算モデルを、例えば図の例1または例2に示すように修正して最適レシピを生成する。また、この計算モデルは前記CD−SEM等の計測機22の出力を例3に示すようにフィードフォワード制御入力として利用することができる。
【0014】
28は使用可能レシピ選択手段であり、レシピサーバ29に格納したレシピの中から最適レシピ計算モデルが生成した最適レシピに最も近いレシピを選択して使用可能レシピとして設定する。
【0015】
30は加工結果のCD値を測定するCD−SEM(寸法側長用走査形電子顕微鏡(Critical Dimension−Scanning Electron Microscope))、31はその他の加工結果を測定するX−SEM(断面測定用走査形電子顕微鏡(Cross Section−Scanning Electron Microscope))等の加工結果の測定機であり、加工結果をCD値あるいはゲート形状信号32として出力する。なお、前記CD−SEM30およびX−SEM31等の測定機は、前記プラズマエッチング処理装置の処理単位毎(ロット単位毎)にウエハをサンプリングして計測することになる。このため前記CD値あるいはゲート形状はロット毎に得られることになる。
【0016】
図3は、本実施形態のドライエッチング装置のフィードバック制御を説明する図である。まずステップ1において、加工目標値(CD値)を設定する。ステップ2において最適レシピ計算モデルは加工目標値から目標値を達成するのに最適なレシピを計算する。ステップ3において、最適レシピに最も近い使用可能なレシピを選択し、ステップ4において、該レシピをエッチング処理装置23に設定する。ステップ5において、エッチングを開始する。ステップ6において、エッチングを行っている間の装置の状態をIn−Situセンサによりモニタリングする。ステップ7において、1枚のウエハのエッチング処理が終了すると、ステップ8において、加工結果推定モデルを用いて、前記In−Situセンサの測定値をもとにウエハの加工結果を推定する。ステップ9において、前記推定した加工結果と目標値をもとに、図4に示すように最適レシピ計算モデルを修正し、修正した最適レシピをエッチング処理装置23に設定する。次いでステップ2に進んで次のウエハを処理する。
【0017】
また、前述のように、各ロット毎にウエハを1枚ずつサンプリングして、ウエハの実寸法をCD−SEM30あるいはX−SEM31等の加工結果の測定機で測定し、該測定結果に基づいて加工結果の推定モデルを精度よく修正することができる。また、この推定モデルの修正により、前記サンプリング検査のみによりウエハの全数検査に相当する精度のよい検査を実行することができる。
【0018】
このように本制御方式によれば、In−Situセンサの測定値を用いて加工結果を推定し、フィードバック制御することができる。また、In−Situセンサの測定値を用いるので、ウエハの実寸法をCD−SEM30あるいはX−SEM31等の加工結果の測定機のみで測定する方法(In−Situセンサを用いない方法)に比して、高速なフィードバックループ(ウエハ毎のフィードバック制御ループ)構成することができ、不良ウエハの大量生産を抑制することができる。
【0019】
次に、本実施形態のドライエッチング装置のフィードフォワード制御を説明する。フォトリソグラフィ処理装置21により処理したウエハのレジスト加工寸法(例えばCD値)はCD−SEM等の測定機22により測定する。最適レシピ計算モデル26は、この測定値と前記目標値27とを比較し、ホトリソグラフィ工程におけるレジスト加工寸法の目標値からの前記ずれを相殺する加工量(CDシフト量)を見積もり(図中Y)、この見積もりをもとに最適レシピ計算モデルを用いて最適レシピを計算する。次いで、使用可能レシピ選択手段28はレシピサーバに格納したレシピの中から最適レシピ計算モデルが生成した最適レシピに最も近いレシピを選択して使用可能レシピとして設定する。
【0020】
図4においては、ホトリソグラフィ工程における加工結果が目標CD値よりも大の場合の例を示している。このようなような場合は、レジストを目標CD値になるようにエッチング処理で細くする(スリミング処理)か、あるいは、BARC/HLD(反射防止膜)エッチング処理で目標CD値になるように調整する。次いで目標CD値となったレジスト、あるいはBARC/HLDをマスクとしてエッチング処理する。この場合、目標とするレジストのサイドエッチングによって生じるCDシフト量を見積り、この見積もりをもとに、図5に示すように最適レシピ計算モデルにより最適レシピを計算する。次いで、計算した最適レシピにもっとも近い、使用可能なレシピを選択し、レジストをエッチング処理する。
【0021】
次に、同様に、前記レジストのCD値をもとに、最適レシピ計算モデルにより最適レシピを計算し、計算した最適レシピにもっとも近い使用可能なレシピを選択してウエハのエッチング処理を行い、エッチング処理の工程を終了する
図6は、本発明のドライエッチング装置の他の例を示す図である。なお、図において図2に示される部分と同一部分については同一符号を付してその説明を省略する。この例においては、図2に示す加工結果推定モデルは使用しない。こうすることにより、フィードバックのループ速度は遅くなるが、加工結果の測定機30,31,からの実データを用いたフィードバックを行うことができる。このため、最適レシピ計算モデルをより正確に修正することができる。
【0022】
図16は、本発明のドライエッチング装置の他の例を示す図である。なお、図において図2に示される部分と同一部分については同一符号を付してその説明を省略する。この例においては、図2に示すCD−SEM30とX−SEM31、および加工結果32は使用しない。In−Situセンサ24と加工結果推定モデル25が高精度で維持できる場合、CD−SEM等からのモデル補正は不要となるためである。こうすることにより、CD−SEM、X−SEM等の検査装置を必要としない処理方法が実現でき、半導体製造において検査工程を削減できる。
【0023】
図7は、本発明のドライエッチング装置のさらに他の例を示す図である。なお、図において図2に示される部分と同一部分については同一符号を付してその説明を省略する。この例においては、図2に示すIn−Situセンサ24に代えて、光散乱形状推定手段(Scatterometry)を用いる。光散乱形状推定手段は、ウェハ上に設けた複数の格子マークに、波長もしくは入射角をパラメータとして光を照射して反射率を測定する。つぎに、予め理論計算によって作成しておいた特徴ライブラリと比較して一致度の良いライブラリ波形を探索し、さらに、形状パラメータを調整することにより複数の格子マークにより形成されるウエハの形状、寸法を推定することができる。
【0024】
この光散乱形形状推定手段24Aを用いて、ロット毎にサンプルしたウエハの格子マークの加工形状を測定し、前記加工結果推定モデル25を修正するようにすれば、X−SEMによる破壊検査を行うことなく形状推定精度を修正することができる(図15)。
【0025】
この光散乱推定手段24Aを、プロセス量をモニタするための測定装置(Integrated Metrology)としてエッチング処理装置23に組み込み、エッチング直後のウェハをエッチング装置内で計測し、寸法、形状を推定する。推定結果を基に最適レシピ計算モデルを修正することは図2の場合と同様である。
【0026】
図8は、In−situセンサを用いた加工結果推定と加工制御の効果を示す図である。図では、In−situセンサの例として処理中のプラズマ発光を測定する例を示す。プラズマ発光には、プロセスを支配するエッチャントやイオンの情報が含まれており、プラズマ発光のピーク強度やスペクトル形状の変化から加工結果の変化を推定することができる。
【0027】
なお、プラズマ発光の変化はわずかであるので、プラズマ発光に対してなんらかの数値処理を施して発光スペクトルの変化成分を感度よく抽出することが望ましい。演算処理としては、たとえば標準スペクトルに対して比や差分をとる方法がある。あるいは統計解析的な手法、たとえば主成分分析を用いれば、多くの発光ピークのなかから変化したピーク成分のみをフィルタリングしてとりだすことができる。
【0028】
図8の左欄には、プラズマ発光に対して数値処理をほどこした結果を示している。図の*印は、側壁デポジットに影響を与える発光ピークの変化を示す。この発光ピークの分析結果から、加工結果推定モデルにもとづいて加工結果が推定できる。この様子は図8の中央部に示してあり、所定の形状(最上段に示す)に比べて、発光ピークの変化に対応して側壁デポジットが増加してテーパ角が増加していることが推定される。
【0029】
これらの結果にもとづいて、最適なレシピを計算して加工制御をおこなう。最適レシピ計算は、処理レシピに対して補正をかけることで行う。加工目標値からのずれ量に応じて、例えば、テーパ角が目標値に一致している場合は補正を加えずに、テーパ角が大きい場合は補正量を大きくとる。これにより、図3の右欄に示すように、テーパ角を一定にたもつことができる。この最適レシピ計算の方法は後述する。なお、ここではIn−situセンサとして、プラズマ発光をを検出するセンサ用いたが、これ以外にも、たとえば放電電圧(Vpp)やバイアス電圧(Vdc)、あるいはインピーダンスモニタを用いることもできる。
【0030】
図9は、本実施形態にかかるドライエッチング装置のエッチング制御を説明するブロック図である。
【0031】
プロセス量をモニタし、さらに加工結果をモニタするセンサ91としては、発光分光器などの多数のデータを出力するセンサ、プラズマインピーダンスモニタのようにプラズマの状態に感度が高いセンサ、その他の圧力や温度や電圧、電力の入射、反射などの種々のセンサを備えることができる。また、発光分光器のように多数のデータを同時に取得できるセンサが一つあるだけでもよい。これらのセンサは一定時間毎、たとえば1秒毎に、装置の状態を表す信号を出力する。この一回の出力あたりに、センサデータの数は数十個から数千個である。
【0032】
信号圧縮部92はこれらの多数のデータを圧縮して装置状態信号を生成する。装置状態信号の数は場合によって変わるが、数個から数十個の場合がある。この信号圧縮には主成分分析などの統計的解析法を用いることができる。
【0033】
加工結果推定部93は、前記装置状態信号の時間変化から、平均化や微分操作によって、ウエハ毎の処理状態信号を生成する。
【0034】
ここで、図の加工結果予測式94は、前記生成したウエハ毎の処理状態信号から処理後のウエハの加工結果を予測する予測式であり、予めデータベースに格納しておく。さらに、前記加工結果推定部93は前記処理状態信号および予測式を用いてウエハの加工形状を予測する。なおウエハ内で加工形状のばらつきがあるときには、このばらつきも計算する。
【0035】
最適レシピ計算モデル95は前記予測結果および処理の目標値96を入力して、加工結果が目標値になるように処理条件の補正量を計算する。この補正された処理条件(最適レシピ)を装置制御部97に渡して、エッチング装置98を制御して、次のウエハの処理を行う。なお、前記加工結果予測式は、その予測精度の検定をCD−SEMなどの加工形状の測定機による実測結果と比較することにより行うことができる。
【0036】
図10は、フィードバック制御あるいはフィードフォワード制御による安定化の効果を示す図である。縦軸はCDゲインであり、CD値の加工による太り量を示す。生産管理上、このCDゲインはわずかに正の値で一定に保たれることが理想的である。しかし、リアクタ内壁面への反応生成物の堆積などにより、プラズマやケミストリの状態がわずかではあるが変化していくために加工に長期的な変動が生じる。これをこの図ではロット間変動と名づけている。特にリアクタを大気開放して内部の堆積物を除去する全掃後から、リアクタ内壁面の状態が安定するまでの間に変動がでる。また、ロット内においても、反応生成物の堆積や内壁面の温度変化などにより短期的な変動(ロット内変動)が生じる。さらに、ホト工程やエッチング工程の加工によるばらつき変動も生じる。
【0037】
従来からこうした変動に対しては、内壁面の温度調整などのハード的な改善により、あるいは適当な間隔で(たとえばロットごとやウエハごとに)クリーニングをおこなって堆積物を除去して、リアクタの状態を安定化させることによりデバイス加工のマージン以内におさめている。しかしながら、デバイスの微細化にともない、加工マージンが小さくなると従来の方法では安定化の限界が生じている。これに対して本実施形態に示すようにフィードバック制御あるいはフィードフォワード制御を施すことにより、図10下段に示すようにロット間変動・ロット内変動・ばらつき変動をおさえてデバイス加工のマージン以内におさめることが可能になる。
【0038】
図11は、図9に示す処理結果予測式を生成するための処理を説明する図である。まず、ステップ1において、エッチング処理装置を用いて試料(ウエハ)の処理を行う。ステップ2において、プロセス量をモニタするセンサのデータをデータ圧縮部において圧縮し、ステップ3において圧縮したデータを処理状態信号データベースに格納する。ステップ4において、前記処理の終了したウエハの加工形状を、例えばCD−SEMなどで測定し、ステップ5において、加工結果データベースに保存する。ステップ6において、前記実測した加工形状と処理状態信号の相関関係式を重回帰分析により求め、加工結果予測式を生成する
【0039】
図12は、本発明のドライエッチング装置のさらに他の例を示す図である。この例では、最適レシピ計算モデルのモデル化方法として統計処理に一般に用いられている応答曲面モデルを利用した。また、図13は最適レシピ計算モデル構築のための処理を示す図である。
【0040】
まず、目標とするエッチング性能の項目をA,B,Cとし、エッチング装置へ設定するレシピパラメータがa,b,c,d,e,fの6項目であるとする。A,B,Cは、例えば選択比、サイドエッチング量、テーパー角度といったものであり、a,b,c,d,e,fは、例えばガス流量、圧力、電圧、電力、温度、時間といったものである。まず、ステップ1において、タグチメソッドを用いた評価実験を行い、ステップ2において、均一性に影響を与えるレシピパラメータを選択し、制御可能なパラメータから除外する。これらのパラメータ(本図ではd,e,f)は固定レシピパラメータとして常時固定とすることで、ウエハ毎のフィードバック制御(Run−to−Run制御)により均一性が劣化することを防ぐ。
【0041】
ステップ3において、実験計画法を用いてモデル化に必要なデータを取得し、ステップ4において、最適レシピ計算モデルを作成する。図11においては最適レシピ計算モデルの概念を容易に理解できるようレシピパラメータa,b,cに対して、エッチ性能A,B、エッチ性能A,C、およびエッチ性能B,Cのみがそれぞれ関与している3次元のモデルを仮定した。実際には応答曲面法により生成される最適レシピ計算モデルは、エッチ性能A,B,Cを入力とし、レシピパラメータa,b,cを出力とするような多次元のモデルである。本構築例においては、エッチング性能を変化させるためにモデルの傾斜を変化させる方法をとった。このようにして修正されたモデルを用いて導かれる更新されたレシピパラメータa’,b’,c’と固定レシピパラメータd,e,fを次のウエハの処理条件として与える。ステップ5において、前記エッチング条件にしたがってエッチング処理を実行する。
【0042】
図14は、使用可能レシピ選択手段の使用可能レシピ選択方法を説明する図である。あるプロセスにおいて、1枚目のウェハを処理する場合、まず、最適レシピ計算モデルにより、CDシフト量およびCDテーパの目標値を元に、▲1▼で示すレシピNo.20が算出されて、このレシピで処理が行われる。ここでは説明を簡便にするために目標値を2変数としたが、2変数以上の場合も同様である。
【0043】
1枚目のウェハのエッチング処理終了後、加工結果推定モデルあるいはCD−SEM等の計測機により加工結果を測定する。この測定結果が▲2▼に示すように目標としていたものからずれていたとする。この場合は、当初の計算モデルが経時変化などにより変動していると判断し、モデルを当初のレシピ(ここではレシピNo.20)が当該加工結果に一致するように移動もしくは傾斜させ、モデル修正を行う(初期の最適レシピ計算モデルを移動して修正後の最適レシピ計算モデル(1)とする)。
【0044】
2枚目のウェハのエッチング処理時には、修正された最適レシピ計算モデル(1)を使用し、目標値から最適レシピ(▲4▼に示す2枚目ウェハのレシピNo.10)を選択する。
【0045】
しかし、モデル修正後にモデルが図中に示している「修正後の最適レシピ計算モデル(2)」になった場合は、目標値での最適レシピは存在しない。したがって、この場合は、アラームを出し、エッチング処理は行わないことになる。これにより、装置が異常になった場合、多くの不良を出すことを未然に防ぐことができる。また、このアラームは、前記全掃といわれるメンテナンス処理の実行判断として使用することもできる。なお、以上の説明ではプラズマ処理装置としてプラズマエッチング装置を代表例として説明したが、本発明はプラズマCVD装置等の他のプラズマ処理装置にも適用することができる。
【0046】
以上説明したように、本実施形態によれば、プロセス量をモニタするためのセンサ出力あるいは加工結果の測定機の測定結果を基にフィードバック制御あるいはフィードフォワード制御を施すので、経時変化等に基づくロット間変動・ロット内変動およびばらつき変動をおさえて精度のよいデバイス加工を実施することができる。
【0047】
【発明の効果】
以上説明したように本発明によれば、外乱による影響を抑制することのできるプラズマ処理装置および処理方法を提供することができる。
【図面の簡単な説明】
【図1】本発明の実施形態にかかるドライエッチング装置の概要を示す図である。
【図2】ドライエッチング装置の全体構成を示す図である。
【図3】ドライエッチング装置のフィードバック制御を説明する図である。
【図4】最適レシピ計算モデルの修正を説明する図である。
【図5】最適レシピの計算を説明する図である。
【図6】ドライエッチング装置の他の例を示す図である。
【図7】ドライエッチング装置のさらに他の例を示す図である。
【図8】In−Situセンサセンサを用いた加工結果推定と加工制御の効果を示す図である。
【図9】ドライエッチング装置のエッチング制御を説明する図である。
【図10】フィードバック制御あるいはフィードフォワード制御による安定化の効果を示す図である。
【図11】加工結果予測式を生成するための処理を説明する図である。
【図12】ドライエッチング装置のさらに他の例を示す図である。
【図13】最適レシピ計算モデル構築のための処理を示す図である。
【図14】使用可能レシピ選択手段の使用可能レシピ選択方法を説明する図である。
【図15】ドライエッチング装置のさらに他の例を示す図である。
【図16】ドライエッチング装置のさらに他の例を示す図である。
【符号の説明】
1 プラズマ処理室
1a ウエハステージ
1b ウエハ
2 センサ
3 付加センサ
4 アクチュエータ
5 データベース
6 レシピ
7 生産管理情報
21 フォトリソグラフィ処理装置
22 CD−SEM
23 プラズマエッチング処理装置
24 In−Situセンサ
24A 光散乱式形状推定手段
25 加工結果推定モデル
26 最適レシピ計算モデル
27 目標値
28 使用可能レシピ選択手段
29 レシピサーバ
30 CD−SEM
31 X−SEM

Claims (12)

  1. 真空処理室内に収容した試料に処理を施すプラズマ処理装置と、
    該処理装置の処理中のプロセス量をモニタするセンサと、
    該センサからのモニタ出力および予め実測した加工形状とモニタ出力を圧縮して生成した処理状態信号との相関関係の重回帰分析により求めた加工処理結果の予測式をもとに加工処理結果を推定する加工処理結果推定モデルと、
    前記加工処理結果推定モデルの推定結果をもとに加工処理結果が加工寸法の目標値となるように処理条件の補正量を計算する最適レシピ計算モデルを備え、
    該最適レシピ計算モデルが生成したレシピをもとに、該レシピに最も近いレシピを予め格納したレシピの中から選択してプラズマ処理を制御することを特徴とするプラズマ処理装置。
  2. 請求項1の記載において、
    前記プラズマ処理装置は、処理結果得られた前記試料の形状を測定する加工処理結果の測定機を備え、該測定機の測定結果に基づき前記処理結果推定モデルを修正することを特徴とするプラズマ処理装置。
  3. 請求項1ないし請求項2の何れか1の記載において、
    前記最適レシピ計算モデルは、該モデルが計算した結果をもとに使用可能なレシピを選択する使用可能レシピ選択手段を備えたことを特徴とするプラズマ処理装置。
  4. 請求項1ないし請求項の何れか1の記載において、
    前記最適レシピ計算モデルは前記試料の加工前形状を測定する測定機を備え、該測定機の測定結果に基づき前記最適レシピ計算モデルを用いて加工処理結果が加工寸法の目標値となるように処理条件を計算するフィードフォワード制御を最適レシピ計算処理に付加することを特徴とするプラズマ処理装置。
  5. 真空処理室内に収容した試料に処理を施すプラズマ処理装置と、
    プロセス量をモニタするセンサからのモニタ出力および加工処理結果の予測式をもとに加工処理結果を推定する加工処理結果推定モデルと、
    前記加工処理結果推定モデルの推定結果をもとに加工処理結果が加工寸法の目標値となるように処理条件の補正量を計算する最適レシピ計算モデルと、
    前記最適レシピ計算モデルが計算した結果をもとに使用可能なレシピを選択する使用可能レシピ選択手段を備え、
    該使用可能レシピ選択手段が選択したレシピをもとに前記プラズマ処理装置を制御することを特徴とするプラズマ処理装置。
  6. 請求項の記載において、
    前記最適レシピ計算モデルは前記試料の加工前形状を測定する測定機を備え、該測定機の測定結果に基づき前記最適レシピ計算モデルを用いて加工処理結果が加工寸法の目標値となるように処理条件を計算するフィードフォワード制御を最適レシピ計算処理に付加することを特徴とするプラズマ処理装置。
  7. 請求項1ないし請求項の何れか1の記載において、
    加工処理結果を推定する光散乱式の形状推定手段を備えたことを特徴とするプラズマ処理装置。
  8. 請求項1ないし請求項の何れか1の記載において、
    前記プラズマ処理装置はプラズマエッチング処理装置であることを特徴とするプラズマ処理装置。
  9. 真空処理室内に収容した試料にプラズマ処理を施すプラズマ処理方法であって、
    該処理方法は、前記処理中のプロセス量をモニタするステップと、
    前記モニタ結果をもとに加工処理結果を推定するステップと、
    前記加工処理結果の推定結果をもとに加工処理結果が加工寸法の目標値となるように処理条件の補正量を計算して最適レシピを生成するステップと、
    前記生成した最適レシピをもとに該レシピに最も近いレシピを予め格納したレシピの中から選択してプラズマ処理を制御するステップからなることを特徴とするプラズマ処理方法。
  10. 請求項の記載において、
    前記モニタ結果をもとに処理結果を推定するステップは推定モデルを備え、処理結果得られた前記試料の形状の測定結果に基づき前記推定モデルを修正するステップを備えたことを特徴とするプラズマ処理方法。
  11. 真空処理室内に収容した試料にプラズマ処理を施すプラズマ処理方法であって、
    プロセス量をモニタするセンサからのモニタ出力および加工処理結果の予測式をもとに加工処理結果を推定する加工処理結果推定ステップと、
    加工処理結果推定モデルの推定結果をもとに加工処理結果が加工寸法の目標値となるように処理条件の補正量を計算する最適レシピ計算ステップと、
    前記ステップで計算された最適レシピをもとに使用可能なレシピを選択するステップと、
    選択したレシピをもとに前記プラズマ処理装置を制御することを特徴とするプラズマ処理方法。
  12. 請求項の記載において、
    光散乱形状推定手段を用いて、ロット毎にサンプルしたウエハの格子マークの加工形状を破壊検査を行うことなく測定し、前記処理結果推定モデルを修正することを特徴とするプラズマ処理装置。
JP2001198830A 2001-06-29 2001-06-29 プラズマ処理装置および処理方法 Expired - Lifetime JP3708031B2 (ja)

Priority Applications (12)

Application Number Priority Date Filing Date Title
JP2001198830A JP3708031B2 (ja) 2001-06-29 2001-06-29 プラズマ処理装置および処理方法
TW090121995A TWI227520B (en) 2001-06-29 2001-09-05 Disturbance-free, program-controlled plasma processing system and method
KR10-2001-0054377A KR100463256B1 (ko) 2001-06-29 2001-09-05 플라즈마처리제어장치 및 처리제어방법
US09/946,503 US6733618B2 (en) 2001-06-29 2001-09-06 Disturbance-free, recipe-controlled plasma processing system and method
US10/350,061 US6881352B2 (en) 2001-06-29 2003-01-24 Disturbance-free, recipe-controlled plasma processing method
KR10-2004-0045674A KR100499226B1 (ko) 2001-06-29 2004-06-18 플라즈마처리장치
US10/933,413 US20050022932A1 (en) 2001-06-29 2004-09-03 Disturbance-free, recipe-controlled plasma processing system and method
KR1020050005254A KR20050016729A (ko) 2001-06-29 2005-01-20 플라즈마처리제어시스템 및 처리제어방법
US11/346,298 US7601240B2 (en) 2001-06-29 2006-02-03 Disturbance-free, recipe-controlled plasma processing system and method
KR1020070012565A KR100779178B1 (ko) 2001-06-29 2007-02-07 플라즈마처리장치
US11/783,653 US20070193687A1 (en) 2001-06-29 2007-04-11 Disturbance-free, recipe-controlled plasma processing system and method
US12/351,159 US20090120580A1 (en) 2001-06-29 2009-01-09 Disturbance-Free, Recipe-Controlled Plasma Processing System And Method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001198830A JP3708031B2 (ja) 2001-06-29 2001-06-29 プラズマ処理装置および処理方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2004303161A Division JP4344674B2 (ja) 2004-10-18 2004-10-18 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2003017471A JP2003017471A (ja) 2003-01-17
JP3708031B2 true JP3708031B2 (ja) 2005-10-19

Family

ID=19036210

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001198830A Expired - Lifetime JP3708031B2 (ja) 2001-06-29 2001-06-29 プラズマ処理装置および処理方法

Country Status (4)

Country Link
US (6) US6733618B2 (ja)
JP (1) JP3708031B2 (ja)
KR (4) KR100463256B1 (ja)
TW (1) TWI227520B (ja)

Families Citing this family (413)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776872B2 (en) * 2002-03-05 2004-08-17 Hitachi, Ltd. Data processing apparatus for semiconductor processing apparatus
JP2005527983A (ja) * 2002-05-29 2005-09-15 東京エレクトロン株式会社 データハンドリング、ストレージ及び操作のための方法とシステム
US7155301B2 (en) * 2002-08-28 2006-12-26 Tokyo Electron Limited Method and system for dynamic modeling and recipe optimization of semiconductor etch processes
US6793765B1 (en) * 2002-08-29 2004-09-21 Advanced Micro Devices, Inc. Situ monitoring of microloading using scatterometry with variable pitch gratings
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
TWI246725B (en) * 2002-10-31 2006-01-01 Tokyo Electron Ltd Method and apparatus for detecting endpoint
TWI220764B (en) * 2002-12-06 2004-09-01 Winbond Electronics Corp The detective method for the dry-etching machine
JP4363861B2 (ja) * 2003-02-04 2009-11-11 株式会社日立ハイテクノロジーズ 半導体製造装置
US7122096B2 (en) * 2003-03-04 2006-10-17 Hitachi High-Technologies Corporation Method and apparatus for processing semiconductor
JP4363863B2 (ja) * 2003-02-06 2009-11-11 株式会社日立ハイテクノロジーズ 半導体処理装置における処理制御方法
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
JP2004342806A (ja) * 2003-05-15 2004-12-02 Fujitsu Ltd 半導体装置の製造方法
CN101256945B (zh) * 2003-06-20 2011-08-03 东京毅力科创株式会社 处理方法和处理系统
JP4694150B2 (ja) * 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
JP2005026292A (ja) * 2003-06-30 2005-01-27 Fujitsu Ltd 半導体装置及びその製造方法、半導体製造装置
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
JP2005038976A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp 最適エッチングパラメタ自動設定システムおよびエッチング出来ばえ評価システム
DE10339992B4 (de) * 2003-08-29 2008-07-03 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Strukturelements kritischer Abmessung bzw. einer Gateelektrode eines Feldeffekttransistors sowie Ätzsteuerung
JP4171380B2 (ja) * 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
US8014991B2 (en) 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8073667B2 (en) * 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US6972201B1 (en) * 2004-01-12 2005-12-06 Advanced Micro Devices, Inc. Using scatterometry to detect and control undercut for ARC with developable BARCs
US20050221513A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
US7959819B2 (en) * 2004-06-29 2011-06-14 Shouliang Lai Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
JP4868727B2 (ja) * 2004-09-27 2012-02-01 株式会社東芝 自動検査レシピ作成装置及び作成方法
US7624003B2 (en) * 2005-01-10 2009-11-24 Applied Materials, Inc. Split-phase chamber modeling for chamber matching and fault detection
US7596421B2 (en) 2005-06-21 2009-09-29 Kabushik Kaisha Toshiba Process control system, process control method, and method of manufacturing electronic apparatus
JP2007073751A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp プラズマ処理装置および処理方法
JP2007157973A (ja) * 2005-12-05 2007-06-21 Fujitsu Ltd 半導体装置の製造プロセス制御システムおよび半導体装置の製造プロセス制御方法
US20070199655A1 (en) * 2006-02-28 2007-08-30 Tokyo Electron Limited Substrate processing apparatus, method for modifying substrate processing conditions and storage medium
US7662646B2 (en) * 2006-03-17 2010-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus for performing accurate end point detection
US8070972B2 (en) * 2006-03-30 2011-12-06 Tokyo Electron Limited Etching method and etching apparatus
JP5213322B2 (ja) * 2006-10-05 2013-06-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置並びにプログラムを記憶する記憶媒体
US20090031951A1 (en) * 2006-10-12 2009-02-05 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20090050058A1 (en) * 2006-10-12 2009-02-26 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
JP5165878B2 (ja) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US20090326697A1 (en) * 2006-11-17 2009-12-31 Hejian Technology (Suzhou) Co., Ltd. Semiconductor manufacturing automation system and method for using the same
US8544064B2 (en) * 2007-02-09 2013-09-24 Sony Corporation Techniques for automatic registration of appliances
US20090023101A1 (en) * 2007-07-16 2009-01-22 Applied Materials, Inc. Lithography track systems and methods for electronic device manufacturing
US20090023230A1 (en) * 2007-07-20 2009-01-22 Applied Materials, Inc. Methods and apparatus for depositing an anti-reflection coating
JP5050830B2 (ja) * 2007-12-19 2012-10-17 ソニー株式会社 ドライエッチング装置および半導体装置の製造方法
US7847248B2 (en) * 2007-12-28 2010-12-07 Mds Analytical Technologies, A Business Unit Of Mds Inc. Method and apparatus for reducing space charge in an ion trap
JP5192850B2 (ja) * 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
JP4486692B2 (ja) * 2008-03-14 2010-06-23 株式会社日立国際電気 基板処理装置
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
JP2009267159A (ja) * 2008-04-25 2009-11-12 Sumco Techxiv株式会社 半導体ウェーハの製造装置及び方法
JP2009290150A (ja) * 2008-06-02 2009-12-10 Renesas Technology Corp 半導体装置の製造システムおよび製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
KR101040883B1 (ko) * 2009-06-29 2011-06-16 세종대학교산학협력단 전산지능을 이용한 플라즈마 장비의 감시 및 제어 방법
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5334787B2 (ja) 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5688227B2 (ja) 2010-02-26 2015-03-25 株式会社日立ハイテクノロジーズ エッチング装置、制御シミュレータ、及び半導体装置製造方法
KR20110101483A (ko) * 2010-03-08 2011-09-16 삼성전자주식회사 플라즈마 장치의 제어 방법 및 시스템
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8392136B2 (en) 2010-07-09 2013-03-05 Kla-Tencor Corporation In-place management of semiconductor equipment recipes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5779482B2 (ja) * 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20150253762A1 (en) * 2012-09-26 2015-09-10 Hitachi Kokusai Electric Inc. Integrated management system, management device, method of displaying information for substrate processing apparatus, and recording medium
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102153149B1 (ko) * 2012-10-24 2020-09-07 도쿄엘렉트론가부시키가이샤 보정값 산출 장치, 보정값 산출 방법 및 컴퓨터 프로그램
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8790743B1 (en) * 2013-03-04 2014-07-29 Asm Ip Holding B.V. Method for controlling cyclic plasma-assisted process
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6088867B2 (ja) * 2013-03-15 2017-03-01 株式会社日立ハイテクノロジーズ プラズマ処理装置及び分析装置
US9275916B2 (en) * 2013-05-03 2016-03-01 Infineon Technologies Ag Removable indicator structure in electronic chips of a common substrate for process adjustment
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6173851B2 (ja) * 2013-09-20 2017-08-02 株式会社日立ハイテクノロジーズ 分析方法およびプラズマエッチング装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6501601B2 (ja) * 2014-05-20 2019-04-17 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板処理プログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP2016103496A (ja) * 2014-11-27 2016-06-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
FR3035881B1 (fr) * 2015-05-04 2019-09-27 Sidel Participations Installation pour le traitement de recipients par plasma micro-ondes, comprenant un generateur a etat solide
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170014384A (ko) * 2015-07-30 2017-02-08 삼성전자주식회사 건식 식각장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170084426A1 (en) * 2015-09-23 2017-03-23 Lam Research Corporation Apparatus for determining process rate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6584350B2 (ja) * 2016-03-17 2019-10-02 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102443310B1 (ko) * 2016-06-02 2022-09-14 유니버셜 인스트루먼츠 코퍼레이션 반도체 다이 오프셋 보상 변동
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6476370B2 (ja) * 2016-09-26 2019-03-06 株式会社Kokusai Electric 記録媒体、プログラム、半導体装置の製造方法および基板処理装置。
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
JP6778666B2 (ja) 2017-08-24 2020-11-04 株式会社日立製作所 探索装置及び探索方法
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP7032099B2 (ja) * 2017-10-20 2022-03-08 三菱重工業株式会社 解析装置、解析方法、プログラム
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7033907B2 (ja) * 2017-12-21 2022-03-11 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP7060373B2 (ja) * 2017-12-21 2022-04-26 株式会社日立ハイテク プラズマ処理装置の運転方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7137943B2 (ja) * 2018-03-20 2022-09-15 株式会社日立ハイテク 探索装置、探索方法及びプラズマ処理装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR20210011388A (ko) 2018-06-18 2021-02-01 도쿄엘렉트론가부시키가이샤 제작 장비의 특성에 대한 간섭이 완화된 실시간 감지
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7161896B2 (ja) * 2018-09-20 2022-10-27 株式会社Screenホールディングス 基板処理装置および基板処理システム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7202138B2 (ja) * 2018-10-22 2023-01-11 株式会社Screenホールディングス 基板処理装置および基板処理方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP7080804B2 (ja) * 2018-11-20 2022-06-06 株式会社Fuji プラズマ処理用の処理条件決定方法およびプラズマ処理用の処理条件決定装置
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP7108562B2 (ja) * 2019-02-22 2022-07-28 株式会社日立製作所 処理の制御パラメータの決定方法、及び計測システム
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7448909B2 (ja) * 2019-06-27 2024-03-13 住友重機械工業株式会社 成膜方法、及び成膜装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11556117B2 (en) * 2019-10-21 2023-01-17 Applied Materials, Inc. Real-time anomaly detection and classification during semiconductor processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
JP7442305B2 (ja) 2019-11-26 2024-03-04 東京エレクトロン株式会社 制御システム、制御方法、制御プログラム、および処理システム
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP7413081B2 (ja) 2020-02-28 2024-01-15 東京エレクトロン株式会社 基板処理システム
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US20230207267A1 (en) * 2020-05-27 2023-06-29 Lam Research Corporation Sensor data compression in a plasma tool
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230096706A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Model-based characterization of plasmas in semiconductor processing systems

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5240554A (en) * 1991-01-22 1993-08-31 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5164790A (en) * 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
CA2180231C (en) * 1996-06-28 2006-10-31 William Gordon Parr Portable semi-automatic computer code key cutting machine
JP3630931B2 (ja) * 1996-08-29 2005-03-23 富士通株式会社 プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
JPH10335309A (ja) 1997-05-29 1998-12-18 Sony Corp プラズマ処理システム
JP4066483B2 (ja) 1997-11-28 2008-03-26 ソニー株式会社 半導体製造方法及び製造装置
JP3077656B2 (ja) 1997-12-22 2000-08-14 日本電気株式会社 半導体製造装置のレシピ修正方法
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6225639B1 (en) 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
TW507305B (en) * 1999-09-18 2002-10-21 Samsung Electronics Co Ltd Method of measuring etched state of semiconductor wafer
US6485990B1 (en) * 2000-01-04 2002-11-26 Advanced Micro Devices, Inc. Feed-forward control of an etch processing tool
US6470230B1 (en) * 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6625513B1 (en) * 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6562248B1 (en) * 2001-03-26 2003-05-13 Advanced Micro Devices, Inc. Active control of phase shift mask etching process
US7052575B1 (en) * 2001-04-30 2006-05-30 Advanced Micro Devices, Inc. System and method for active control of etch process
US6545753B2 (en) * 2001-06-27 2003-04-08 Advanced Micro Devices, Inc. Using scatterometry for etch end points for dual damascene process
US6650423B1 (en) * 2001-07-02 2003-11-18 Advanced Micro Devices Inc. Method and apparatus for determining column dimensions using scatterometry

Also Published As

Publication number Publication date
US20060124243A1 (en) 2006-06-15
KR20040058162A (ko) 2004-07-03
TWI227520B (en) 2005-02-01
US6881352B2 (en) 2005-04-19
US20030113945A1 (en) 2003-06-19
US20050022932A1 (en) 2005-02-03
US6733618B2 (en) 2004-05-11
US20030003607A1 (en) 2003-01-02
KR100463256B1 (ko) 2005-01-07
JP2003017471A (ja) 2003-01-17
US20070193687A1 (en) 2007-08-23
US7601240B2 (en) 2009-10-13
KR100779178B1 (ko) 2007-11-23
KR20050016729A (ko) 2005-02-21
KR20070032744A (ko) 2007-03-22
KR20030003641A (ko) 2003-01-10
KR100499226B1 (ko) 2005-07-01
US20090120580A1 (en) 2009-05-14

Similar Documents

Publication Publication Date Title
JP3708031B2 (ja) プラズマ処理装置および処理方法
US6916396B2 (en) Etching system and etching method
US8193007B1 (en) Etch process control using optical metrology and sensor devices
US8173451B1 (en) Etch stage measurement system
US6985215B2 (en) Plasma processing method and plasma processing apparatus
US7967995B2 (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
JP2006074067A (ja) プラズマ処理装置および処理方法
JP3799314B2 (ja) エッチング処理装置およびエッチング処理方法
US20070238199A1 (en) Method for conditioning a process chamber
US20020009814A1 (en) Film thickness measuring method of member to be processed using emission spectroscopy and processing method of the member using the measuring method
JP2009531866A (ja) ウェハ均一性制御を用いた動的サンプリング測定法
JP4344674B2 (ja) プラズマ処理装置
US8173450B1 (en) Method of designing an etch stage measurement system
US7261745B2 (en) Real-time gate etch critical dimension control by oxygen monitoring
Sofge Virtual Sensor Based Fault Detection and Classification on a Plasma Etch Reactor
JPH11238723A (ja) プラズマ処理のモニタリング方法及び装置
JP2005072614A (ja) 試料処理装置及び試料処理システム
JP2004071798A (ja) 試料処理装置及び試料処理システム

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040817

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041018

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050125

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050322

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050426

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050621

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050726

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050802

R150 Certificate of patent or registration of utility model

Ref document number: 3708031

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080812

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090812

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100812

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100812

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110812

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120812

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130812

Year of fee payment: 8

EXPY Cancellation because of completion of term