CN113675115A - 方位可调整的多区域静电夹具 - Google Patents

方位可调整的多区域静电夹具 Download PDF

Info

Publication number
CN113675115A
CN113675115A CN202110960034.5A CN202110960034A CN113675115A CN 113675115 A CN113675115 A CN 113675115A CN 202110960034 A CN202110960034 A CN 202110960034A CN 113675115 A CN113675115 A CN 113675115A
Authority
CN
China
Prior art keywords
substrate
data
temperature
process data
determining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110960034.5A
Other languages
English (en)
Inventor
张纯磊
P·克里米诺儿
S·E·巴巴扬
D·乌尔斯特伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113675115A publication Critical patent/CN113675115A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

本文所述的实施方式提供一种用于处理基板支撑组件上的基板的方法,该方法实现静电夹具与基板之间的热传递的横向与方位角两者上的调整。该方法包括下述步骤:使用ESC上的第一温度分布来处理第一基板,ESC具有主加热器与空间上可调整的加热器。从处理第一基板的结果来确定偏离目标结果分布的偏移分布。基于偏移分布,将第一温度分布调整为ESC上的第二温度分布。调整为第二温度分布包括:递增提供给对应于偏移分布的一个或更多个离散位置中的一个或更多个空间上可调整的加热器的功率。然后使用第二温度分布来处理ESC上的第二基板。

Description

方位可调整的多区域静电夹具
本申请是申请日为2016年1月13日、申请号为201680023970.0,题为“方位可调整的多区域静电夹具”的申请的分案申请。
技术领域
本文所述的实施方式总体上涉及半导体制造,且更具体地涉及静电夹具的实时温度控制以及使用该静电夹具的实时温度控制的方法。
背景技术
随着装置图案的特征尺寸变得更小,这些特征的临界尺寸(CD)要求变成对稳定且可重复的装置性能的更重要标准。因为腔室的不对称性,诸如腔室与基板温度、流动传导性以及RF场,所以跨处理腔室内所处理的基板的可允许的CD变化是难以达成。
在使用静电夹具的工艺中,因为基板下方的夹具的非均质架构,所以跨基板表面的温度控制的均匀性变得甚至更有挑战性。例如,静电夹具的一些区域具有气孔,而其他区域具有与气孔横向偏移的升举销孔。又其他区域具有夹持电极,而其他区域具有与夹持电极横向偏移的加热器电极。因为静电夹具的结构可在横向与方位角两者上变化,夹具与基板之间的热传递的均匀性为复杂的并且非常难以获得,从而导致跨夹具表面的局部热点与冷点,这因此导致沿着基板表面的处理结果的不均匀性。
夹具与基板之间的热传递的横向与方位角上的不均匀性由于传统的冷却板中通常使用的热传递方案而进一步复杂化,静电夹具安装至冷却板而形成基板支撑组件。例如,传统的冷却板通常仅具有边缘至中心的温度控制。另外,在生产运行时间期间,当基板移进与移出处理腔室时,冷却板的温度分布对于每个基板会有所不同。因此,当在生产运行时间使用传统的基板支座的热传递特征时,静电夹具内的局部热点与冷点会难以控制。
因此,需要改良的基板支撑组件。
发明内容
本文所述的实施方式提供一种用于处理基板支撑组件上的基板的方法与设备,该方法与设备实现静电夹具(ESC)与基板之间的热传递的横向与方位角两者上的调整。该方法包括下述步骤:使用ESC上的第一温度分布来处理第一基板,ESC具有主加热器与空间上可调整的加热器。从处理第一基板的结果来确定偏离目标结果分布的偏移分布。基于偏移分布来将第一温度分布调整为ESC上的第二温度分布。调整为第二温度分布包括下述步骤:递增提供给对应于偏移分布的一个或更多个离散位置中的一个或更多个空间上可调整的加热器的功率。然后使用第二温度分布来处理ESC上的第二基板。
附图说明
因此,为了可详细理解本发明的上述特征的方式,可参考各实施方式得到以上简要概括的本发明的更具体的描述,实施方式中的一些被描绘在所附附图中。但是,注意到,所附附图仅描绘本发明的典型实施方式且因此不视为限制其范围,因为本发明可允许其他等效实施方式。
图1为具有至少一个处理腔室的多腔室真空处理系统的示意性俯视图;
图2为详述基板支撑组件的具有空间上可调整的加热器的部分的局部剖面示意性侧视图;
图3A至图3D为描绘空间上可调整的加热器的示例布局的基板支撑组件的俯视图;
图4为适于存储与执行软件例程而其中可实施本发明的实施例的系统的一个架构的图示;
图5为根据一个实施例的用于确定空间上可调整的加热器的工艺配方的流程图;
图6为根据另一实施例的用于确定空间上可调整的加热器的工艺配方的流程图;
图7为根据又另一实施例的用于确定空间上可调整的加热器的工艺配方的流程图。
为了促进了解,已经在任何可能的地方使用相同的附图标记来表示附图中共有的相同元件。可了解到,一个实施方式中揭示的元件可有利地用于其他实施方式中,而不用具体详述。
具体实施方式
本文所述的实施方式提供一种用于控制空间上可调整的加热器的方法,该方法实现基板支撑组件的温度分布的离散的横向与方位角上调整,这进而允许基板支撑组件上处理的基板的横向温度分布的横向与方位角两者上的调整。此外,该方法实现基板上的局部热点或冷点被基本上消除。
虽然具有空间上可调整的加热器的基板支撑组件在下文叙述于蚀刻处理腔室中,但该基板支撑组件可用于其他类型的等离子体处理腔室,诸如物理气相沉积腔室、化学气相沉积腔室、离子布植腔室等,以及期望横向温度分布的方位角上调整的其他系统。也可设想到,空间上可调整的加热器也可用来控制其他表面的温度,包括并非用于半导体处理的那些。
在一个或更多个实施例中,通过允许基板温度用于补偿腔室的不均匀性,诸如温度、流动传导性、电场、等离子体密度等,用于控制基板支撑组件的温度分布的方法可允许真空工艺(诸如蚀刻、沉积、布植等)期间在基板边缘处的临界尺寸(CD)变化的校正。
如本领域技术人员将理解的,本发明的各方面可体现为系统、方法或计算机程序产品。因此,本公开的实施方式可采用下述的形式:完全硬件的实施例、完全软件的实施例(包括固件、常驻软件、微代码等)、或者结合有软件与硬件方面的实施例,在本文可称为“电路”、“模块”、或“系统”。此外,本公开的实施方式可采用体现在一个或更多个计算机可读取介质中的计算机程序产品的形式,计算机可读取介质具有计算机可读取程序代码体现于其上。
一个或更多个计算机可读取介质的任何组合可用于存储程序产品,程序产品在执行时配置来执行用于编程预防性维护事件的方法。计算机可读取介质可以是计算机可读取信号介质或计算机可读取存储介质。计算机可读取存储介质可以是例如(但不限于)电子的、磁性的、光学的、电磁的、红外线的、或半导体系统、设备或装置,或上述的任何适当的组合。计算机可读取存储介质的更特定示例(非穷举的列表)将包括下述:便携计算机磁盘、硬盘、随机存取存储器(RAM)、只读存储器(ROM)、可擦除可编程只读存储器(EPROM或闪存)、光纤、可携式光盘只读存储器(CD-ROM)、光学存储装置、磁性存储装置、或上述的任何适当的组合。在本文的上下文中,计算机可读取存储介质可以是任何有形的介质,可含有或存储程序来由指令执行系统、设备或装置使用或相关于指令执行系统、设备或装置使用。
计算机可读取信号介质可包括具有计算机可读取程序代码体现于其中的传播的数据信号,例如,在基频中或作为载波的部分。此种传播信号可采用各种形式的任一种,包括(但不限于)电磁的、光学的、无线电的、或其任何合适的组合。计算机可读取信号介质可以是并非是计算机可读取存储介质的任何计算机可读取介质,并且可通讯、传播、或传送程序来由指令执行系统、设备、或装置使用或相关于指令执行系统、设备、或装置使用。
体现在计算机可读取介质上的程序代码可使用任何适当的介质来传输,包括(但不限于)无线的、有线的、光纤电缆、RF等、或上述的任何适当的组合。
用于实施本发明的各方面的操作的计算机程序码可用一个或更多个程序语言的任何组合来写成,包括面向对象的程序语言,例如JAVATM、SMALLTALKTM、C++等,以及传统的程序程序语言,例如“C”程序语言或类似的程序语言。程序代码可完全执行在用户的计算机上、部分执行在用户的计算机上、作为独立的软件封装、部分执行在用户的计算机上且部分执行在远程计算机上、或完全执行在远程计算机或服务器上。在后一种情况中,远程计算机可通过任何类型的网络而连接至用户的计算机,包括局域网(LAN)或广域网(WAN),或者该连接可至外部计算机(例如,使用因特网服务提供商(ISP)通过因特网)。
计算机程序指令也可加载至计算机、其他可编程数据处理设备、或其他装置,以导致一系列的操作步骤执行在计算机、其他可编程设备、或其他装置上,以产生计算机实施的程序,使得执行在计算机或其他可编程设备上的指令提供程序来用于实施流程图和/或框图中指定的功能/动作。
本公开的实施例可通过云计算基础结构来提供给终端使用者。云计算指的是提供可扩展的计算资源作为网络上的服务。更正式来说,云计算可定义为提供计算资源与其底层技术架构(例如,服务器、存储器、网络)之间的抽象计算能力,促成可快速提供且用最少的管理工作或服务提供商互动来释放的可配置式计算资源的共享池的方便的、依需要的网络存取。因此,云计算允许使用者存取“云”中的虚拟计算资源(例如,存储器、数据、应用程序、与甚至完全虚拟的计算系统),而不用考虑到用于提供计算资源的底层实体系统(或那些系统的位置)。
通常,将云计算资源在按使用付费的基础上提供给使用者,其中仅针对实际使用的计算资源来对使用者收费(例如,使用者所消耗的存储空间的量,或使用者所实时化的虚拟系统的量)。使用者可存取任何时候驻留在云中的任何资源,以及跨因特网来自任何地方的任何资源。在本发明的上下文中,用户可存取软件例程(例如,用于检测一个或更多个接地条带的断裂的方法)或云中可用的相关数据。例如,软件例程可在云中的计算系统上执行。在此种情况中,软件例程可维护云中的存储位置处的空间与非空间性数据。如此做可允许使用者从附接至连接至云的网络(例如,因特网)的任何计算系统存取此信息。
图1为具有至少一个处理腔室120的多腔室真空处理系统100的示意性俯视图。多腔室真空处理系统100也包括系统控制器160、真空密闭处理平台110以及工厂接口140。多腔室真空处理系统100可额外附接至预先工艺控制器(APC)180。APC 180可具有数据库182与计算平台184。除了系统控制器160以外,可选择性地使用APC 180,且APC 180整合了在制造设施处的多个工艺工具102。APC 180可追踪其中进行制造的基板上的操作并且存储基板上的测量结果。
工厂接口(FI)140可具有多个前开式通用舱(FOUP)144与至少一个FI机器人142。FI 140也可具有额外的站,诸如计量站150。计量站150可替代地位于FOUP 144附近。FI机器人1420可具有轨道与可移动式端效器,该端效器可以是叶片、多个指状件、夹持器、或用于转移其上的基板118的其他合适的设备。FI机器人142可在大气状况下操作,并且配置成具有运动范围足以在FOUP 144、计量站150以及处理系统100的一个或更多个负载锁定腔室134、132之间转移可移动式端效器上所设置的基板118。FOUP 144可固持多个基板118,用以将基板118转移往返多腔室真空处理系统100。例如,FOUP 144可移动多腔室真空处理系统100上所处理的基板118至分开的计量站、化学研磨站、或用于进一步处理的其他设备。
负载锁定腔室134、132设置在工厂接口140与真空密闭处理平台110之间,以促进基板118在工厂接口140中维持的实质上周围环境与真空密闭处理平台110中维持的真空环境之间转移。负载锁定腔室134、132具有一个或更多个入口/出口槽(未示出),基板118可通过入口/出口槽而从FI 140转移进出负载锁定腔室134、132。同样地,负载锁定腔室134、132具有相同数量的入口/出口槽,基板118可通过入口/出口槽而在负载锁定腔室134、132的内部与真空密闭处理平台110之间转移。负载锁定腔室134、132的每一入口/出口槽选择性地通过狭缝阀(未示出)来密封,以将负载锁定腔室134、132的内部与FI 140或真空密封处理平台110的内部隔离。
除了负载锁定腔室134、132之外,真空密闭处理平台110具有设置于转移腔室130周围的多个附接腔室120。转移腔室130耦接至真空系统(未示出),以提供真空密封处理平台110中的降低的气压状况。转移腔室130容纳至少一个转移腔室机器人114。转移腔室机器人114可旋转以转移任何腔室120内的基板118。附接腔室120中的一个或更多个可包括具有用于处理基板118于其上的基板支撑组件200(绘示于图2中)的蚀刻腔室或沉积腔室,诸如化学气相沉积腔室、物理气相沉积腔室、或原子层沉积腔室。此外,附接腔室120之一者可以是计量腔室152(具有计量设备来测量基板118的属性)、定向腔室、脱气腔室、或用于处理基板118的其他适当的腔室。在一些实施例中,一个腔室120可同时蚀刻并测量基板118。例如,用于测量基板118的属性的计量设备可并入至腔室120中。或者,用于测量基板118的属性的计量设备可位于转移腔室130、FI 140或其他方便的位置。
系统控制器160耦接至多腔室真空处理系统100的每一腔室120和/或模块并且控制每一腔室120和/或模块。通常,系统控制器160可使用处理系统100的腔室与设备的直接控制或者替代地通过控制与这些腔室和设备相关的计算机,来控制处理系统100的操作的所有方面。此外,系统控制器160也可配置成通过APC 180来与同转移腔室机器人114相关的控制单元以及其他控制器通信。例如,转移腔室机器人114的移动(将基板118转移往返处理腔室120并且执行工艺序列,协调多腔室真空处理系统100的各种组件的操作等)可由系统控制器160来控制。另外,系统控制器160可控制处理腔室120中的工艺配方。例如,系统控制器可控制真空、腔室温度、基板支撑表面温度分布、气体流率、以及工艺配方的各种其他处理参数。在操作中,系统控制器160实现来自相应腔室与设备的反馈,以优化基板产量。
系统控制器160将相关于图4讨论于下。系统控制器160可改变用于腔室120中进行处理的基板118的工艺配方。系统控制器160可使用来自计量设备的反馈,以确定工艺配方的变化。计量设备可测量跨基板118的临界尺寸并且改变工艺参数(例如跨基板支撑组件的局部温度),以改变局部处理。
图2为详述基板支撑组件200的部分的局部剖面示意性侧视图,该基板支撑组件200配置来提供跨基板支撑组件的温度分布的方位角上调整。跨基板支撑组件200的温度分布的方位角上调整可通过控制器160上运行的软件例程来控制。软件例程也可或替代地由远离多腔室真空处理系统100的第二控制器(未示出)来存储和/或执行,诸如在处理腔室120或APC 180处。
基板支撑组件200通常至少包括基板支座210。基板支座210可以是真空夹具、静电夹具、基座、或其他工件支撑表面。在一个实施例中,基板支座210为静电夹具,且在下文中将叙述为静电夹具210。基板支撑组件200也可包括冷却基座260。冷却基座260可替代地与基板支撑组件200分开。基板支撑组件200可移除地耦接至支撑底座205。支撑底座205可包括底座基座244。基板支撑组件200可定期从支撑底座205移除,以允许基板支撑组件200的一个或更多个部件的整修。
静电夹具210具有安装表面203以及与安装表面203相对的工件支撑表面202,其中基板118可移除地设置在工件支撑表面202上。静电夹具210通常包括夹持电极207,夹持电极207嵌入于电介质主体208中。虽然夹持电极207绘示成靠近静电夹具210的安装表面203,但夹持电极207可嵌入于静电夹具210的其他部分中,诸如刚好在工件支撑表面202下方。夹持电极207可配置为单极或双极电极,或其他适当的布置。夹持电极207通过RF滤波器204而耦接至夹持电源206,夹持电源206提供RF或DC电力,以静电固定基板118至静电夹具210的工件支撑表面202。RF滤波器204防止用于形成处理腔室120内的等离子体的RF电力损坏电性设备或在腔室外部呈现电性危险。
静电夹具210的电介质主体208可由陶瓷材料制成,诸如AlN或Al2O3。或者,电介质主体208可由聚合物制成,诸如聚酰亚胺、聚醚醚酮、聚芳醚酮等。加热器可嵌入于电介质主体208内。电介质主体208可包括一个或更多个主电阻式加热器212和/或多个空间上可调整的加热器214。主电阻式加热器212可提供来升高基板支撑组件200的温度至用于实行腔室处理(诸如处理基板118和/或清洗处理腔室120的内部)的温度。主电阻式加热器212可配置来提供任何一个或更多个横向分开的加热区域,例如,多个同中心的环形区域。空间上可调整的加热器214为对于主电阻式加热器212的补充,并且配置来调整主要电阻式加热器212所界定的多个横向分开的加热区域的任何一者或更多者内的多个离散位置中的静电夹具210的局部温度。例如,空间上可调整的加热器214可布置成极阵列、列与行的笛卡尔网格、六边形网格、或其他合适的矩阵。空间上可调整的加热器214因此提供对放置在基板支撑组件200上的基板118的温度分布的局部调整。因此,主电阻式加热器212操作来以全局宏观尺度维持工件支撑表面202上的温度分布,而空间上可调整的加热器214操作来以局部微观尺度调整工件支撑表面202的温度分布的离散位置处的温度。
主电阻式加热器212与空间上可调整的加热器214通过RF滤波器218而耦接至加热器电源222。加热器电源222可提供900瓦特或更多功率至加热器212、214。控制器160可控制加热器电源222的操作,加热器电源222通常设定为提供功率至各个加热器212、214,用来加热基板118至预定的温度分布。在一个实施例中,主电阻式加热器212包括横向分开的加热区域,其中控制器160实现主电阻式加热器212的一个区域或甚至单一空间上可调整的加热器214相对于相邻的加热器212、214能优先加热。在一些实施例中,每一空间上可调整的加热器214可独立地控制,以提供不同于另一空间上可调整的加热器214的温度。在一些实施例中,多个(诸如至少两个以及多达全部)空间上可调整的加热器214独立地且同时地被供电,以提供非常稳定且不会到处跳动的温度分布,这产生稳定且容易控制的温度分布,这有助于提高基板处理结果的均匀性与可预测性。
静电夹具210可包括一个或更多个温度传感器254。温度传感器254可测量工件支撑表面202上的多个离散位置处的温度。温度传感器254可提供温度反馈信息给控制器160,用于控制加热器电源222施加至主电阻式加热器212与空间上可调整的加热器214的功率。另外,反馈信息可用于控制冷却基座260的操作。
静电夹具210可设置在温度受控制的冷却基座260上。温度受控制的冷却基座260耦接至热传递流体源262。热传递流体源262提供热传递流体,诸如液体、气体或其组合,热传递流体循环通过设置在冷却基座260中的一个或更多个导管290。控制器160可控制流体流动通过隔离的相邻导管290,以实现静电夹具210与冷却基座260的不同区域之间的热传递的局部控制,这有助于控制基板118的横向温度分布。
底座基座244设置在冷却基座260下方,并且配置来容纳多个驱动机构,驱动机构配置来升高与降低多个升降销。此外,底座基座244配置来容纳来自静电夹具210与冷却基座260的多个流体连接。底座基座244还配置来容纳来自静电夹具210的多个电性连接。无数的连接(例如,流体、电性、数据信号)可运行于基板支撑组件200的外部或内部。
系统控制器160可包括控制器板250,控制器板250可设置在底座基座244中。或者,控制器板250可设置在基板支撑组件200内部或外部的别处。控制器板250可具有脉冲宽度调制(PWM)加热器控制器216。控制器板250也可具有光学通讯接口板256。控制器板250也可选择性地具有温度传感器控制器252。
温度传感器控制器252可通讯地耦接至用于测量基板118温度的温度传感器254。温度传感器控制器252可将来自温度传感器254的信号转换成关于工件支撑表面202的离散位置中的实际温度读数。控制器板250也可选择性地具有计量控制器(未示出),用于确定设置在工件支撑表面202上的基板118的厚度。
PWM加热器控制器216可连接至加热器212、214。PWM加热器控制器216可修改从加热器电源222至各个加热器212、214的功率。PWM加热器控制器216可通过测量在每一空间上可调整的加热器214处的温度而受到编程与校准。也就是说,每一空间上可调整的加热器214具有其自身独立的PWM控制。PWM加热器控制器216可通过调整各个空间上可调整的加热器214的功率参数,来控制温度。例如,至空间上可调整的加热器214中的一个的100%功率可产生大约5摄氏度的热输出,用于针对空间上可调整的加热器214上方的表面位置增加由主加热器所设定的温度。此外,至空间上可调整的加热器214中的一个或更多个的20%功率可产生大约1摄氏度的热输出,用于针对空间上可调整的加热器214上方的表面位置增加由主加热器所设定的温度。可针对空间上可调整的加热器214中的若干个,将功率降低至20%,并且在整个处理操作都维持在该水平。此外,PWM加热器控制器216可以第一功率水平(例如80%)操作一个或更多个空间上可调整的加热器214,而同时以第二功率水平(例如20%)操作一个或更多个分开的空间上可调整的加热器214。在一个实施例中,可利用至空间上可调整的加热器214的增量功率增加来调节温度。例如,在工艺的过程期间,可利用供应至空间上可调整的加热器214的功率的百分比增加(例如9%增加)来获得温度上升。在另一实施例中,可通过循环开关空间上可调整的加热器214来调节温度,而同时其他可调整的加热器214也可同相或非同相地循环开关。在又另一实施例中,可通过结合维持期望温度分布所需那样地调整功率水平、循环并且增量地调整至空间上可调整的加热器214的功率,来调节温度。
可通过同时改变每一个单独的空间上可调整的加热器214的热输出来获得温度映射。该映射可将CD或基板温度分布相关联于每一空间上可调整的加热器214的功率分布曲线。因此,基于针对各个空间上可调整的加热器214的程序调节功率设定,空间上可调整的加热器214可用于产生基板上的温度分布。逻辑可直接放置在PWM加热器控制器216中或外部连接的控制器中,诸如控制器160。因此,PWM加热器控制器216配置来相对于多个空间上可调整的加热器214的另一者以及主电阻式加热器212,独立地控制多个空间上可调整的加热器214中的一者的输出。
光学通讯接口板256可与PWM加热器控制器216对接。光学通讯接口板256也可与其他控制器对接,诸如温度传感器控制器252。光学通讯接口板256可具有至光学转换器258的光学连接。光学接口板256可发送光学信号至光学转换器258,以与控制器160通信。因此,PWM加热器控制器216与控制器160之间的通信可通过不会破坏RF能量的光学信号来执行。例如,控制器160可发送信号来控制空间上可调整的加热器214中的一个或更多个的热输出,用以调整设置在静电夹具210上的基板118的温度分布。在递送指令至用于在处理期间控制基板118的加热分布的PWM加热器控制器216之前,来自控制器160的信号指令可进入至光学转换器258中,用以传输至光学通信接口板256。光学通信接口板256的益处在于能够防止RF功率行进通过控制电路系统离开基板支撑组件200的能力。
处理腔室120中的基板118的表面温度会受到泵对工艺气体进行排放、流量阀门、等离子体以及其他因素的影响。控制器160可具有针对基板118的温度分布映射,以用于获得高质量的处理结果。控制器160可接收来自计量设备或其他处理设备的输入,以校正基板118的工艺结果中的偏移或逆向趋势。例如,基板118的一个区域可能比基板118的另一区域蚀刻得更快。控制器160可发送信号至空间上可调整的加热器214,以调整偏离蚀刻速率的区域中的基板118的表面温度。控制器160可以形状上类似于这些区域的图案来激活空间上可调整的加热器214。空间上可调整的加热器214通过减少温度分布的变化至大约+/-0.3摄氏度,来改善主电阻式加热器212所产生的基板118的表面的温度分布。通过使用空间上可调整的加热器214,跨基板118区域的温度分布可变得均匀或者以预定的方式精确地改变,以获得所需的结果。使用可独立控制的空间上可调整的加热器214来平滑化或校正主电阻式加热器212所产生的温度分布实现控制跨基板的局部温度均匀性至非常小的公差,由此实现在处理基板118时的精确的处理与CD控制。此外,空间上可调整的加热器214相对于主电阻式加热器212的小尺寸与高密度实现基板支撑组件200上的特定位置处的温度控制,而实质上不会影响邻近区域的温度,由此允许局部热点与冷点被补偿,而不会引入偏斜或其他温度不对称。
可设想到,在配置来与300mm基板一起使用的基板支撑组件200的给定实施例中,可以有大约200个至大约400个(或甚至更多)空间上可调整的加热器214。可设想到,对于450mm或更大的基板,可有甚至更多个空间上可调整的加热器214。空间上可调整的加热器214的示例性分布进一步参见图3A至图3D叙述于下。
图3A至图3D为静电夹具210的俯视图,描绘了其中具有空间上可调整的加热器214的单元390的示例布局。图3A为根据一个实施例的图2的静电夹具210的俯视图。图3B至图3D为根据替代的实施例的图2的静电夹具210的俯视图。单元390可具有材料(或间隙),用作相邻单元390之间的热扼流器316。热扼流器316分开且减少相邻单元390之间的传导。因此,通过单独地且独立地控制提供给每一单元390中的每一空间上可调整的加热器214的功率,可达成用于控制温度的局部化方法,实现基板118的特定点被加热或冷却,由此实现基板118表面的真正可寻址的横向温度分布调整与控制。
现在参见图3A,热扼流器316设置于每一相邻单元390之间。每一单元390具有与其相关的空间上可调整的加热器214中的至少一个。所示的单元390的数量仅为说明性的,且任何数量的实施例可具有基本上更多(或更少)的单元390。空间上可调整的加热器214的数量可至少大于主电阻式加热器212的数量有一个数量级。跨基板支撑组件200定位的空间上可调整的加热器214的数量可容易地超过数百个。
空间上可调整的加热器214可配置成图案399,以有效率地产生沿着静电夹具210的表面的热分布。图案399可以关于中点392对称,同时在孔322中以及周围提供间隙,用于升举销或其他机械的、流体的或电性的连接。每一空间上可调整的加热器214可由控制器160通过PWM控制器216来控制。PWM控制器216可接通界定局部区域340的单一空间上可调整的加热器214;或者接通多个空间上可调整的加热器214,这些空间上可调整的加热器214成组以界定内部楔形362、周界群组364、派形区域330、或者用于确定所需温度分布的其他所需的几何配置,包括非连续的配置。以此方式,可在沿着静电夹具210表面的独立位置处准确地控制温度,此种独立位置不限于同心环或本领域中已知的其他中心至边缘配置。虽然所示的图案399包括不连续的较小单位,图案399可替代地具有较大和/或较小的单位,延伸至边缘,或具有其他的形式。在图3A所绘的实施例中,空间上可调整的加热器214的图案399布置成绕中点392的极阵列,中点392在一些实施例中可与静电夹具210的中心线重合。
图3B为根据另一实施例的在图2中所绘示的静电夹具210的俯视图。空间上可调整的加热器214布置成网格的形式,因此界定了也布置成网格图案的温度控制单元390的阵列。虽然空间上可调整的加热器214的网格图案绘示为包括列与行的X/Y(笛卡尔)网格,空间上可调整的加热器214的网格图案可替代地具有一些其他均匀填充的形式,诸如六角形的紧密填充。应理解到,如同上文所讨论的,空间上可调整的加热器214可成组地或单独地激活。
图3C为根据另一实施例的在图2中所绘示的静电夹具210的俯视图。图3C描绘布置成极阵列的多个空间上可调整的加热器214。选择性地,一个或更多个热扼流器316可设置在空间上可调整的加热器214之间。空间上可调整的加热器214的极阵列图案界定了相邻的单元390,相邻的单元390因此也配置成极阵列。选择性地,热扼流器316可用于隔离每一单元390与相邻的单元390。
图3D为根据另一实施例的在图2中所绘示的静电夹具210的俯视图。图3D例示配置成同中心通道的多个空间上可调整的加热器214。空间上可调整的加热器214的同中心通道图案可选择性地由热扼流器316来分开。可设想到,空间上可调整的加热器214与单元390可配置成其他定向。
空间上可调整的加热器214的数量与密度有助于控制跨基板的温度均匀性至非常小的公差的能力,这实现在处理基板118时的精确的处理与CD控制。此外,空间上可调整的加热器214之一个相对于另一个空间上可调整的加热器214的单独控制实现沿着基板支撑组件200表面的离散位置处的温度控制,而实质上不会影响该表面的邻近或相邻区域的温度。此特征允许局部热点与冷点被补偿,而不会引入偏斜或其他温度不对称。空间上可调整的加热器214可具有在大约0.0摄氏度与大约10.0摄氏度之间的单独温度范围,具有以大约0.1摄氏度的增量控制温度上升的能力。在一个实施例中,已经证明,基板支撑组件200中的多个空间上可调整的加热器214联合主电阻式加热器212有能力来控制其上处理的基板118的温度均匀性至小于大约±0.3摄氏度。因此,空间上可调整的加热器214允许基板支撑组件200上所处理的基板118的横向温度分布的横向与方位角上两者的调整。
加热器214、212可由软件例程来控制。软件可并入目前的基板CD测量与处理结果以及工艺配方与其他参数,以调整在处理腔室120中进行处理的基板118的温度分布。
转至图4,提供适于存储与执行软件例程的系统的示例架构的图示。软件例程可包括用于控制多个区域中以及沿着基板表面离散的温度的实施例。本图并不限制或打算限制实施工艺控制器的范围。系统400可以是个人计算机、工业处理器、个人数字助理、移动电话、移动装置、或适于实施一个或更多个实施例的任何其他装置。控制器160可具有与系统400类似的架构。系统400运行软件例程,用于控制在处理系统100的一个或更多个处理腔室120中进行处理的基板118的温度分布。额外地,或替代地,次要或外部控制器(诸如,APC180)可具有与系统400类似的架构,并且提供支持(诸如,数据或软件)来控制基板118的温度分布。
系统400包括中央处理单元(CPU)402与系统存储器404,两者经由总线路径(可包括存储器桥405)来通信。CPU 402包括一个或更多个处理核心,且在操作中,CPU 402为系统400的主要处理器,控制并且协调其他系统组件的操作。系统存储器404存储软件应用程序406与数据,以供CPU 402使用。CPU 402运行软件应用程序且选择性运行操作系统。存储器桥405可以是例如北桥芯片,经由总线或其他通讯路径(例如,超传输链路)连接至I/O(输入/输出)桥407。I/O桥407可以是例如南桥芯片,从一个或更多个使用者输入设备408(例如,键盘、鼠标、操纵杆、数字绘图板、触控垫、触控屏幕、静止或视频相机、运动传感器、和/或麦克风)接收用户输入,并经由存储器桥405将输入前传至CPU 402。
显示处理器412经由总线或其他通信路径(例如,PCI Express、加速图形端口、或超传输链路)耦接至存储器桥405;在一个实施例中,显示处理器412为图形子系统,包括至少一个图形处理单元(GPU)与图形存储器。图形存储器包括显示存储器(例如,帧缓冲器),用于存储输出影像的每一像素的像素数据。图形存储器可整合于与GPU相同的装置中,作为分开的装置连接于GPU,和/或实施于系统存储器404中。
显示处理器412定期传送像素至显示设备410(例如,屏幕或传统CRT、等离子体、OLED、SED或LCD型的监视器或电视)。此外,显示处理器412可输出像素至胶卷纪录器,胶卷纪录器适于重现计算机产生的图像于照相胶卷上。显示处理器412可对显示设备410提供模拟或数字信号。
系统盘414也连接至I/O桥407,并且可配置来存储内容与应用程序与数据,例如数据库集415,以由CPU 402与显示处理器412使用。系统盘414提供应用程序与数据的非挥发性存储,并且可包括固定或可移除的硬盘机、闪存装置、与CD-ROM、DVD-ROM、蓝光、HD-DVD、或其他磁性、光学、或固态存储装置。
切换器416提供I/O桥407与其他组件(诸如,网络适配器418与各种附加卡420与421)之间的连接。网络转接器418允许系统400经由电子通信网络与其他系统通信,并且可包括通过局域网440与广域网(例如,因特网)的有线或无线通信。
其他部件(未示出,包括USB或其他端口连接、影片记录装置等)也可连接至I/O桥407。例如,处理设备470可从CPU 402、系统存储器404、或系统盘414提供的指令和/或数据来操作。互连图4中的各种部件的通信路径可使用任何合适的协议来实施,例如:PCI(外部部件互连)、PCI Express(PCI-E)、AGP(加速图形端口)、超传输、或任何其他总线或点对点协议,以及可使用不同协议的不同装置之间的连接,如同本领域中已知的。
处理设备470可以是一个或更多个半导体处理腔室,诸如处理腔室120。在一个实施例中,显示处理器412并入有针对执行数学计算而优化的电路(包括例如,数学协处理器),并且可另外构成图形处理单元(GPU)。在另一实施例中,显示处理器412并入有针对通用目的处理而优化的电路。在又另一实施例中,显示处理器412可整合于一个或更多个其他的系统组件,例如存储器桥405、CPU 402、与I/O桥407,以形成片上系统(SoC)。在更进一步的实施例中,省略显示处理器412,并且由CPU 402执行的软件来执行显示处理器412的功能。
像素数据可直接从CPU 402提供至显示处理器412。在本发明的一些实施例中,代表预测分析的指令和/或数据是经由网络转接器418或系统盘414而提供至服务器计算机集,每一服务器计算机类似于系统400。服务器可使用用于分析的提供指令,来在数据子集上执行操作。这些操作的结果可用数字的格式存储在计算机可读取介质上,并且选择性地回送至系统400,以进一步分析或显示。类似地,数据可输出至其他系统来显示,存储在系统盘414上的数据库集415中、或用数字的格式存储在计算机可读取介质上。
或者,CPU 402提供给显示处理器412的数据和/或指示界定了所需的输出影像,显示处理器412从该数据和/或指示产生一个或更多个输出影像的像素数据,包括特征化和/或调整立体影像对之间的偏移。界定了所需的输出影像的数据和/或指示可存储在系统存储器404或显示处理器412内的图形存储器中。
CPU 402和/或显示处理器412可使用本领域中已知的任何数学、功能、或技术,来从所提供的数据与指令产生一个或更多个结果,包括将设施状态信息关联至维护信息以预测维护事件的需求的预测分析。
将理解到,本文所示的系统为示例性的,且变化与修改都是可能的。连接的拓扑结构(包括桥的数量与配置)可依需要来修改。例如,在一些实施例中,系统存储器404直接连接至CPU 402,而不通过桥,且其他装置经由存储器桥405和CPU 402而与系统存储器404通信。在其他替代的拓扑结构中,显示处理器412连接至I/O桥407或直接连接至CPU 402,而不连接至存储器桥405。在又其他实施例中,I/O桥407与存储器桥405可整合至单一芯片中。本文所示的特定组件为选择性的;例如,可支援任何数量的附加卡或周边装置。在一些实施例中,处理设备470可直接连接至I/O桥407。在一些实施例中,去除切换器416,且网络转接器418与附加卡420、421直接连接至I/O桥407。
本发明的各种实施例可实施为与计算机系统一起使用的程序产品。程序产品的软件例程界定了实施例的功能(包括本文所述的方法),并且可包括于各种计算机可读取存储介质上。例示的计算机可读取存储介质包括(但不限于):(i)不可写入的存储介质(例如,计算机内的只读存储器装置,例如CD-ROM机可读取的CD-ROM碟、闪存、ROM芯片或任何类型的固态非挥发性半导体存储器),其上信息是永久存储;与(ii)可写入的存储介质(例如,磁盘驱动器内的软盘、硬盘机、或任何类型的固态随机存取半导体存储器),其上存储可改变的信息。
反馈控制与前馈控制设计(即,软件例程)两者可用于程序代码所运行的方法中,用于调整基板的温度分布。来自处理目前的基板的计量数据反馈至控制器(查找表、PID、MPC、或迭代学习控制器的任一者)。上游计量数据也可输入至前馈控制器例程。反馈或前馈控制器例程的任一者或两者可确定用于处理后续基板的所需的补偿温度分布。补偿温度分布然后输入至模型类的温度调整器,调整器的结果将产生下个运行配方参数,包括整个温度分布在离散位置处所确定的补偿。经由调整空间上可调整的加热器与冷却组件,此种处理将实现利用静电夹具温度的控制的处理调整。模型类基板温度分布可以给予具有大电阻式加热器的多区域温度控制静电夹具来用于主要的调整,且给予小尺寸均匀或不均匀形态的空间上可调整的加热器来用于精细分辨率的控制。例如,静电夹具可具有形成四个区域的主要加热器,以及重叠于这四个区域的多个小的空间上可调整的加热器。基板温度模型从实体模型开发,并且利用实验数据来校准。在生产中,因为各个静电夹具的差异与RF小时的变化,I4晶片用于进一步校准模型。
用于工艺调整温度分布控制的多区域与高分辨率温度控制静电夹具可受到加热或冷却或两者。静电夹具可具有不均匀的图案,用于激活空间上可调整的加热器,其中每一空间上可调整的加热器具有独特的热输出,取决于输出至空间上可调整的加热器的编程功率。温度分布可受到调整,以调整处理来达成临界尺寸(CD)均匀性调整、传入的CD补偿、蚀刻速率(ER)调整等。温度分布控制方法可反馈自目前的计量数据,或者前馈自先前的计量数据或上游工艺数据。控制方法不限于迭代学习控制(这是非模型类)。可使用典型的控制调整(例如,PID、LUT(查找表)),或可使用高等的模型类控制。
假设有从一个ESC至另一个ESC的差异以及RF小时关联性,多区域主加热器与ESC中的空间上可调整的加热器所达成的分辨率温度控制可用于维持一致的基板温度。在改变腔室的状况下,ESC的多区域与分辨率温度控制可用于匹配基板的温度分布至模型分布。例如,每一区域温度控制可用于最少化工艺套件的腐蚀或补偿边缘工艺漂移,以增加清洗腔室之间的平均时间。影响温度控制分布的不均匀形状的能力设计来校正腔室硬件的固有不均匀性。此外,空间上可调整的加热器可使用作为温度或热通量测量的探测器,以建立实时的温度分布。
图5为根据第一实施例的用于使用工艺配方处理基板的方法500的流程图,其中使用前馈控制器例程来确定工艺配方。流程图可实施为诸如由系统400所述的计算装置上的软件例程。空间上可调整的加热器可独立地受到控制,并且确定其上处理的基板的温度分布。通过相对于另一加热器来控制分别施加至每一单独的空间上可调整的加热器的功率的工作周期、电压、电流、持续时间的至少一者或更多者,控制器可相对于另一加热器来改变一个空间上可调整的加热器所产生的热。供应给空间上可调整的加热器的功率也可在多个加热器之间同时提供,如同上述,或者替代地,相继地跨各个空间上可调整的加热器来扫描提供。工件(诸如,基板118)可在基板支撑组件上处理。例如,基板可在真空腔室中处理,例如使用等离子体处理。真空处理可选择性地在处理腔室内的等离子体存在时执行,真空处理可以是蚀刻、化学气相沉积、物理气相沉积、离子布植、等离子体处理、退火、氧化物移除、减排或其他等离子体处理的一者。可设想到,针对其他应用,工件可在其他环境中、在温度受控的表面上处理,例如,在大气状况下。
方法500开始于操作510,将工艺灵敏性、目标工艺数据、以及上游计量工艺数据中的一者或更多者输入至前馈控制器例程中。前馈控制器例程设定成取用上游计量数据、目标工艺数据、与工艺灵敏性,并且直接输出所需的晶片温度补偿映射。在操作520,从所输入的数据确定所需的晶片温度补偿映射。
在操作530,从工艺配方与温度补偿映射来创建模型晶片温度调整参数。基于晶片温度与工艺配方的前馈,将温度补偿映射反馈至温度调整器。
在操作540,使用模型晶片温度调整参数来控制多区域温度ESC的加热分布。每一空间上可调整的加热器的控制可在静电夹具210中同时执行,以允许任何选择的空间上可调整的加热器快速产生特定的温度分布。提供至各个空间上可调整的加热器的功率的控制可通过外部控制器来提供,外部控制器经由光学连接而与基板支撑组件中所设置的调整加热器控制器对接。此外,调整加热器控制器可同时提供功率至一个空间上可调整的加热器,而同时以不同的重叠的时间间隔来循环另一空间上可调整的加热器且循环又另一空间上可调整的加热器。以此方式,空间上可控制的加热器可具有经调节成匹配模型晶片温度调整参数的跨基板支座的温度分布。
基于模型的晶片温度调整器然后输出所需的工艺参数,以形成新的配方。在操作550中,运行配方,且在多区域温度ESC上处理基板。
图6为用于使用空间上可调整的加热器联合反馈控制器例程来处理基板的方法600的第二实施例。该处理开始于操作610,其中工艺灵敏性、目标工艺数据、与第k次运行计量工艺数据输入至迭代学习控制器中。在第k次迭代时(其中,k=1、2、...),反馈控制器例程设定成:基于对温度灵敏度与基板温度数据的工艺,应用差异计量数据(即,第k次运行计量数据、目标工艺数据)的输入来获得所需的基板温度分布。反馈控制器例程可以是迭代学习控制器或其他类型的控制器,诸如LUT、PID、MPC、或其他合适的控制器。
在操作620,从输入的数据确定所需的基板温度补偿映射。所需的基板温度补偿映射变成控制器的输入,以调整配方参数,诸如指示PWM控制器修改来自空间上可调整的加热器的输出,使得下个基板是利用尽可能接近所需的基板温度分布的温度分布来运行。迭代进行,直到达到所需的工艺表现。该工艺可包括利用多个基板的多次迭代。
在操作630,可从工艺配方与温度补偿映射来产生模型基板温度调整参数。关键的配方输出(诸如,温度)可在每次新的所需的工艺目标与工艺灵敏度改变时调整。
在操作640,多区域与空间上可调整温度的静电夹具的加热分布可利用模型基板温度调整参数来控制。例如,模型基板温度调整参数可包括对若干单独的空间上可调整的加热器的功率的唯一递增的增加,同时另外减少至其他单独的空间上可调整的加热器的功率,以获得校正,来达成所需的温度分布。
在操作650,在第K+1个基板上利用第K次迭代配方来运行工艺。也就是说,在测量基板后,修改工艺配方。然后使用该工艺配方来处理下一个基板。在一些实施例中,工艺配方可从前面一组基板的测量来确定。例如,基板可放置在FOUP中,并且移动至单独的计量装置。配方可从FOUP中所处理的最后一个基板来确定。或者,控制器可查看FOUP中的基板的计量趋势,并且基于该趋势来调整目前的工艺配方。
在操作660,确定第K+1个基板的计量数据。计量数据可存储在数据库中,诸如在APC处,以在未来使用。在操作670,提供第K+1次运行计量工艺数据至迭代学习控制器。控制器可基于该计量处理数据,来调整目前的第K+2个工艺配方。
图7为用于处理基板的方法700的流程图,其中使用反馈与前馈控制器例程来确定用于空间上可调整的加热器的工艺配方。基于反馈目前的工艺数据与前馈上游计量数据两者,可修改用于目前的基板的工艺配方。
方法700开始于操作710,其中工艺灵敏性、目标工艺数据以及第k次运行计量工艺数据输入至迭代学习控制器中,即,反馈控制器例程。在操作715,工艺灵敏性、目标工艺数据以及上游计量数据输入至前馈控制器例程中。
在操作720,从来自前馈控制器例程与迭代学习控制器例程的输入数据创建所需的基板温度补偿映射。因此,使用目前的工艺数据与上游计量数据两者。
在操作730,除了所需的温度补偿映射之外,从工艺配方与温度补偿映射来创建模型基板温度调整参数。模型基板调整参数用于确定各个空间上可调整的加热器的所需的热输出,以达成基板的所需温度分布。
在操作740,多区域温度静电夹具的加热分布是使用模型晶片温度调整参数来控制的。PWM控制器调整至每一单独的空间上可调整的加热器的功率,以达成所需的温度分布。当至一个空间上可调整的加热器的功率正在被调整时,其他空间上可调整的加热器可断开或保持给定的输出。或者,至多个(诸如,两个、更多个或全部)空间上可调整的加热器的功率可同时被调整。可有140或更多个空间上可调整的加热器,其中每一空间上可调整的加热器单独地受到控制,以获得可定制的热输出。控制器可指示PWM控制器提供20%功率给一个空间上可调整的加热器,例如空间上可调整的加热器x,以在相邻于该加热器的第一位置中达到大约1摄氏度的温度增加。控制器可同时指示PWM提供80%功率给第二空间上可调整的加热器,例如空间上可调整的加热器y,以在相邻于该加热器的第二位置中达到大约4摄氏度的温度增加。以此方式,高达100%的空间上可调整的加热器可单独地同时控制,以创建独特的温度分布。
在操作750,利用第K个迭代配方的工艺运行于第K+1个基板上。因此,针对每一个后续的基板,可调整该配方,以独特地修改温度分布,来达成所需的结果。
在操作760,确定针对第K+1个基板的计量数据。在操作770,提供第K+1个运行计量工艺数据至迭代学习控制器例程中,以用于确定第K+2个基板的基板温度补偿映射。
有利地,工艺调整可控制临界尺寸的均匀性、传入的临界尺寸补偿、与蚀刻速率。空间上可调整的加热器在各种腔室环境中、在不同的ESC都维持一致的基板温度。空间上可调整的加热器可用于促进边缘区域温度控制,边缘区域温度控制配置来最少化工艺套件的腐蚀或补偿边缘工艺漂移,并且增加清洗之间的平均时间。空间上可调整的加热器可具有不均匀的形状,以校正处理腔室的固有不均匀性。此外,空间上可调整的加热器可使用作为温度或热通量测量的探测器。因此,空间上可调整的加热器调整工艺结果,以补偿随时间的变化。
虽然前述内容针对本发明的实施方式,可在不偏离本发明基本范围的情况下设想到本发明的其他与进一步的实施方式,且本发明范围由所附权利要求书确定。

Claims (20)

1.一种处理基板支撑组件上的基板的方法,所述方法包括下述步骤:
使用基板支撑组件上的第一温度分布来处理第一基板,所述基板支撑组件具有主加热器与空间上可调整的加热器;
从处理所述第一基板的结果来确定偏移分布;
响应于所述偏移分布来控制所述空间上可调整的加热器,以在形成第二温度分布的过程中实现所述基板支撑组件的局部温度的离散的横向与方位角上的调整;及
用所述第二温度分布来处理第二基板。
2.如权利要求1所述的方法,其中确定所述偏移分布包括下述步骤:
输入数据至前馈控制器例程中,所述数据包括工艺灵敏性、目标工艺数据以及上游计量工艺数据中的至少一者或更多者;
从所输入的数据确定所需的基板温度补偿映射;及
从工艺配方与所述基板温度补偿映射来创建所述偏移分布。
3.如权利要求1所述的方法,其中确定所述偏移分布包括下述步骤:
提供第一运行计量工艺数据至迭代学习控制器例程中;
输入数据至所述迭代学习控制器例程中,所述数据包括工艺灵敏性、目标工艺数据以及所述第一运行计量工艺数据中的至少一者或更多者;
从所输入的数据确定所需的晶片温度补偿映射;及
从工艺配方与所述温度补偿映射来创建所述偏移分布。
4.如权利要求1所述的方法,其中确定所述偏移分布包括下述步骤:
提供第K次运行计量工艺数据至迭代学习控制器例程中;
输入处理数据至所述迭代学习控制器例程中,所述处理数据包括工艺灵敏性、目标工艺数据以及第K次运行计量工艺数据中的至少一者或更多者;
从所输入的数据确定所需的晶片温度补偿映射;
将工艺灵敏性、目标工艺数据以及上游计量数据输入至前馈控制器例程中;及
从工艺配方与所述温度补偿映射来创建所述偏移分布。
5.如权利要求1所述的方法,其中形成所述基板支撑组件的所述第二温度分布进一步包括下述步骤:
在处理所述第一基板的同时,相对于施加至不在跨所述基板支撑组件的工件支撑表面而分布的多个离散位置处的所述空间上可调整的加热器的功率,向在所述多个离散位置处的所述空间上可调整的加热器中的至少一些施加更多或更少的功率。
6.如权利要求1所述的方法,其中所述空间上可调整的加热器被同时供电,且其中所述空间上可调整的加热器中的至少两者具有不同的百分比功率输出。
7.如权利要求1所述的方法,进一步包括下述步骤:
在对应于具有大约100%的功率输出的空间上可调整的加热器的位置处,增加所述基板支撑组件的工件支撑表面大约5摄氏度。
8.一种存储程序的计算机可读取存储介质,所述程序当被处理器执行时执行用于处理基板支撑组件上的基板的操作,所述操作包括:
使用基板支撑组件上的第一温度分布来处理第一基板,所述基板支撑组件具有主加热器与空间上可调整的加热器;
从处理所述第一基板的结果来确定偏移分布;
响应于所述偏移分布来控制所述空间上可调整的加热器,以在形成第二温度分布的过程中实现所述基板支撑组件的局部温度的离散的横向与方位角上的调整;
用所述第二温度分布来处理第二基板。
9.如权利要求8所述的存储程序的计算机可读取存储介质,其中确定所述偏移分布包括:
输入数据至前馈控制器例程中,所述数据包括工艺灵敏性、目标工艺数据以及上游计量工艺数据中的至少一者或更多者;
从所输入的数据确定所需的基板温度补偿映射;及
从工艺配方与所述基板温度补偿映射来创建所述偏移分布。
10.如权利要求8所述的存储程序的计算机可读取存储介质,其中确定所述偏移分布包括:
提供第一运行计量工艺数据至迭代学习控制器例程中;
输入数据至所述迭代学习控制器例程中,所述数据包括工艺灵敏性、目标工艺数据以及所述第一运行计量工艺数据中的至少一者或更多者;
从所输入的数据确定所需的晶片温度补偿映射;及
从工艺配方与所述温度补偿映射来创建所述偏移分布。
11.如权利要求8所述的存储程序的计算机可读取存储介质,其中确定所述偏移分布包括:
提供第K次运行计量工艺数据至迭代学习控制器例程中;
输入处理数据至所述迭代学习控制器例程中,所述处理数据包括工艺灵敏性、目标工艺数据以及第K次运行计量工艺数据中的至少一者或更多者;
从所输入的数据确定所需的晶片温度补偿映射;
将工艺灵敏性、目标工艺数据以及上游计量数据输入至前馈控制器例程中;及
从工艺配方与所述温度补偿映射来创建所述偏移分布。
12.如权利要求8所述的存储程序的计算机可读取存储介质,其中形成所述基板支撑组件的所述第二温度分布进一步包括:
在处理所述第一基板的同时,相对于施加至不在跨所述基板支撑组件的工件支撑表面而分布的多个离散位置处的所述空间上可调整的加热器的功率,向在所述多个离散位置处的所述空间上可调整的加热器中的至少一些施加更多或更少的功率。
13.如权利要求8所述的存储程序的计算机可读取存储介质,其中所述空间上可调整的加热器被同时供电,且其中所述空间上可调整的加热器中的至少两者具有不同的百分比功率输出。
14.如权利要求8所述的存储程序的计算机可读取存储介质,进一步包括:
在对应于具有大约100%的功率输出的空间上可调整的加热器的位置处,增加所述基板支撑组件的工件支撑表面大约5摄氏度。
15.一种系统,包括:
处理器;及
存储器,其中所述存储器包括应用程序,所述应用程序配置成执行用于处理基板支撑组件上的基板的操作,所述操作包括:
使用基板支撑组件上的第一温度分布来处理第一基板,所述基板支撑组件具有主加热器与空间上可调整的加热器;
从处理所述第一基板的结果来确定偏移分布;
响应于所述偏移分布来控制所述空间上可调整的加热器,以在形成第二温度分布的过程中实现所述基板支撑组件的局部温度的离散的横向与方位角上的调整;及
用所述第二温度分布来处理第二基板。
16.如权利要求15所述的系统,其中确定所述偏移分布包括:
输入数据至前馈控制器例程中,所述数据包括工艺灵敏性、目标工艺数据以及上游计量工艺数据中的至少一者或更多者;
从所输入的数据确定所需的基板温度补偿映射;及
从工艺配方与所述基板温度补偿映射来创建所述偏移分布。
17.如权利要求15所述的系统,其中确定所述偏移分布包括:
提供第一运行计量工艺数据至迭代学习控制器例程中;
输入数据至所述迭代学习控制器例程中,所述数据包括工艺灵敏性、目标工艺数据以及所述第一运行计量工艺数据中的至少一者或更多者;
从所输入的数据确定所需的晶片温度补偿映射;及
从工艺配方与所述温度补偿映射来创建所述偏移分布。
18.如权利要求15所述的系统,其中确定所述偏移分布包括:
提供第K次运行计量工艺数据至迭代学习控制器例程中;
输入处理数据至所述迭代学习控制器例程中,所述处理数据包括工艺灵敏性、目标工艺数据以及第K次运行计量工艺数据中的至少一者或更多者;
从所输入的数据确定所需的晶片温度补偿映射;
将工艺灵敏性、目标工艺数据以及上游计量数据输入至前馈控制器例程中;及
从工艺配方与所述温度补偿映射来创建所述偏移分布。
19.如权利要求15所述的系统,进一步包括:
在对应于具有大约100%的功率输出的空间上可调整的加热器的位置处,增加所述基板支撑组件的工件支撑表面大约5摄氏度。
20.如权利要求15所述的系统,其中所述空间上可调整的加热器被同时供电,且其中所述空间上可调整的加热器中的至少两者具有不同的百分比功率输出。
CN202110960034.5A 2015-05-22 2016-01-13 方位可调整的多区域静电夹具 Pending CN113675115A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562165572P 2015-05-22 2015-05-22
US62/165,572 2015-05-22
CN201680023970.0A CN107636817B (zh) 2015-05-22 2016-01-13 方位可调整的多区域静电夹具

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680023970.0A Division CN107636817B (zh) 2015-05-22 2016-01-13 方位可调整的多区域静电夹具

Publications (1)

Publication Number Publication Date
CN113675115A true CN113675115A (zh) 2021-11-19

Family

ID=57393586

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680023970.0A Active CN107636817B (zh) 2015-05-22 2016-01-13 方位可调整的多区域静电夹具
CN202110960034.5A Pending CN113675115A (zh) 2015-05-22 2016-01-13 方位可调整的多区域静电夹具

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201680023970.0A Active CN107636817B (zh) 2015-05-22 2016-01-13 方位可调整的多区域静电夹具

Country Status (6)

Country Link
US (2) US10440777B2 (zh)
JP (2) JP6806704B2 (zh)
KR (2) KR20180011119A (zh)
CN (2) CN107636817B (zh)
TW (2) TWI731429B (zh)
WO (1) WO2016190905A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116200730A (zh) * 2023-03-03 2023-06-02 福建华佳彩有限公司 一种等离子增强型化学气相沉积的接地线结构

Families Citing this family (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102302723B1 (ko) * 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016190905A1 (en) * 2015-05-22 2016-12-01 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck
US10381248B2 (en) * 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10763142B2 (en) * 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10908195B2 (en) * 2016-06-15 2021-02-02 Watlow Electric Manufacturing Company System and method for controlling power to a heater
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10763141B2 (en) * 2017-03-17 2020-09-01 Applied Materials, Inc. Non-contact temperature calibration tool for a substrate support and method of using the same
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10361099B2 (en) * 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102435888B1 (ko) * 2017-07-04 2022-08-25 삼성전자주식회사 정전 척, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
TWI720330B (zh) * 2017-08-10 2021-03-01 美商瓦特洛威電子製造公司 控制送至加熱器之電力的系統及方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
US10651065B2 (en) * 2017-12-06 2020-05-12 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10903097B2 (en) * 2018-03-30 2021-01-26 Axcelis Technologies, Inc. In-situ wafer temperature measurement and control
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
JP7326344B2 (ja) * 2018-05-24 2023-08-15 アプライド マテリアルズ インコーポレイテッド 空間分解ウエハ温度制御のための仮想センサ
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10698392B2 (en) 2018-06-22 2020-06-30 Applied Materials, Inc. Using graphics processing unit for substrate routing and throughput modeling
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR20210019573A (ko) 2018-07-05 2021-02-22 램 리써치 코포레이션 기판 프로세싱 시스템에서 기판 지지부의 동적 온도 제어
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102225682B1 (ko) * 2018-09-28 2021-03-12 세메스 주식회사 기판의 열처리 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11367645B2 (en) * 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11562913B2 (en) * 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11004710B2 (en) * 2019-06-04 2021-05-11 Applied Materials, Inc. Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
WO2021030833A1 (en) * 2019-08-09 2021-02-18 Lam Research Corporation Model based control of wafer non-uniformity
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
MX2022005351A (es) * 2019-11-04 2022-08-15 Watlow Electric Mfg Sistema de control y monitoreo para sistema de suministro de gas.
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210081729A (ko) 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11353364B2 (en) 2020-03-02 2022-06-07 Lam Research Corporation Thermal imaging for within wafer variability feedforward or feedback information
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006952A (ko) * 2020-07-09 2022-01-18 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11749542B2 (en) * 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
JP7311469B2 (ja) * 2020-08-11 2023-07-19 Ckd株式会社 気化器
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220170159A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Multi-zone heater tuning in substrate heater
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20220415694A1 (en) * 2021-06-29 2022-12-29 Asm Ip Holding B.V. Electrostatic chuck, assembly including the electrostatic chuck, and method of controlling temperature of the electrostatic chuck
JP7213592B1 (ja) 2021-08-19 2023-01-27 アダプティブ プラズマ テクノロジー コーポレーション 多重加熱領域構造の静電チャック
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024069684A1 (ja) * 2022-09-26 2024-04-04 株式会社日立ハイテク 半導体デバイスの製造システム及び製造方法

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JPH11111823A (ja) * 1997-10-06 1999-04-23 Dainippon Screen Mfg Co Ltd 基板熱処理装置
DE19907497C2 (de) * 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6238936B1 (en) 1999-03-08 2001-05-29 Advanced Micro Devices, Inc. Method of using critical dimension mapping to qualify a new integrated circuit fabrication etch process
US6345211B1 (en) 1999-03-08 2002-02-05 Advanced Micro Devices, Inc. Method of using critical dimension mapping to optimize speed performance of microprocessor produced using an integrated circuit manufacturing process
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
JP2001118662A (ja) * 1999-08-09 2001-04-27 Ibiden Co Ltd セラミックヒータ
ATE301916T1 (de) * 1999-11-19 2005-08-15 Ibiden Co Ltd Keramisches heizgerät
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
WO2003026001A2 (en) 2001-09-18 2003-03-27 Applied Materials, Inc. Integrated equipment set for forming an interconnect on a substrate
US6641746B2 (en) 2001-09-28 2003-11-04 Agere Systems, Inc. Control of semiconductor processing
JP3856293B2 (ja) * 2001-10-17 2006-12-13 日本碍子株式会社 加熱装置
US6721616B1 (en) 2002-02-27 2004-04-13 Advanced Micro Devices, Inc. Method and apparatus for determining control actions based on tool health and metrology data
US6858361B2 (en) 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US6943053B2 (en) 2002-03-20 2005-09-13 Applied Materials, Inc. System, method and medium for modeling, monitoring and/or controlling plasma based semiconductor manufacturing processes
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6895295B1 (en) 2002-05-06 2005-05-17 Advanced Micro Devices, Inc. Method and apparatus for controlling a multi-chamber processing tool
US6924088B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
AU2003256257A1 (en) 2002-06-28 2004-01-19 Tokyo Electron Limited Controlling a material processing tool and performance data
US7067333B1 (en) 2002-06-28 2006-06-27 Advanced Micro Devices, Inc. Method and apparatus for implementing competing control models
US20040077160A1 (en) 2002-10-22 2004-04-22 Koninklijke Philips Electronics N.V. Method to control dimensions of features on a substrate with an organic anti-reflective coating
US7265382B2 (en) 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7482178B2 (en) 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US20050064714A1 (en) 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process
KR100548998B1 (ko) 2003-09-25 2006-02-02 삼성전자주식회사 동일레벨에 퓨즈와 커패시터를 갖는 반도체소자 및 그것을제조하는 방법
US7094613B2 (en) 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7020535B1 (en) 2003-11-06 2006-03-28 Advanced Micro Devices, Inc. Method and apparatus for providing excitation for a process controller
KR100666039B1 (ko) * 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
US6999848B2 (en) 2003-12-19 2006-02-14 Intel Corporation Process control apparatus, systems, and methods
US7250309B2 (en) 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7306746B2 (en) 2004-01-30 2007-12-11 Taiwan Semiconductor Manufacturing Co. Ltd. Critical dimension control in a semiconductor fabrication process
US7328418B2 (en) 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7446854B2 (en) * 2006-02-07 2008-11-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7567700B2 (en) 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
TWI424524B (zh) * 2006-10-04 2014-01-21 Applied Materials Inc 電漿腔室中用於基板夾持之設備與方法
JP5165878B2 (ja) 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
JP4391518B2 (ja) * 2006-12-28 2009-12-24 東京エレクトロン株式会社 温度制御方法、調整装置、温度調節器、プログラム、記録媒体および加熱処理装置
US7801635B2 (en) 2007-01-30 2010-09-21 Tokyo Electron Limited Real-time parameter tuning for etch processes
US7642102B2 (en) 2007-01-30 2010-01-05 Tokyo Electron Limited Real-time parameter tuning using wafer thickness
US7517708B2 (en) 2007-01-30 2009-04-14 Tokyo Electron Limited Real-time parameter tuning using wafer temperature
US20080228308A1 (en) * 2007-03-13 2008-09-18 Tokyo Electron Limited Critical dimension uniformity optimization
JP5064069B2 (ja) * 2007-03-20 2012-10-31 株式会社Sokudo 基板搬送装置および熱処理装置
US7534725B2 (en) 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
US8409993B2 (en) 2007-06-07 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for controlling copper chemical mechanical polish uniformity
US7713758B2 (en) 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US8232212B2 (en) 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US8394722B2 (en) 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
CN102308380B (zh) * 2009-02-04 2014-06-04 马特森技术有限公司 用于径向调整衬底的表面上的温度轮廓的静电夹具系统及方法
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US20100241250A1 (en) 2009-03-18 2010-09-23 TECH Semiconductor Singapore Ptd Ltd Feedback and feedforward control of a semiconductor process without output values from upstream processes
US8236700B2 (en) 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP6066728B2 (ja) 2009-12-15 2017-01-25 ラム リサーチ コーポレーションLam Research Corporation Cdの均一性を向上させるための基板温度調整を行う方法及びプラズマエッチングシステム
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
JP6184958B2 (ja) * 2011-08-30 2017-08-23 ワトロウ エレクトリック マニュファクチュアリング カンパニー 高精度ヒータおよびその動作方法
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
WO2016069808A1 (en) * 2014-10-31 2016-05-06 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
JP2015092580A (ja) * 2014-11-28 2015-05-14 株式会社日立ハイテクノロジーズ 試料の温度を制御する温度制御装置、試料を載置する試料台及びこれらを備えたプラズマ処理装置
WO2016190905A1 (en) * 2015-05-22 2016-12-01 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck
US10971384B2 (en) 2018-09-13 2021-04-06 Lam Research Corporation Auto-calibrated process independent feedforward control for processing substrates
US11056405B2 (en) 2018-09-14 2021-07-06 Lam Research Corporation Methods and systems for controlling wafer fabrication process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116200730A (zh) * 2023-03-03 2023-06-02 福建华佳彩有限公司 一种等离子增强型化学气相沉积的接地线结构

Also Published As

Publication number Publication date
US20160345384A1 (en) 2016-11-24
JP2020021939A (ja) 2020-02-06
TWI677049B (zh) 2019-11-11
CN107636817A (zh) 2018-01-26
KR102321919B1 (ko) 2021-11-03
TW202015171A (zh) 2020-04-16
KR20190071016A (ko) 2019-06-21
KR20180011119A (ko) 2018-01-31
WO2016190905A1 (en) 2016-12-01
US10440777B2 (en) 2019-10-08
JP2018522393A (ja) 2018-08-09
TW201642384A (zh) 2016-12-01
JP6806704B2 (ja) 2021-01-06
US20200037399A1 (en) 2020-01-30
US11622419B2 (en) 2023-04-04
CN107636817B (zh) 2021-08-27
TWI731429B (zh) 2021-06-21

Similar Documents

Publication Publication Date Title
CN107636817B (zh) 方位可调整的多区域静电夹具
KR102641440B1 (ko) 온도 튜닝가능 다중-구역 정전 척
TWI781426B (zh) 半導體製造中基於多區加熱器模型的控制
KR102159781B1 (ko) 플라즈마 프로세싱 챔버 내의 웨이퍼 캐리어에 대한 진보된 온도 제어
TWI608537B (zh) 電漿蝕刻系統
CN102683247A (zh) 等离子体蚀刻装置及等离子体蚀刻方法
CN108335999B (zh) 基板处理装置、温度控制方法以及存储介质
KR20180073477A (ko) 열처리 장치, 열처리 방법 및 컴퓨터 기억 매체
KR20210027232A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US20200168442A1 (en) Focus ring height adjusting device and wafer etching apparatus including the same
TWI772356B (zh) 基板處理裝置、溫度控制方法及溫度控制程式
TWI837299B (zh) 可調溫式多區域靜電卡盤
WO2013190812A1 (ja) 半導体装置の製造方法及び半導体装置並びに半導体装置の製造システム

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination