TWI731429B - 處理在基板支撐組件上的基板的方法,及其系統和電腦可讀取儲存媒體 - Google Patents

處理在基板支撐組件上的基板的方法,及其系統和電腦可讀取儲存媒體 Download PDF

Info

Publication number
TWI731429B
TWI731429B TW108135905A TW108135905A TWI731429B TW I731429 B TWI731429 B TW I731429B TW 108135905 A TW108135905 A TW 108135905A TW 108135905 A TW108135905 A TW 108135905A TW I731429 B TWI731429 B TW I731429B
Authority
TW
Taiwan
Prior art keywords
substrate
processing
data
temperature
heater
Prior art date
Application number
TW108135905A
Other languages
English (en)
Other versions
TW202015171A (zh
Inventor
張純磊
菲利浦 克里米奈爾
史蒂芬E 巴巴揚
大衛 烏爾斯特倫
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202015171A publication Critical patent/TW202015171A/zh
Application granted granted Critical
Publication of TWI731429B publication Critical patent/TWI731429B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Resistance Heating (AREA)
  • Control Of Resistance Heating (AREA)

Abstract

本文所述的實施提供一種用於處理基板支撐組件上的基板之方法,該方法促成靜電夾具與基板之間的熱轉移的橫向與方位角兩者上的調整。該方法包括下述步驟:使用在具有主要加熱器和空間上可調整的加熱器的一基板支撐組件上的一第一溫度分布來處理一第一基板。從處理第一基板的結果來決定偏移分布。回應於該偏移分布來控制該空間上可調整的加熱器以在形成一第二溫度分布時促進該基板支撐組件的局部熱點和冷點的橫向與方位角上的離散調整。然後使用第二溫度分布來處理第二基板。

Description

處理在基板支撐組件上的基板的方法,及其系統和電腦可 讀取儲存媒體
本文所述的實施一般係關於半導體製造,且更具體地,係關於靜電夾具的即時溫度控制以及使用靜電夾具的即時溫度控制的方法。
隨著裝置圖案的特徵尺寸變得更小,這些特徵的臨界尺寸(CD,critical dimension)要求變成穩定且可重複的裝置性能之更重要標準。橫越處理腔室內所處理的基板之可允許的CD變化難以達成,因為腔室的不對稱,例如腔室與基板溫度、流動傳導性、與RF場。
在使用靜電夾具的處理中,橫越基板表面的溫度控制的均勻性變得甚至更有挑戰性,因為基板下方的夾具的非均質架構。例如,靜電夾具的一些區域具有氣孔,而其他區域具有橫向偏移於氣孔的升舉銷孔。又其他區域具有夾持電極,而其他區域具有橫向偏移於夾持電極的加熱器電極。因為靜電夾具的結構可在橫向與方位角兩者上變化,夾具與基板之間的熱轉移的均勻性為複雜的並且非常難以獲得,導致橫越夾具表面的局部熱點與冷點,這因此導致沿著基板表面的處理結果的不均勻。
夾具與基板之間的熱轉移的橫向與方位角上的不均勻因為傳統的冷卻板中通常使用的熱轉移方案而進一步複雜化,靜電夾具安裝至冷卻板而形成基板支撐組件。例如,傳統的冷卻板通常僅具有邊緣至中心的溫度控制。另外,在生產運行時間期間,當基板移進與移出處理腔室時,冷卻板的溫度分布對於每個基板會有所不同。因此,當在生產運行時間使用傳統的基板支座的熱轉移特徵時,靜電夾具內的局部熱點與冷點會難以控制。
因此,需要改良的基板支撐組件。
本文所述的實施提供一種用於處理基板支撐組件上的基板之方法,該方法促成靜電夾具與基板之間的熱轉移的橫向與方位角兩者上的調整。該方法包括下述步驟:使用在具有主要加熱器和空間上可調整的加熱器的一基板支撐組件上的一第一溫度分布來處理一第一基板。從處理第一基板的結果來決定偏移分布。回應於該偏移分布來控制該空間上可調整的加熱器以在形成一第二溫度分布時促進該基板支撐組件的局部熱點和冷點的橫向與方位角上的離散調整。然後使用第二溫度分布來處理第二基板。
本文所述的實施提供一種用於控制空間上可調整的加熱器之方法,該方法促成基板支撐組件的溫度分布的橫向與方位角上的離散調整,這接著允許基板支撐組件上處理的基板的橫向溫度分布的橫向與方位角兩者上的調整。此外,該方法促成基板上的局部熱點或冷點的實質上消除。
雖然具有空間上可調整的加熱器之基板支撐組件在下文係敘述於蝕刻處理腔室中,基板支撐組件可用於其他類型的電漿處理腔室,例如物理氣相沉積腔室、化學氣相沉積腔室、離子佈植腔室等,以及橫向溫度分布的方位角上調整為所欲的其他系統。也可設想到,空間上可調整的加熱器也可用來控制其他表面的溫度,包括並非用於半導體處理的那些。
在一或更多個實施例中,藉由允許基板溫度用於補償腔室的不均勻,例如溫度、流動傳導性、電場、電漿密度等,用於控制基板支撐組件的溫度分布的方法可允許真空處理(例如蝕刻、沉積、佈植等)期間在基板邊緣處臨界尺寸(CD)變化的校正。
如同本領域中熟習技藝者可理解的,本發明的態樣可實施為系統、方法或電腦程式產品。因此,本揭示案的實施可採用下述的形式:完全硬體的實施例、完全軟體的實施例(包括韌體、常駐軟體、微編碼等)、或者結合有軟體與硬體態樣的實施例,在本文可稱為「電路」、「模組」、或「系統」。此外,本揭示案的實施可採用實施在一或更多個電腦可讀取媒介中的電腦程式產品的形式,電腦可讀取媒介具有電腦可讀取程式碼實施於其上。
一或更多個電腦可讀取媒介的任何組合可用於儲存程式產品,程式產品執行時係配置來執行用於編程預防性維護事件之方法。電腦可讀取媒介可為電腦可讀取信號媒介或電腦可讀取儲存媒介。電腦可讀取儲存媒介可為例如(但不限於)電子的、磁性的、光學的、電磁的、紅外線的、或半導體系統、設備或裝置,或上述的任何適當的組合。電腦可讀取儲存媒介的更具體範例(非窮舉的列表)將包括下述:可攜式電腦磁碟、硬碟、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、可抹除可編程唯讀記憶體(EPROM或快閃記憶體)、光纖、可攜式光碟唯讀記憶體(CD-ROM)、光學儲存裝置、磁性儲存裝置、或上述的任何適當的組合。在本文的上下文中,電腦可讀取儲存媒介可為任何有形的媒介,可含有或儲存程式來由指令執行系統、設備或裝置使用或相關於指令執行系統、設備或裝置使用。
電腦可讀取信號媒介可包括具有電腦可讀取程式碼實施於其中的傳播資料信號,例如,在基頻中或作為載波的部分。此種傳播信號可採用各種形式的任一種,包括(但不限於)電磁的、光學的、無線電的、或其任何合適的組合。電腦可讀取信號媒介可為並非是電腦可讀取儲存媒介的任何電腦可讀取媒介,並且可通訊、傳播、或傳送程式來由指令執行系統、設備、或裝置使用或相關於指令執行系統、設備、或裝置使用。
實施在電腦可讀取媒介上的程式碼可使用任何適當的媒介來傳輸,包括(但不限於)無線的、有線的、光纖電纜、RF等、或上述的任何適當的組合。
用於實施本發明的態樣的操作之電腦程式碼可用一或更多個程式語言的任何組合來寫成,包括物件導向的程式語言,例如JAVATM 、SMALLTALKTM 、C++ 、或類似者,以及傳統的程序程式語言,例如「C」程式語言或類似的程式語言。程式碼可完全執行在使用者的電腦上、部分執行在使用者的電腦上、作為獨立的軟體封包、部分執行在使用者的電腦上且部分執行在遠端電腦上、或完全執行在遠端電腦或伺服器上。在後一種情況中,遠端電腦可通過任何類型的網路而連接至使用者的電腦,包括區域網路(LAN)或廣域網路(WAN),或者該連接可至外部電腦(例如,使用網際網路服務提供商(ISP)透過網際網路)。
電腦程式指令也可載入至電腦、其他可編程資料處理設備、或其他裝置,以導致一系列的操作步驟執行在電腦、其他可編程設備、或其他裝置上,以產生電腦實施的程序,使得執行在電腦或其他可編程設備上的指令提供程序來用於實施流程圖及/或方塊圖中指定的功能/動作。
本揭示案的實施例可通過雲端運算基礎設施來提供給終端使用者。雲端運算指的是提供可擴展的運算資源作為網路上的服務。更正式來說,雲端運算可定義為提供運算資源與其底層技術架構(例如,伺服器、儲存器、網路)之間的抽象運算能力,促成可快速提供且用最少的管理工作或服務提供商互動來釋放的可配置式運算資源的共享池之方便的、依需要的網路存取。因此,雲端運算允許使用者存取「雲端」中的虛擬運算資源(例如,儲存器、資料、應用程式、與甚至完全虛擬的運算系統),而不用考慮到用於提供運算資源的底層實體系統(或那些系統的位置)。
通常,雲端運算資源在按次使用付費的基礎上提供給使用者,其中僅針對實際使用的運算資源來對使用者收費(例如,使用者所消耗的儲存空間的量,或使用者所即時化的虛擬系統的量)。使用者可存取任何時候駐留在雲端中的任何資源,以及橫越網際網路來自任何地方的任何資源。在本發明的上下文中,使用者可存取軟體常式(例如,用於檢測一或更多個接地條帶的斷裂之方法)或雲端中可用的相關資料。例如,軟體常式可在雲端中的運算系統上執行。在此種情況中,軟體常式可維護雲端中的儲存位置處的空間與非空間性資料。如此做可允許使用者從附接至連接至雲端的網路(例如,網際網路)之任何運算系統存取此資訊。
第1圖為多腔室真空處理系統100的示意頂視圖,具有至少一處理腔室120。多腔室真空處理系統100也包括系統控制器160、真空密閉處理平台110、與工廠介面140。多腔室真空處理系統100可額外附接至預先處理控制器(APC, advance process controller)180。APC 180可具有資料庫182與運算平台184。除了系統控制器160之外,可選擇性地使用APC 180,且APC 180整合了在製造設施處的複數個處理工具102。APC 180可追蹤其中進行製造的基板上的操作並且儲存基板上的測量結果。
工廠介面(FI, factory interface)140可具有複數個晶圓傳送盒(FOUP, front opening universal pod)144與至少一FI機器人142。FI 140也可具有額外的站,例如計量站150。計量站150可替代地位於鄰近於FOUP 144。FI機器人142可具有軌道與可移動式端效器,端效器可為葉片、複數個指部、夾持器、或用於轉移其上的基板118之其他合適的設備。FI機器人142可在大氣狀況下操作,並且係配置成具有運動範圍係足以轉移可移動式端效器上所設置的基板118於FOUP 144、計量站150、與處理系統100的一或更多個裝載閘腔室134、132之間。FOUP 144可固持複數個基板118,以轉移基板118至與自多腔室真空處理系統100。例如,FOUP 144可移動多腔室真空處理系統100上所處理的基板118至分開的計量站、化學研磨站、或用於進一步處理的其他設備。
裝載閘腔室134、132設置在工廠介面140與真空密閉處理平台110之間,以促進基板118轉移於工廠介面140中維持的實質上周圍環境與真空密閉處理平台110中維持的真空環境之間。裝載閘腔室134、132具有一或更多個入口/出口槽(未圖示),基板118可通過入口/出口槽而從FI 140轉移進與出裝載閘腔室134、132。同樣地,裝載閘腔室134、132具有相同數量的入口/出口槽,基板118可通過入口/出口槽而轉移於裝載閘腔室134、132的內部與真空密閉處理平台110之間。裝載閘腔室134、132的每一入口/出口槽選擇性地藉由流量閥(未圖示)來密封,以隔離裝載閘腔室134、132的內部於FI 140或真空密封處理平台110的任一者的內部。
除了裝載閘腔室134、132之外,真空密閉處理平台110具有複數個附接腔室120係設置於轉移腔室130的周圍。轉移腔室130耦接至真空系統(未圖示),以提供真空密封處理平台110中的負壓狀況。轉移腔室130容納至少一轉移腔室機器人114。轉移腔室機器人114可旋轉,以轉移任何腔室120內的基板118。一或更多個附接腔室120可包括蝕刻腔室或沉積腔室,例如化學氣相沉積腔室、物理氣相沉積腔室、或原子層沉積腔室,其中具有基板支撐組件200(繪示於第2圖中)來用於處理其上的基板118。此外,一個附接腔室120可為計量腔室152(具有計量設備來測量基板118的特性)、定向腔室、去氣腔室、或用於處理基板118之其他適當的腔室。在一些實施例中,一個腔室120可同時蝕刻與測量基板118。例如,用於測量基板118特性的計量設備可併入至腔室120中。或者,用於測量基板118特性的計量設備可位於轉移腔室130、FI 140或其他方便的位置。
系統控制器160耦接至多腔室真空處理系統100的每一腔室120及/或模組並且控制每一腔室120及/或模組。通常,系統控制器160可使用處理系統100的腔室與設備的直接控制或者替代地藉由控制與這些腔室與設備相關的電腦,來控制處理系統100的操作的所有態樣。此外,系統控制器160也可配置成通過APC 180來通訊於與轉移腔室機器人114相關的控制單元與其他控制器。例如,轉移腔室機器人114的移動(轉移基板118至與自處理腔室120並且執行處理序列,協調多腔室真空處理系統100的各種元件的操作等)可由系統控制器160來控制。另外,系統控制器160可控制處理腔室120中的處理製作方法。例如,系統控制器可控制真空、腔室溫度、基板支撐表面溫度分布、氣體流率、與處理製作方法的各種其他處理參數。在操作中,系統控制器160促成個別的腔室與設備的反饋,以最佳化基板產量。
系統控制器160將相關於第4圖討論於下。系統控制器160可改變用於腔室120中進行處理的基板118之處理製作方法。系統控制器160可使用來自計量設備的反饋,以決定處理製作方法的變化。計量設備可測量橫越基板118的臨界尺寸並且改變處理參數(例如橫越基板支撐組件的局部溫度),以改變局部處理。
第2圖為部分橫剖面示意側視圖,詳述基板支撐組件200的部分,配置來提供橫越基板支撐組件的溫度分布的方位角調整。橫越基板支撐組件200的溫度分布的方位角調整可藉由控制器160上運行的軟體常式來控制。軟體常式也可或替代地由位於多腔室真空處理系統100遠端的第二控制器(未圖示)來儲存及/或執行,例如在處理腔室120或APC 180處。
基板支撐組件200通常包括至少一基板支座210。基板支座210可為真空夾具、靜電夾具、基座、或其他工件支撐表面。在一實施例中,基板支座210為靜電夾具,且在下文中將敘述為靜電夾具210。基板支撐組件200也可包括冷卻基座260。冷卻基座260可替代地與基板支撐組件200分開。基板支撐組件200可移除地耦接至支撐底座205。支撐底座205可包括底座基座244。基板支撐組件200可定期從支撐底座205移除,以允許基板支撐組件200的一或更多個元件的整修。
靜電夾具210具有安裝表面203與相對於安裝表面203的工件支撐表面202,其中基板118係可移除地設置在工件支撐表面202上。靜電夾具210通常包括夾持電極207,夾持電極207嵌入於介電質主體208中。雖然夾持電極207繪示成靠近靜電夾具210的安裝表面203,夾持電極207可嵌入於靜電夾具210的其他部分中,例如剛好在工件支撐表面202下方。夾持電極207可配置為單極或雙極電極,或其他適當的配置。夾持電極207透過RF濾波器204而耦接至夾持電源206,夾持電源206提供RF或DC電力,以靜電固定基板118至靜電夾具210的工件支撐表面202。RF濾波器204防止用於形成處理腔室120內的電漿之RF電力損壞電性設備或在腔室外部呈現電性危險。
靜電夾具210的介電質主體208可由陶瓷材料製成,例如AlN或Al2 O3 。或者,介電質主體208可由聚合物製成,例如聚酰亞胺、聚醚醚酮、聚芳醚酮、與類似者。加熱器可嵌入於介電質主體208內。介電質主體208可包括一或更多個主要電阻式加熱器212及/或複數個空間上可調整的加熱器214。主要電阻式加熱器212可提供來升高基板支撐組件200的溫度至用於實行腔室處理(例如處理基板118及/或清洗處理腔室120的內部)的溫度。主要電阻式加熱器212可配置來提供任何一或更多個橫向分開的加熱區域,例如,複數個同中心的環形區域。空間上可調整的加熱器214為對於主要電阻式加熱器212的補充,並且配置來調整主要電阻式加熱器212所界定的複數個橫向分開的加熱區域的任何一或更多者內的複數個離散位置中的靜電夾具210的局部溫度。例如,空間上可調整的加熱器214可配置成極陣列、笛卡爾網格(Cartesian grid)的行與列、六邊形網格、或其他合適的矩陣。空間上可調整的加熱器214因此提供局部調整給放置在基板支撐組件200上的基板118的溫度分布。因此,主要電阻式加熱器212操作來以全域的總尺度維持工件支撐表面202上的溫度分布,而空間上可調整的加熱器214操作來以局部的微尺度調整工件支撐表面202的溫度分布的離散位置處的溫度。
主要電阻式加熱器212與空間上可調整的加熱器214通過RF濾波器218而耦接至加熱器電源222。加熱器電源222可提供900瓦特或更多的電力至加熱器212、214。控制器160可控制加熱器電源222的操作,加熱器電源222通常設定為提供電力給個別的加熱器212、214,來加熱基板118至預定的溫度分布。在一實施例中,主要電阻式加熱器212包括橫向分開的加熱區域,其中控制器160促成一個區域的主要電阻式加熱器212或甚至單一個空間上可調整的加熱器214相對於相鄰的加熱器212、214能優先加熱。在一些實施例中,每一空間上可調整的加熱器214可獨立地控制,以提供不同於另一空間上可調整的加熱器214的溫度。在一些實施例中,複數個(例如至少兩個以及多達全部)空間上可調整的加熱器214係獨立地且同時地供電,以提供非常穩定且不到處跳動的溫度分布,這產生穩定且容易控制的溫度分布,這有助於提高基板處理結果的均勻性與可預測性。
靜電夾具210可包括一或更多個溫度感測器254。溫度感測器254可測量工件支撐表面202上的複數個離散位置處的溫度。溫度感測器254可提供溫度反饋資訊給控制器160,用於控制加熱器電源222施加至主要電阻式加熱器212與空間上可調整的加熱器214的功率。另外,反饋資訊可用於控制冷卻基座260的操作。
靜電夾具210可設置在溫度受控制的冷卻基座260上。溫度受控制的冷卻基座260耦接至熱轉移流體源262。熱轉移流體源262提供熱轉移流體,例如液體、氣體或其組合,熱轉移流體循環通過設置在冷卻基座260中的一或更多個導管290。控制器160可控制流體流動通過隔離的相鄰導管290,以促成靜電夾具210與冷卻基座260的不同區域之間的熱轉移的局部控制,這有助於控制基板118的橫向溫度分布。
底座基座244設置在冷卻基座260之下,並且配置來容納複數個驅動機構,驅動機構配置來升高與降低複數個升降銷。此外,底座基座244係配置來容納來自靜電夾具210與冷卻基座260的複數個流體連接。底座基座244也配置來容納來自靜電夾具210的複數個電性連接。無數的連接(例如,流體、電性、資料信號)可運行於基板支撐組件200的外部或內部。
系統控制器160可包括控制器板250,控制器板250可設置在底座基座244中。或者,控制器板250可設置在基板支撐組件200內部或外部的別處。控制器板250可具有脈衝寬度調變(PWM)加熱器控制器216。控制器板250也可具有光學通訊介面板256。控制器板250也可選擇性地具有溫度感測器控制器252。
溫度感測器控制器252可通訊地耦接至用於測量基板118溫度的溫度感測器254。溫度感測器控制器252可將來自溫度感測器254的信號轉換成關於工件支撐表面202的離散位置中的實際溫度讀數。控制器板250也可選擇性地具有計量控制器(未圖示),用於決定設置在工件支撐表面202上的基板118的厚度。
PWM加熱器控制器216可連接至加熱器212、214。PWM加熱器控制器216可修改從加熱器電源222至個別加熱器212、214的功率。PWM加熱器控制器216可藉由測量在每一空間上可調整的加熱器214處的溫度而受到編程與校準。亦即,每一空間上可調整的加熱器214具有其自身獨立的PWM控制。PWM加熱器控制器216可藉由調整個別的空間上可調整的加熱器214的功率參數,來控制溫度。例如,至一個空間上可調整的加熱器214的100%功率可產生大約攝氏5度的熱輸出,用於增加空間上可調整的加熱器214上方的表面位置的主要加熱器所設定的溫度。此外,至一或更多個空間上可調整的加熱器214的20%的功率可產生大約攝氏1度的熱輸出,用於增加空間上可調整的加熱器214上方的表面位置的主要加熱器所設定的溫度。針對數個空間上可調整的加熱器214,功率可降低至20%,並且在整個處理操作都維持在該位準。此外,PWM加熱器控制器216可操作一或更多個空間上可調整的加熱器214於第一功率位準(例如80%),而同時操作一或更多個分離的空間上可調整的加熱器214於第二功率位準(例如20%)。在一實施例中,溫度可利用遞增至空間上可調整的加熱器214的功率來調節。例如,在處理的過程期間,可利用增加供應至空間上可調整的加熱器214的功率的百分比(例如9%的增加),來獲得溫度上升。在另一實施例中,可藉由循環打開與關閉空間上可調整的加熱器214來調節溫度,而其他可調整的加熱器214也可循環打開與關閉,同相或非同相地。在又另一實施例中,可藉由結合維持所欲的溫度分布所需之調整功率位準、循環與遞增調整至空間上可調整的加熱器214的功率,來調節溫度。
藉由同時改變每一個別的空間上可調整的加熱器214的熱輸出,可獲得溫度映射。該映射可將CD或基板溫度分布相關聯於每一空間上可調整的加熱器214的功率分布曲線。因此,基於個別的空間上可調整的加熱器214的程式調節功率設定,空間上可調整的加熱器214可用於產生基板上的溫度分布。邏輯可直接放置在PWM加熱器控制器216中或外部連接的控制器中,例如控制器160。因此,PWM加熱器控制器216係配置來獨立地控制複數個空間上可調整的加熱器214的一者的輸出,相對於複數個空間上可調整的加熱器214的另一者以及主要電阻式加熱器212來說。
光學通訊介面板256可介接於PWM加熱器控制器216。光學通訊介面板256也可介接於其他控制器,例如溫度感測器控制器252。光學通訊介面板256可具有至光學轉換器258的光學連接。光學介面板256可發送光學信號至光學轉換器258,以通訊於控制器160。因此,PWM加熱器控制器216與控制器160之間的通訊可藉由不會破壞RF能源的光學信號來執行。例如,控制器160可發送信號來控制一或更多個空間上可調整的加熱器214的熱輸出,以調整設置在靜電夾具210上的基板118的溫度分布。在傳送指令至用於在處理期間控制基板118的加熱分布之PWM加熱器控制器216之前,來自控制器160的信號指令可進入至光學轉換器258中,以傳輸至光學通訊介面板256。光學通訊介面板256的益處在於能夠防止RF電力行進通過離開基板支撐組件200的控制線路。
處理腔室120中的基板118的表面溫度會受到泵的處理氣體排放、流量閥門、電漿、與其他因素的影響。控制器160可具有用於基板118的溫度分布映射,以獲得高品質的處理結果。控制器160可接收來自計量設備或其他處理設備的輸入,以校正基板118的處理結果中的偏移或逆向趨勢。例如,一區域的基板118可蝕刻得較快於另一區域的基板118。控制器160可發送信號至空間上可調整的加熱器214,以調整偏離蝕刻速率的區域中的基板118的表面溫度。控制器160可啟用形狀類似於這些區域的圖案中的空間上可調整的加熱器214。空間上可調整的加熱器214藉由減少溫度分布的變化至攝氏大約+/- 0.3度,來改良主要電阻式加熱器212所產生的基板118的表面的溫度分布。透過使用空間上可調整的加熱器214,橫越基板118區域的溫度分布可變得均勻或者以預定的方式準確地改變,以獲得所欲的結果。使用可獨立控制之空間上可調整的加熱器214來平順化或校正主要電阻式加熱器212所產生的溫度分布可促成控制橫越基板的局部溫度均勻性至非常小的公差,藉此促成在處理基板118時之準確的處理與CD控制。此外,空間上可調整的加熱器214相對於主要電阻式加熱器212之小尺寸與高密度可促成基板支撐組件200上的特定位置處的溫度控制,實質上不會影響鄰近區域的溫度,藉此允許局部熱點與冷點的補償,而不會引致歪曲或其他溫度不對稱。
可設想到,在配置來用於300mm基板的基板支撐組件200的給定的實施例中,可有大約200至大約400個(或甚至更多)空間上可調整的加熱器214。可設想到,對於450mm或更大的基板,可有甚至更多個空間上可調整的加熱器214。空間上可調整的加熱器214的範例性分布進一步參見第3A圖至第3D圖敘述於下。
第3A圖至第3D圖為靜電夾具210的頂視圖,例示其中具有空間上可調整的加熱器214的單元390的範例布局。第3A圖根據一實施例,為第2圖的靜電夾具210的頂視圖。第3B圖至第3D圖根據替代的實施例,為第2圖的靜電夾具210的頂視圖。單元390可具有材料(或間隙),作用為相鄰單元390之間的熱扼流器316。熱扼流器316分開且減少相鄰單元390之間的傳導。因此,藉由個別地且獨立地控制提供給每一單元390中的每一空間上可調整的加熱器214的功率,可達成用於控制溫度的局部化方法,促成基板118的特定點的加熱或冷卻,藉此促成基板118表面的真正可定址的橫向溫度分布調整與控制。
現在參見第3A圖,熱扼流器316設置於每一相鄰單元390之間。每一單元390具有與其相關之至少一空間上可調整的加熱器214。所示的單元390的數量僅為例示,且任何數量的實施例可具有實質上較多(或較少)的單元390。空間上可調整的加熱器214的數量可至少大於主要電阻式加熱器212的數量一個數量級。位於橫越基板支撐組件200的空間上可調整的加熱器214的數量可容易地超過數百個。
空間上可調整的加熱器214可配置成圖案399,以有效率地產生沿著靜電夾具210的表面的熱分布。圖案399可為相對於中點392對稱的,同時提供間隙於孔322中與周圍,用於升舉銷或其他機械的、流體的或電性的連接。每一空間上可調整的加熱器214可由控制器160透過PWM控制器216來控制。PWM控制器216可開啟界定一局部區域340的單一空間上可調整的加熱器214;或者開啟複數個空間上可調整的加熱器214,群組成界定內部楔形362、周界群組364、派形區域330、或者用於決定所欲溫度分布之其他所欲的幾何配置,包括非連續的配置。以此方式,可在沿著靜電夾具210表面的獨立位置處準確地控制溫度,此種獨立位置不限於同心環或本領域中已知的其他中心至邊緣配置。雖然所示的圖案399包括不連續的較小單位,圖案399可替代地具有較大及/或較小的單位,延伸至邊緣,或具有其他的形式。在第3A圖所繪的實施例中,空間上可調整的加熱器214的圖案399係配置成相對於中點392的極陣列,中點392在一些實施例中可一致於靜電夾具210的中心線。
第3B圖根據另一實施例,為第2圖繪示的靜電夾具210的頂視圖。空間上可調整的加熱器214配置成網格的形式,因此界定了也配置成網格圖案的溫度控制單元390的陣列。雖然空間上可調整的加熱器214的網格圖案係繪示為X/Y(笛卡爾)網格(包括列與行),空間上可調整的加熱器214的網格圖案可替代地具有一些其他均勻填充的形式,例如六角形的緊密填充。應理解到,如同上文所討論的,空間上可調整的加熱器214可群組地或單獨地啟用。
第3C圖根據另一實施例,為第2圖繪示的靜電夾具210的頂視圖。第3C圖例示配置成極陣列之複數個空間上可調整的加熱器214。選擇性地,一或更多個熱扼流器316可設置在空間上可調整的加熱器214之間。空間上可調整的加熱器214的極陣列圖案界定了相鄰的單元390,相鄰的單元390因此也配置成極陣列。選擇性地,熱扼流器316可用於隔離每一單元390於相鄰的單元390。
第3D圖根據另一實施例,為第2圖繪示的靜電夾具210的頂視圖。第3D圖例示配置成同中心通道之複數個空間上可調整的加熱器214。空間上可調整的加熱器214的同中心通道圖案可選擇性地由熱扼流器316來分隔。可設想到,空間上可調整的加熱器214與單元390可配置成其他定向。
空間上可調整的加熱器214的數量與密度構成控制橫越基板的溫度均勻性至非常小的公差之能力,這促成在處理基板118時之準確的處理與CD控制。此外,一個空間上可調整的加熱器214相對於另一個空間上可調整的加熱器214之個別控制可促成沿著基板支撐組件200表面的離散位置處的溫度控制,實質上不會影響該表面的鄰近或相鄰區域的溫度。此特徵允許局部熱點與冷點的補償,而不會引致歪曲或其他溫度不對稱。空間上可調整的加熱器214可具有個別的溫度範圍在大約攝氏0.0度與大約攝氏10.0度之間,具有控制溫度上升的增量為大約攝氏0.1度之能力。在一實施例中,已經證明,基板支撐組件200中的複數個空間上可調整的加熱器214聯合主要電阻式加熱器212可有能力來控制其上處理的基板118的溫度均勻性至小於大約攝氏±0.3度。因此,空間上可調整的加熱器214允許基板支撐組件200上所處理的基板118的橫向溫度分布的橫向與方位角上兩者的調整。
加熱器214、212可由軟體常式來控制。軟體可併入目前的基板CD測量與處理結果以及處理製作方法與其他參數,以調整在處理腔室120中進行處理的基板118的溫度分布。
轉至第4圖,提供適於儲存與執行軟體常式的系統的範例架構之圖示。軟體常式可包括用於控制多個區域中以及離散地沿著基板表面的溫度之實施例。此圖式並不限制或打算限制實施處理控制器的範圍。系統400可為個人電腦、工業處理器、個人數位助理、行動電話、行動裝置、或適於實施一或更多個實施例的任何其他裝置。控制器160可具有與系統400類似的架構。系統400運行軟體常式,用於控制在處理系統100的一或更多個處理腔室120中進行處理的基板118的溫度分布。額外地,或替代地,次要或外部控制器(例如,APC 180)可具有與系統400類似的架構,並且提供支援(例如,資料或軟體)來控制基板118的溫度分布。
系統400包括中央處理單元(CPU)402與系統記憶體404,兩者經由匯流排路徑(可包括記憶體橋接器405)來通訊。CPU 402包括一或更多個處理核心,且在操作中,CPU 402為系統400的主要處理器,控制與協調其他系統元件的操作。系統記憶體404儲存軟體應用程式406與資料,以由CPU 402使用。CPU 402運行軟體應用程式且選擇性運行作業系統。記憶體橋接器405可為例如北橋晶片,經由匯流排或其他通訊路徑(例如,超傳輸(HyperTransport)連結)連接至I/O(輸入/輸出)橋接器407。I/O橋接器407可為例如南橋晶片,從一或更多個使用者輸入裝置408(例如,鍵盤、滑鼠、操縱桿、數位平板、觸控墊、觸控螢幕、靜止或視訊攝影機、運動感測器、及/或麥克風)接收使用者輸入,並且經由記憶體橋接器405將輸入前傳至CPU 402。
顯示處理器412經由匯流排或其他通訊路徑(例如,PCI Express、加速圖埠(Accelerated Graphics Port)、或超傳輸(HyperTransport)連結)耦接至記憶體橋接器405;在一實施例中,顯示處理器412為圖形子系統,包括至少一圖形處理單元(GPU)與圖形記憶體。圖形記憶體包括顯示記憶體(例如,訊框緩衝器),用於儲存輸出影像的每一像素的像素資料。圖形記憶體可整合於與GPU相同的裝置中,作為分離的裝置連接於GPU,及/或實施於系統記憶體404中。
顯示處理器412定期傳送像素至顯示裝置410(例如,螢幕或傳統CRT、電漿、OLED、SED或LCD型的監視器或電視)。此外,顯示處理器412可輸出像素至軟片紀錄器,軟片紀錄器適於重現電腦產生的影像於照相軟片上。顯示處理器412可利用類比或數位信號提供至顯示裝置410。
系統碟414也連接至I/O橋接器407,並且可配置來儲存內容與應用程式與資料,例如資料庫集415,以由CPU 402與顯示處理器412使用。系統碟414提供應用程式與資料的非揮發性儲存,並且可包括固定或可移除的硬碟機、快閃記憶體裝置、與CD-ROM、DVD-ROM、藍光、HD-DVD、或其他磁性、光學、或固態儲存裝置。
切換器416提供I/O橋接器407與其他元件(例如,網路轉接器418與各種附加卡420與421)之間的連接。網路轉接器418允許系統400經由電子通訊網路通訊於其他系統,並且可包括透過區域網路440與廣域網路(例如,網際網路)的有線或無線通訊。
其他元件(未圖示,包括USB或其他埠連接、影片記錄裝置、與類似者)也可連接至I/O橋接器407。例如,處理設備470可從CPU 402、系統記憶體404、或系統碟414提供的指令及/或資料來操作。互連第4圖中的各種元件之通訊路徑可使用任何合適的協定來實施,例如周邊元件互連(PCI, Peripheral Component Interconnect)、PCI Express(PCI-E)、加速圖埠(AGP, Accelerated Graphics Port)、超傳輸(HyperTransport)、或任何其他匯流排或點對點通訊協定,以及可使用不同協定的不同裝置之間的連接,如同本領域中已知的。
處理設備470可為一或更多個半導體處理腔室,例如處理腔室120。在一實施例中,顯示處理器412併入有針對執行數學運算而最佳化的電路(包括例如,數學共同處理器),並且可另外構成圖形處理單元(GPU)。在另一實施例中,顯示處理器412併入有針對通用目的處理而最佳化的電路。在又另一實施例中,顯示處理器412可整合於一或更多個其他的系統元件,例如記憶體橋接器405、CPU 402、與I/O橋接器407,以形成晶片上系統(SoC, system on chip)。在更進一步的實施例中,顯示處理器412係省略,並且由CPU 402執行的軟體來執行顯示處理器412的功能。
像素資料可直接從CPU 402提供至顯示處理器412。在本發明的一些實施例中,代表預測分析的指令及/或資料係經由網路轉接器418或系統碟414而提供至伺服器電腦集,每一伺服器電腦類似於系統400。伺服器可使用用於分析的提供指令,來在資料子集上執行操作。這些操作的結果可用數位的格式儲存在電腦可讀取媒介上,並且選擇性地回送至系統400,以進一步分析或顯示。類似地,資料可輸出至其他系統來顯示,儲存在系統碟414上的資料庫集415中、或用數位的格式儲存在電腦可讀取媒介上。
或者,CPU 402提供給顯示處理器412的資料及/或指示界定了所欲的輸出影像,顯示處理器412從該資料及/或指示產生一或更多個輸出影像的像素資料,包括特徵化及/或調整立體影像對之間的偏移。界定了所欲的輸出影像之資料及/或指示可儲存在系統記憶體404或顯示處理器412內的圖形記憶體中。
CPU 402及/或顯示處理器412可使用本領域中已知的任何數學、功能、或技術來從提供的資料與指令(包括相關於設施狀態資訊至維護資訊的預測分析)產生一或更多個結果,以預測維護事件的需求。
將理解到,本文所示的系統為例示性的,且變化與修改都可能。連接的拓撲結構(包括橋接器的數量與配置)可依需要來修改。例如,在一些實施例中,系統記憶體404直接連接至CPU 402,而不通過橋接器,且其他裝置經由記憶體橋接器405與CPU 402而通訊於系統記憶體404。在其他替代的拓撲結構中,顯示處理器412連接至I/O橋接器407或直接連接至CPU 402,而不連接至記憶體橋接器405。在又其他實施例中,I/O橋接器407與記憶體橋接器405可整合至單一晶片中。本文所示的特定元件為選擇性的;例如,任何數量的附加卡或周邊裝置都可支援。在一些實施例中,處理設備470可直接連接至I/O橋接器407。在一些實施例中,切換器416係消除,且網路轉接器418與附加卡420、421直接連接至I/O橋接器407。
本發明的各種實施例可實施為與電腦系統一起使用的程式產品。程式產品的軟體常式界定了實施例的功能(包括本文所述的方法),並且可包括於各種電腦可讀取儲存媒介上。例示的電腦可讀取儲存媒介包括(但不限於):(i)不可寫入的儲存媒介(例如,電腦內的唯讀記憶體裝置,例如CD-ROM機可讀取的CD-ROM碟、快閃記憶體、ROM晶片或任何類型的固態非揮發性半導體記憶體),其上資訊係永久儲存;與(ii)可寫入的儲存媒介(例如,磁碟機內的軟碟、硬碟機、或任何類型的固態隨機存取半導體記憶體),其上儲存可改變的資訊。
反饋控制與前饋控制設計(亦即,軟體常式)兩者可用於程式碼所運行的方法中,用於調整基板的溫度分布。來自處理目前的基板的計量資料係反饋至控制器(查找表、PID、MPC、或迭代學習控制器的任一者)。上游計量資料也可輸入至前饋控制器常式。反饋或前饋控制器常式的任一者或兩者可決定用於處理後續基板之所欲的補償溫度分布。補償溫度分布然後輸入至模型類的溫度調整器,調整器的結果將產生下個運行製作方法參數,包括整個溫度分布在離散位置處所決定的補償。經由調整空間上可調整的加熱器與冷卻元件,此種處理將促成利用靜電夾具溫度的控制之處理調整。模型類基板溫度分布可以給予具有大電阻式加熱器的多區域溫度控制靜電夾具來用於主要的調整,且給予小尺寸均勻或不均勻形態的空間上可調整的加熱器來用於精細解析度的控制。例如,靜電夾具可具有形成四個區域的主要加熱器,以及重疊於這四個區域的多個小的空間上可調整的加熱器。基板溫度模型從實體模型開發,並且利用實驗資料來校準。在生產中,因為各個靜電夾具的差異與RF小時的變化,I4晶圓用於進一步校準模型。
用於處理調整溫度分布控制的多區域與高解析度溫度控制靜電夾具可受到加熱或冷卻或兩者。靜電夾具可具有不均勻的形態,用於啟用空間上可調整的加熱器,其中每一空間上可調整的加熱具有獨特的熱輸出,取決於輸出至空間上可調整的加熱器的編程功率。溫度分布可受到調整,以調整處理來達成臨界尺寸(CD)均勻性調整、傳入的CD補償、蝕刻速率(ER, etch rate)調整等。溫度分布控制方法可反饋自目前的計量資料,或者前饋自先前的計量資料或上游處理資料。控制方法不限於迭代學習控制(這是非模型類)。可使用典型的控制調整(例如,PID、查找表(LUT, look up table)),或高等的模型類控制。
假設有一個ESC至另一個ESC的差異以及RF小時關聯性,多區域主要加熱器與ESC中的空間上可調整的加熱器所達成的解析度溫度控制可用於維持一致的基板溫度。在改變腔室的狀況之下,ESC的多區域與解析度溫度控制可用於匹配基板的溫度分布至模型分布。例如,每一區域溫度控制可用於最少化處理套組的腐蝕或補償邊緣處理的漂移,以增加清洗腔室之間的平均時間。影響溫度控制分布的不均勻形狀之能力係設計來校正腔室硬體的固有不均勻性。此外,空間上可調整的加熱器可使用作為溫度或熱通量測量的探針,以顯影即時的溫度分布。
第5圖根據第一實施例,為方法500的流程圖,用於使用處理製作方法來處理基板,其中使用前饋控制器常式來決定處理製作方法。流程圖可實施為例如系統400所述的運算裝置上的軟體常式。空間上可調整的加熱器可獨立地受到控制,並且決定其上處理的基板的溫度分布。藉由相對於另一加熱器來控制分別施加至每一個別的空間上可調整的加熱器之功率的工作週期、電壓、電流、持續時間的至少一或更多者,控制器可相對於另一加熱器來改變一個空間上可調整的加熱器所產生的熱。供應給空間上可調整的加熱器的功率也可在複數個加熱器之間同時提供,如同上述,或者替代地,相繼地橫越個別的空間上可調整的加熱器來掃描提供。工件(例如,基板118)可在基板支撐組件上處理。例如,基板可在真空腔室中處理,例如使用電漿處理。真空處理可選擇性地在處理腔室內的電漿存在時執行,真空處理可為蝕刻、化學氣相沉積、物理氣相沉積、離子佈植、電漿處理、退火、氧化物移除、減排(abatement)或其他電漿處理之一者。可設想到,針對其他應用,工件可在其他環境中、在溫度受控的表面上處理,例如,在大氣狀況下。
方法500開始於操作510,輸入處理的靈敏性、目標處理資料、與上游計量處理資料之一或更多者至前饋控制器常式中。前饋控制器常式係設定成取用上游計量處理資料、目標處理資料、與處理的靈敏性,並且直接輸出所欲的晶圓溫度補償映射。在操作520,從所輸入的資料決定所欲的晶圓溫度補償映射。
在操作530,從處理製作方法與溫度補償映射來產生模型晶圓溫度調整參數。基於晶圓溫度與處理製作方法的前饋,將溫度補償映射反饋至溫度調整器。
在操作540,使用模型晶圓溫度調整參數,來控制多區域溫度ESC的加熱分布。每一空間上可調整的加熱器的控制可在靜電夾具210中同時執行,允許任何選擇的空間上可調整的加熱器快速產生特定的溫度分布。提供至個別的空間上可調整的加熱器之功率的控制可透過外部控制器來提供,外部控制器經由光學連接而介接於基板支撐組件中所設置的調整加熱器控制器。此外,調整加熱器控制器可同時提供電力至一個空間上可調整的加熱器,同時以不同的重疊時間間隔來循環提供另一空間上可調整的加熱器且循環提供又另一空間上可調整的加熱器。以此方式,空間上可控制的加熱器可具有橫越基板支座的溫度分布係匹配於模型晶圓溫度調整參數。
模型類晶圓溫度調整器然後輸出所需的處理參數,以形成新的製作方法。在操作550中,運行製作方法,且在多區域溫度ESC上處理基板。
第6圖為第二實施例的方法600,用於使用空間上可調整的加熱器聯合反饋控制器常式來處理基板。該處理開始於操作610,其中處理的靈敏性、目標處理資料、與第k次運行計量處理資料輸入至迭代學習控制器中。在第k次迭代時(其中,k=1、2、...),反饋控制器常式係設定成:基於處理對溫度的靈感性與基板溫度資料,應用差異計量資料(亦即,第k次運行計量資料、目標處理資料)的輸入來獲得所欲的基板溫度分布。反饋控制器常式可為迭代學習控制器或其他類型的控制器,例如LUT、PID、MPC、或其他合適的控制器。
在操作620,從輸入的資料決定所欲的基板溫度補償映射。所欲的基板溫度補償映射變成控制器的輸入,以調整製作方法參數,例如指示PWM控制器修改空間上可調整的加熱器的輸出,使得下個基板係利用盡可能接近所欲的基板溫度分布之溫度分布來運行。迭代進行,直到達到所欲的處理性能。該處理可包括利用多個基板的多次迭代。
在操作630,可從處理製作方法與溫度補償映射來產生模型基板溫度調整參數。關鍵的製作方法輸出(例如,溫度)可在每次新的所欲的處理目標與處理的靈敏度改變時調整。
在操作640,多區域與空間上可調整溫度的靜電夾具的加熱分布可利用模型基板溫度調整參數來控制。例如,模型基板溫度調整參數可包括單獨遞增至數個個別的空間上可調整的加熱器的功率,同時另外減少至其他個別的空間上可調整的加熱器的功率,以獲得校正,來達成所欲的溫度分布。
在操作650,在第K+1個基板上利用第K次迭代的製作方法來運行處理。亦即,在測量基板之後,修改處理製作方法。然後使用該處理製作方法來處理下一個基板。在一些實施例中,處理製作方法可從前面一組基板的測量來決定。例如,基板可放置在FOUP中,並且移動至單獨的計量裝置。製作方法可從FOUP中所處理的最後一個基板來決定。或者,控制器可查看FOUP中的基板的計量趨勢,並且基於該趨勢來調整目前的處理製作方法。
在操作660,決定第K+1個基板的計量資料。計量資料可儲存在資料庫中,例如在APC處,以在未來使用。在操作670,提供第K+1次運行計量處理資料至迭代學習控制器。控制器可基於該計量處理資料,來調整目前的第K+2個處理製作方法。
第7圖為方法700的流程圖,用於處理基板,其中使用反饋與前饋控制器常式來決定用於空間上可調整的加熱器之處理製作方法。基於反饋目前的處理資料與前饋上游計量資料兩者,可修改用於目前的基板的處理製作方法。
方法700開始於操作710,其中處理的靈敏性、目標處理資料、與第k次運行計量處理資料輸入至迭代學習控制器中,亦即,反饋控制器常式。在操作715,處理的靈敏性、目標處理資料、與上游計量資料輸入至前饋控制器常式中。
在操作720,從來自前饋控制器常式與迭代學習控制器常式的輸入資料產生所欲的基板溫度補償映射。因此,使用目前的處理資料與上游計量資料兩者。
在操作730,除了所欲的溫度補償映射之外,從處理製作方法與溫度補償映射來產生模型基板溫度調整參數。模型基板調整參數用於決定個別的空間上可調整的加熱器之所欲的熱輸出,以達成基板的所欲溫度分布。
在操作740,多區域溫度靜電夾具的加熱分布係使用模型晶圓溫度調整參數來控制。PWM控制器調整至每一個別的空間上可調整的加熱器的功率,以達成所欲的溫度分布。當至一個空間上可調整的加熱器的功率正在調整時,其他空間上可調整的加熱器可關閉或保持給定的輸出。或者,至複數個(例如,兩個、更多個或全部)空間上可調整的加熱器的功率可同時調整。可有140或更多個空間上可調整的加熱器,其中每一空間上可調整的加熱器個別地受到控制,以獲得可定製的熱輸出。控制器可指示PWM控制器提供20%的功率給一個空間上可調整的加熱器,例如空間上可調整的加熱器x ,以在相鄰於該加熱器的第一位置中達到大約攝氏1度的溫度增加。控制器可同時指示PWM提供80%的功率給第二空間上可調整的加熱器,例如空間上可調整的加熱器y ,以在相鄰於該加熱器的第二位置中達到大約攝氏4度的溫度增加。以此方式,高達100%的空間上可調整的加熱器可個別地同時控制,以產生獨特的溫度分布。
在操作750,利用第K個迭代製作方法的處理係運行於第K+1個基板上。因此,針對每一個後續的基板,可調整該製作方法,以獨特地修改溫度分布,來達成所欲的結果。
在操作760,決定用於第K+1個基板的計量資料。在操作770,提供第K+1個運行計量處理資料至迭代學習控制器常式中,以用於決定第K+2個基板的基板溫度補償映射。
有利地,處理調整可控制臨界尺寸的均勻性、傳入的臨界尺寸補償、與蝕刻速率。空間上可調整的加熱器在各種腔室環境中、在不同的ESC都維持一致的基板溫度。空間上可調整的加熱器可用於促進邊緣區域溫度控制,邊緣區域溫度控制係配置來最少化處理套組的腐蝕或補償邊緣處理的漂移,並且增加清洗之間的平均時間。空間上可調整的加熱器可具有不均勻的形狀,以校正處理腔室的固有不均勻性。此外,空間上可調整的加熱器可使用作為溫度或熱通量測量的探針。因此,空間上可調整的加熱器調整處理結果,以補償隨時間的變化。
雖然前述係關於本發明的實施,可設想到本發明的其他與進一步的實施,而不偏離其基本範圍,且其範圍係由以下的申請專利範圍來決定。
100:多腔室真空處理系統 102:處理工具 110:真空密閉處理平台 114:轉移腔室機器人 118:基板 120:至少一處理腔室 130:轉移腔室 134、132:裝載閘腔室 140:工廠介面 142:FI機器人 144:FOUP 150:計量站 152:計量腔室 160:系統控制器 180:預先處理控制器(APC) 182:資料庫 184:運算平台 200:基板支撐組件 202:工件支撐表面 203:安裝表面 204:RF濾波器 205:支撐底座 206:夾持電源 207:夾持電極 208:介電質主體 210:靜電夾具(基板支座) 212:主要電阻式加熱器 214:空間上可調整的加熱器 216:脈衝寬度調變(PWM)加熱器控制器 218:RF濾波器 222:加熱器電源 244:底座基座 250:控制器板 252:溫度感測器控制器 254:溫度感測器 256:光學通訊介面板 258:光學轉換器 260:冷卻基座 262:熱轉移流體源 290:導管 316:熱扼流器 322:孔 330:派形區域 340:局部區域 362:內部楔形 364:周界群組 390:單元 392:中點 399:圖案 400:系統 402:中央處理單元(CPU) 404:系統記憶體 405:記憶體橋接器 406:軟體應用程式 407:I/O(輸入/輸出)橋接器 408:使用者輸入裝置 410:顯示裝置 412:顯示處理器 414:系統碟 415:資料庫集 416:切換器 418:網路轉接器 420、421:附加卡 440:區域網路 470:處理設備 500:方法 510、520、530、540、550:操作 600:方法 610、620、630、640、650、660、670:操作 700:方法 710、715、720、730、740、750、760、770:操作
因此,藉由參照實施,可更詳細瞭解本發明之上述特徵,且對簡短總結於上的本發明有更具體的敘述,某些實施是例示於所附圖式中。但是,注意到,所附圖式僅例示本發明之一般實施且因此不視為限制其範圍,因為本發明可容許其他等效實施。
第1圖為多腔室真空處理系統的示意頂視圖,具有至少一處理腔室;
第2圖為部分橫剖面示意側視圖,詳述基板支撐組件的部分,具有空間上可調整的加熱器;
第3A圖至第3D圖為基板支撐組件的頂視圖,例示空間上可調整的加熱器的範例布局;
第4圖為適於儲存與執行軟體常式的系統的一架構的圖示,在軟體常式中可實施本發明的實施例;
第5圖根據一實施例,為用於決定空間上可調整的加熱器的處理製作方法之流程圖;
第6圖根據另一實施例,為用於決定空間上可調整的加熱器的處理製作方法之流程圖;
第7圖根據又另一實施例,為用於決定空間上可調整的加熱器的處理製作方法之流程圖。
為了促進瞭解,已經在任何可能的地方使用相同的元件符號來表示圖式中共同的相同元件。可瞭解到,一實施中揭示的元件可有利地用於其他實施中,而不用具體詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
500:方法
510、520、530、540、550:操作

Claims (20)

  1. 一種處理在一基板支撐組件上的基板的方法,該方法包含以下步驟:使用在具有主要加熱器和空間上可調整的加熱器的一基板支撐組件上的一第一溫度分布來處理一第一基板;從處理該第一基板的一結果中決定一偏移分布;回應於該偏移分布來控制該空間上可調整的加熱器以在形成一第二溫度分布時促進該基板支撐組件的局部溫度的橫向與方位角上的離散調整;及利用該第二溫度分布來處理一第二基板。
  2. 如請求項1所述之方法,其中決定該偏移分布之步驟包含以下步驟:輸入包含處理的靈敏性、目標處理資料,及上游計量處理資料中的至少一或多個的資料至一前饋控制器常式;從該輸入的資料中決定所欲的一基板溫度補償映射;及從一處理製作方法和該基板溫度補償映射中產生該偏移分布。
  3. 如請求項1所述之方法,其中決定該偏移分布之步驟包含以下步驟: 提供一第一運行計量處理資料至一迭代學習控制器常式;輸入包含處理的靈敏性、目標處理資料,及該第一運行計量處理資料中的至少一或多個的資料至該迭代學習控制器常式;從該輸入的資料中決定所欲的一晶圓溫度補償映射;及從一處理製作方法和該溫度補償映射中產生該偏移分布。
  4. 如請求項1所述之方法,其中決定該偏移分布之步驟包含以下步驟:提供第k次運行計量處理資料至一迭代學習控制器常式;輸入包含處理的靈敏性、目標處理資料,及第k次運行計量處理資料中的至少一或多個的處理資料至該迭代學習控制器常式;從該輸入的資料中決定所欲的一晶圓溫度補償映射;輸入處理的靈敏性、目標處理資料,及上游計量資料至一前饋控制器常式;及從一處理製作方法和該溫度補償映射中產生該偏移分布。
  5. 如請求項1所述之方法,其中形成該基板支撐組件的該第二溫度分布之步驟進一步包含以下步驟:當處理該第一基板時,施加更多或更少的功率至在橫跨該基板支撐組件的一工件支撐表面分佈的複數個離散位置的該空間上可調整的加熱器中的至少一些者,該功率相對於施加至不在該複數個離散位置的該空間上可調整的加熱器的功率為更多或更少的。
  6. 如請求項1所述之方法,其中該空間上可調整的加熱器被同時地供電,及其中該空間上可調整的加熱器中的至少二者具有不同的百分比的功率輸出。
  7. 如請求項1所述之方法,進一步包含以下步驟:在對應於具有大約100%的一功率輸出的一空間上可調整的加熱器的一位置,將該基板支撐組件的一工件支撐表面增加大約5攝氏度。
  8. 一種儲存一程式的電腦可讀取儲存媒體,當該程式由一處理器執行時進行用於處理在一基板支撐組件上的基板的一操作,該操作包含:使用在具有主要加熱器和空間上可調整的加熱器的一基板支撐組件上的一第一溫度分布來處理一第一基板; 從處理該第一基板的一結果中來決定一偏移分布;回應於該偏移分布來控制該空間上可調整的加熱器以在形成一第二溫度分布時促進該基板支撐組件的局部溫度的橫向與方位角上的離散調整;利用該第二溫度分布來處理一第二基板。
  9. 如請求項8所述之儲存該程式的電腦可讀取儲存媒體,其中決定該偏移分布之步驟包含以下步驟:輸入包含處理的靈敏性、目標處理資料,及上游計量處理資料中的至少一或多個的資料至一前饋控制器常式;從該輸入的資料中決定所欲的一基板溫度補償映射;及從一處理製作方法和該基板溫度補償映射中產生該偏移分布。
  10. 如請求項8所述之儲存該程式的電腦可讀取儲存媒體,其中決定該偏移分布之步驟包含以下步驟:提供一第一次運行計量處理資料至一迭代學習控制器常式;輸入包含處理的靈敏性、目標處理資料,及該第一次運行計量處理資料中的至少一或多個的資料至該 迭代學習控制器常式;從該輸入的資料中決定所欲的一晶圓溫度補償映射;及從一處理製作方法和該溫度補償映射中產生該偏移分布。
  11. 如請求項8所述之儲存該程式的電腦可讀取儲存媒體,其中決定該偏移分布之步驟包含以下步驟:提供第k次運行計量處理資料至一迭代學習控制器常式;輸入包含處理的靈敏性、目標處理資料,及第k次運行計量處理資料中的至少一或多個的處理資料至該迭代學習控制器常式;從該輸入的資料中決定所欲的一晶圓溫度補償映射;輸入處理的靈敏性、目標處理資料,及上游計量資料至一前饋控制器常式;及從一處理製作方法和該溫度補償映射中產生該偏移分布。
  12. 如請求項8所述之儲存該程式的電腦可讀取儲存媒體,其中形成該基板支撐組件的該第二溫度分布之步驟進一步包含以下步驟: 當處理該第一基板時,施加較多或較少的功率至在橫跨該基板支撐組件的一工件支撐表面分佈的複數個離散位置的該空間上可調整的加熱器中的至少一些者,該功率相對於施加至不在該複數個離散位置的該空間上可調整的加熱器的功率為較多或較少的。
  13. 如請求項8所述之儲存該程式的電腦可讀取儲存媒體,其中該空間上可調整的加熱器被同時地供電,且其中該空間上可調整的加熱器中的至少二個具有不同的百分比的功率輸出。
  14. 如請求項8所述之儲存該程式的電腦可讀取儲存媒體,進一步包含:在對應於具有大約100%的一功率輸出的一空間上可調整的加熱器的一位置,將該基板支撐組件的一工件支撐表面增加大約5攝氏度。
  15. 一種用於處理在一基板支撐組件上的基板的系統,包含:一處理器;及一記憶體,其中該記憶體包含一應用程式,該應用程式經配置以進行用於處理在一基板支撐組件上的基板的一操作,該操作包含:使用在具有主要加熱器和空間上可調整的加熱器的一基板支撐組件上的一第一溫度分布來處理 一第一基板;從處理該第一基板的一結果中決定一偏移分布;回應於該偏移分布來控制該空間上可調整的加熱器以在形成一第二溫度分布時促進該基板支撐組件的局部溫度的橫向與方位角上的離散調整;利用該第二溫度分布來處理一第二基板。
  16. 如請求項15所述之系統,其中決定該偏移分布之步驟包含以下步驟:輸入包含處理的靈敏性、目標處理資料,及上游計量處理資料中的至少一或多個的資料至一前饋控制器常式;從該輸入的資料中決定所欲的一基板溫度補償映射;及從一處理製作方法和該基板溫度補償映射中產生該偏移分布。
  17. 如請求項15所述之系統,其中決定該偏移分布之步驟包含以下步驟:提供一第一次運行計量處理資料至一迭代學習控制器常式;輸入包含處理的靈敏性、目標處理資料,及該第一次運行計量處理資料中的至少一或多個的資料至該迭代學習控制器常式; 從輸入的資料中決定所欲的一晶圓溫度補償映射;及從一處理製作方法和該溫度補償映射中產生該偏移分布。
  18. 如請求項15所述之系統,其中決定該偏移分布之步驟包含以下步驟:提供第k次運行計量處理資料至一迭代學習控制器常式;輸入包含處理的靈敏性、目標處理資料,及第k次運行計量處理資料中的至少一或多個的處理資料至該迭代學習控制器常式;從該輸入的資料中決定所欲的一晶圓溫度補償映射;輸入處理的靈敏性、目標處理資料,及上游計量資料至一前饋控制器常式;及從一處理製作方法和該溫度補償映射中產生該偏移分布。
  19. 如請求項15所述之系統,進一步包含:在對應於具有大約100%的一功率輸出的一空間上可調整的加熱器的一位置,將該基板支撐組件的一工件支撐表面增加大約5攝氏度。
  20. 如請求項15所述之系統,其中該空間上 可調整的加熱器被同時地供電,且其中該空間上可調整的加熱器中的至少二個具有不同的百分比的功率輸出。
TW108135905A 2015-05-22 2016-01-15 處理在基板支撐組件上的基板的方法,及其系統和電腦可讀取儲存媒體 TWI731429B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562165572P 2015-05-22 2015-05-22
US62/165,572 2015-05-22

Publications (2)

Publication Number Publication Date
TW202015171A TW202015171A (zh) 2020-04-16
TWI731429B true TWI731429B (zh) 2021-06-21

Family

ID=57393586

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108135905A TWI731429B (zh) 2015-05-22 2016-01-15 處理在基板支撐組件上的基板的方法,及其系統和電腦可讀取儲存媒體
TW105101249A TWI677049B (zh) 2015-05-22 2016-01-15 方位可調整的多區域靜電夾具

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105101249A TWI677049B (zh) 2015-05-22 2016-01-15 方位可調整的多區域靜電夾具

Country Status (6)

Country Link
US (2) US10440777B2 (zh)
JP (2) JP6806704B2 (zh)
KR (2) KR20180011119A (zh)
CN (2) CN113675115A (zh)
TW (2) TWI731429B (zh)
WO (1) WO2016190905A1 (zh)

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105474381B (zh) * 2014-07-23 2018-06-05 应用材料公司 可调谐温度受控的基板支撑组件
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6806704B2 (ja) * 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US10381248B2 (en) * 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10763142B2 (en) * 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10908195B2 (en) * 2016-06-15 2021-02-02 Watlow Electric Manufacturing Company System and method for controlling power to a heater
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10763141B2 (en) * 2017-03-17 2020-09-01 Applied Materials, Inc. Non-contact temperature calibration tool for a substrate support and method of using the same
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102435888B1 (ko) * 2017-07-04 2022-08-25 삼성전자주식회사 정전 척, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102670057B1 (ko) * 2017-08-10 2024-05-29 와틀로 일렉트릭 매뉴팩츄어링 컴파니 히터에 공급되는 전력을 제어하는 시스템 및 방법
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
US10651065B2 (en) * 2017-12-06 2020-05-12 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10903097B2 (en) * 2018-03-30 2021-01-26 Axcelis Technologies, Inc. In-situ wafer temperature measurement and control
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
CN112074941A (zh) * 2018-05-24 2020-12-11 应用材料公司 用于空间分辨晶片温度控制的虚拟传感器
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10698392B2 (en) 2018-06-22 2020-06-30 Applied Materials, Inc. Using graphics processing unit for substrate routing and throughput modeling
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102225682B1 (ko) * 2018-09-28 2021-03-12 세메스 주식회사 기판의 열처리 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11367645B2 (en) * 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11562913B2 (en) * 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11004710B2 (en) * 2019-06-04 2021-05-11 Applied Materials, Inc. Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
WO2021030833A1 (en) * 2019-08-09 2021-02-18 Lam Research Corporation Model based control of wafer non-uniformity
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
TW202242575A (zh) * 2019-11-04 2022-11-01 美商瓦特洛威電子製造公司 用於監測半導體處理系統之系統及方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210081729A (ko) 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11353364B2 (en) 2020-03-02 2022-06-07 Lam Research Corporation Thermal imaging for within wafer variability feedforward or feedback information
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006952A (ko) * 2020-07-09 2022-01-18 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11749542B2 (en) * 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
JP7311469B2 (ja) * 2020-08-11 2023-07-19 Ckd株式会社 気化器
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US20220170159A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Multi-zone heater tuning in substrate heater
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20220415694A1 (en) * 2021-06-29 2022-12-29 Asm Ip Holding B.V. Electrostatic chuck, assembly including the electrostatic chuck, and method of controlling temperature of the electrostatic chuck
JP7213592B1 (ja) 2021-08-19 2023-01-27 アダプティブ プラズマ テクノロジー コーポレーション 多重加熱領域構造の静電チャック
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240046106A (ko) * 2022-09-26 2024-04-08 주식회사 히타치하이테크 반도체 디바이스의 제조 시스템 및 제조 방법
CN116200730B (zh) * 2023-03-03 2024-06-11 福建华佳彩有限公司 一种等离子增强型化学气相沉积的接地线结构

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1599891B1 (en) * 2003-02-27 2010-09-01 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
TWI358785B (zh) * 2003-12-05 2012-02-21 Tokyo Electron Ltd
US20130171746A1 (en) * 2009-02-13 2013-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone temperature control for semiconductor wafer
TWI424524B (zh) * 2006-10-04 2014-01-21 Applied Materials Inc 電漿腔室中用於基板夾持之設備與方法
US20140220709A1 (en) * 2013-02-04 2014-08-07 Lam Research Corporation Controlling cd and cd uniformity with trim time and temperature on a wafer by wafer basis

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JPH11111823A (ja) * 1997-10-06 1999-04-23 Dainippon Screen Mfg Co Ltd 基板熱処理装置
DE19907497C2 (de) * 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6345211B1 (en) 1999-03-08 2002-02-05 Advanced Micro Devices, Inc. Method of using critical dimension mapping to optimize speed performance of microprocessor produced using an integrated circuit manufacturing process
US6238936B1 (en) 1999-03-08 2001-05-29 Advanced Micro Devices, Inc. Method of using critical dimension mapping to qualify a new integrated circuit fabrication etch process
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
JP2001118662A (ja) * 1999-08-09 2001-04-27 Ibiden Co Ltd セラミックヒータ
ATE301916T1 (de) * 1999-11-19 2005-08-15 Ibiden Co Ltd Keramisches heizgerät
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
WO2003026001A2 (en) 2001-09-18 2003-03-27 Applied Materials, Inc. Integrated equipment set for forming an interconnect on a substrate
US6641746B2 (en) 2001-09-28 2003-11-04 Agere Systems, Inc. Control of semiconductor processing
JP3856293B2 (ja) * 2001-10-17 2006-12-13 日本碍子株式会社 加熱装置
US6721616B1 (en) 2002-02-27 2004-04-13 Advanced Micro Devices, Inc. Method and apparatus for determining control actions based on tool health and metrology data
US6858361B2 (en) 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US6943053B2 (en) 2002-03-20 2005-09-13 Applied Materials, Inc. System, method and medium for modeling, monitoring and/or controlling plasma based semiconductor manufacturing processes
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6895295B1 (en) 2002-05-06 2005-05-17 Advanced Micro Devices, Inc. Method and apparatus for controlling a multi-chamber processing tool
US6924088B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US7067333B1 (en) 2002-06-28 2006-06-27 Advanced Micro Devices, Inc. Method and apparatus for implementing competing control models
TWI239032B (en) 2002-06-28 2005-09-01 Tokyo Electron Ltd Method and system for controlling a process using material processing tool and performance data
US20040077160A1 (en) 2002-10-22 2004-04-22 Koninklijke Philips Electronics N.V. Method to control dimensions of features on a substrate with an organic anti-reflective coating
US7265382B2 (en) 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7482178B2 (en) 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US20050064714A1 (en) 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process
KR100548998B1 (ko) 2003-09-25 2006-02-02 삼성전자주식회사 동일레벨에 퓨즈와 커패시터를 갖는 반도체소자 및 그것을제조하는 방법
US7094613B2 (en) 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7020535B1 (en) 2003-11-06 2006-03-28 Advanced Micro Devices, Inc. Method and apparatus for providing excitation for a process controller
US6999848B2 (en) 2003-12-19 2006-02-14 Intel Corporation Process control apparatus, systems, and methods
US7250309B2 (en) 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7306746B2 (en) 2004-01-30 2007-12-11 Taiwan Semiconductor Manufacturing Co. Ltd. Critical dimension control in a semiconductor fabrication process
US7328418B2 (en) 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7446854B2 (en) * 2006-02-07 2008-11-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7567700B2 (en) 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
JP5165878B2 (ja) 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
JP4391518B2 (ja) * 2006-12-28 2009-12-24 東京エレクトロン株式会社 温度制御方法、調整装置、温度調節器、プログラム、記録媒体および加熱処理装置
US7642102B2 (en) 2007-01-30 2010-01-05 Tokyo Electron Limited Real-time parameter tuning using wafer thickness
US7517708B2 (en) 2007-01-30 2009-04-14 Tokyo Electron Limited Real-time parameter tuning using wafer temperature
US7801635B2 (en) 2007-01-30 2010-09-21 Tokyo Electron Limited Real-time parameter tuning for etch processes
US20080228308A1 (en) * 2007-03-13 2008-09-18 Tokyo Electron Limited Critical dimension uniformity optimization
JP5064069B2 (ja) * 2007-03-20 2012-10-31 株式会社Sokudo 基板搬送装置および熱処理装置
US7534725B2 (en) 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
US8409993B2 (en) 2007-06-07 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for controlling copper chemical mechanical polish uniformity
US7713758B2 (en) 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US8232212B2 (en) 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US8394722B2 (en) 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
KR101691044B1 (ko) * 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법
US20100241250A1 (en) 2009-03-18 2010-09-23 TECH Semiconductor Singapore Ptd Ltd Feedback and feedforward control of a semiconductor process without output values from upstream processes
US8236700B2 (en) 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101644673B1 (ko) 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
CA2991157C (en) * 2011-08-30 2019-12-24 Watlow Electric Manufacturing Company High definition heater system having a fluid medium
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
EP3213598B1 (en) * 2014-10-31 2023-07-05 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
JP2015092580A (ja) * 2014-11-28 2015-05-14 株式会社日立ハイテクノロジーズ 試料の温度を制御する温度制御装置、試料を載置する試料台及びこれらを備えたプラズマ処理装置
JP6806704B2 (ja) * 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US10971384B2 (en) 2018-09-13 2021-04-06 Lam Research Corporation Auto-calibrated process independent feedforward control for processing substrates
US11056405B2 (en) 2018-09-14 2021-07-06 Lam Research Corporation Methods and systems for controlling wafer fabrication process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1599891B1 (en) * 2003-02-27 2010-09-01 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
TWI358785B (zh) * 2003-12-05 2012-02-21 Tokyo Electron Ltd
TWI424524B (zh) * 2006-10-04 2014-01-21 Applied Materials Inc 電漿腔室中用於基板夾持之設備與方法
US20130171746A1 (en) * 2009-02-13 2013-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone temperature control for semiconductor wafer
US20140220709A1 (en) * 2013-02-04 2014-08-07 Lam Research Corporation Controlling cd and cd uniformity with trim time and temperature on a wafer by wafer basis

Also Published As

Publication number Publication date
KR20190071016A (ko) 2019-06-21
US10440777B2 (en) 2019-10-08
CN107636817B (zh) 2021-08-27
TWI677049B (zh) 2019-11-11
US20200037399A1 (en) 2020-01-30
JP2018522393A (ja) 2018-08-09
CN107636817A (zh) 2018-01-26
TW201642384A (zh) 2016-12-01
TW202015171A (zh) 2020-04-16
WO2016190905A1 (en) 2016-12-01
JP6806704B2 (ja) 2021-01-06
CN113675115A (zh) 2021-11-19
US11622419B2 (en) 2023-04-04
JP2020021939A (ja) 2020-02-06
KR102321919B1 (ko) 2021-11-03
KR20180011119A (ko) 2018-01-31
US20160345384A1 (en) 2016-11-24

Similar Documents

Publication Publication Date Title
TWI731429B (zh) 處理在基板支撐組件上的基板的方法,及其系統和電腦可讀取儲存媒體
TWI781426B (zh) 半導體製造中基於多區加熱器模型的控制
CN110010439B (zh) 等离子体蚀刻装置和等离子体蚀刻方法
JP7369201B2 (ja) 温度調整可能なマルチゾーン静電チャック
JP5973731B2 (ja) プラズマ処理装置及びヒータの温度制御方法
CN102683247A (zh) 等离子体蚀刻装置及等离子体蚀刻方法
TWI808206B (zh) 電漿蝕刻方法及電漿蝕刻裝置
KR20160146534A (ko) 온도 제어 방법 및 플라즈마 처리 장치
KR102452019B1 (ko) 기판 처리 장치, 온도 제어 방법 및 온도 제어 프로그램
CN110164746A (zh) 基板处理装置、流量控制方法以及记录介质
TW202427673A (zh) 可調溫式多區域靜電卡盤
US20150311106A1 (en) Electrostatic chuck, placing table and plasma processing apparatus