JP6806704B2 - 方位角方向に調整可能なマルチゾーン静電チャック - Google Patents

方位角方向に調整可能なマルチゾーン静電チャック Download PDF

Info

Publication number
JP6806704B2
JP6806704B2 JP2017554579A JP2017554579A JP6806704B2 JP 6806704 B2 JP6806704 B2 JP 6806704B2 JP 2017554579 A JP2017554579 A JP 2017554579A JP 2017554579 A JP2017554579 A JP 2017554579A JP 6806704 B2 JP6806704 B2 JP 6806704B2
Authority
JP
Japan
Prior art keywords
temperature profile
substrate
temperature
data
offset
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017554579A
Other languages
English (en)
Other versions
JP2018522393A (ja
Inventor
チュンレイ ザハング
チュンレイ ザハング
フィリップ クリミナル
フィリップ クリミナル
スティーブン イー ババヤン
スティーブン イー ババヤン
デビッド アルストロム
デビッド アルストロム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018522393A publication Critical patent/JP2018522393A/ja
Application granted granted Critical
Publication of JP6806704B2 publication Critical patent/JP6806704B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Control Of Resistance Heating (AREA)
  • Resistance Heating (AREA)

Description

背景
(分野)
本明細書に記載の実施形態は、概して、半導体製造に関し、より詳細には、静電チャックのリアルタイム温度制御及びその使用方法に関する。
(関連技術の説明)
デバイスパターンのフィーチャサイズが小さくなるにつれて、これらのフィーチャのクリティカルディメンジョン(CD)要件は、安定した繰り返し可能なデバイス性能にとってより重要な基準となる。処理チャンバ内で処理される基板全域にわたる許容可能なCDのばらつきは、チャンバの非対称性(例えば、チャンバ及び基板の温度、フローコンダクタンス、及びRFフィールド)のために達成することが困難である。
静電チャックを利用するプロセスでは、基板の表面全域にわたる温度制御の均一性は、基板の下のチャックの不均一(不均質)な構造のために更により困難である。例えば、静電チャックのいくつかの領域はガス孔を有し、他の領域はガス孔から横方向にオフセットされたリフトピン孔を有する。更に他の領域はチャッキング電極を有し、他の領域はチャッキング電極から横方向にオフセットされたヒータ電極を有する。静電チャックの構造は横方向及び方位方向の両方で変化する可能性があるので、チャックと基板との間の熱伝達の均一性は複雑であり、得るのは非常に困難であり、結果としてチャック表面全域にわたる局所的な高温スポット及び低温スポットをもたらし、その結果、基板の表面に沿った処理結果の不均一をもたらす。
チャックと基板との間の熱伝達の横方向及び方位角方向の均一性は、静電チャックが基板支持アセンブリを形成するために取り付けられる従来の冷却プレートに一般的に利用される熱伝達方式によって更に複雑になる。例えば、従来の冷却プレートは、典型的には、エッジから中心部の温度制御のみを有する。更に、生産実行時の間、基板が処理チャンバの内外に移動するとき、冷却プレートの温度プロファイルは基板毎に変動する可能性がある。したがって、生産実行時にわたって従来の基板支持体の熱伝達特性を利用しながら、静電チャック内の局所的な高温スポット及び低温スポットを制御することは困難である。
したがって、改良された基板支持アセンブリが必要とされている。
概要
本明細書で説明される実施形態は、静電チャック(ESC)と基板との間の熱伝達の横方向及び方位角方向の両方の調整を可能にする基板支持アセンブリ上で基板を処理するための方法及び装置を提供する。本方法は、主ヒータ及び空間的に調整可能なヒータを有するESC上で第1の温度プロファイルを使用して第1の基板を処理する工程を含む。偏差プロファイルは、ターゲット(目標)結果プロファイルから第1の基板を処理した結果から決定される。第1の温度プロファイルは、偏差プロファイルに基づいてESC上で第2の温度プロファイルに調整される。第2の温度プロファイルに調整する工程は、偏差プロファイルに対応する1以上の個別の位置において1以上の空間的に調整可能なヒータへの電力を増加させる工程を含む。次いで、第2の基板を第2の温度プロファイルを用いてESC上で処理する。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
少なくとも1つの処理チャンバを有するマルチチャンバ真空処理システムの概略上面図である。 空間的に調整可能なヒータを有する基板支持アセンブリの部分を詳細に示す部分断面概略側面図である。 空間的に調整可能なヒータのレイアウト例を示す基板支持アセンブリの上面図である。 本発明の実施形態を実施することができるソフトウェアルーチンを記憶し実行するのに適したシステムの1つのアーキテクチャのグラフ図である。 一実施形態に係る、空間的に調整可能なヒータのためのプロセスレシピを決定するためのフロー図である。 別の一実施形態に係る、空間的に調整可能なヒータのためのプロセスレシピを決定するためのフロー図である。 更に別の一実施形態に係る、空間的に調整可能なヒータのためのプロセスレシピを決定するためのフロー図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態で開示された要素を、特に説明することなく、他の実施形態で有益に利用してもよいと理解される。
詳細な説明
本明細書に記載の実施形態は、基板支持アセンブリの温度プロファイルの別個の横方向及び方位角方向の調整を可能にし、これにより、基板支持アセンブリ上で処理される基板の横方向の温度プロファイルの横方向及び方位角方向の両方の調整を可能にする空間的に調整可能なヒータを制御するための方法を提供する。更に、本方法は、基板上の局所的な高温スポット又は低温スポットを実質的に排除することを可能にする。
空間的に調整可能なヒータを有する基板支持アセンブリは、以下においてエッチング処理チャンバで説明されるが、基板支持アセンブリは、他のタイプのプラズマ処理チャンバ(とりわけ、物理気相堆積チャンバ、化学気相堆積チャンバ、イオン注入チャンバなど)及び横方向の温度プロファイルの方位角方向の調整が望ましい他のシステムで使用してもよい。また、半導体処理のために使用されないものを含む、他の表面の温度を制御するために空間的に調整可能なヒータを使用してもよいことも考えられる。
1以上の実施形態では、基板支持アセンブリの温度プロファイルを制御するための方法は、基板温度を利用可能にしてチャンバの不均一性(例えば、温度、フローコンダクタンス、電界、プラズマ密度等)を補償することによって、真空プロセス(例えば、エッチング、堆積、注入等)中の基板のエッジにおけるクリティカルディメンジョン(CD)の変動を補正することを可能にする。
当業者によって理解されるように、本発明の態様は、システム、方法、又はコンピュータプログラム製品として具現化することができる。したがって、本開示の実装は、完全にハードウェアの実施形態、完全にソフトウェアの実施形態(ファームウェア、常駐ソフトウェア、マイクロコードなどを含む)、又は本明細書内で「回路」、「モジュール」、又は「システム」と呼ぶことができるソフトウェアとハードウェアの態様を組み合わせた実施形態の形態をとることができる。更に、本開示の実施形態は、コンピュータ可読プログラムコードを具現化した1以上のコンピュータ可読媒体に組み込まれたコンピュータプログラム製品の形態をとってもよい。
実行時に予防保守イベントをスケジューリングする方法を実行するように構成されたプログラム製品を格納するために、1以上のコンピュータ可読媒体の任意の組み合わせを利用してもよい。コンピュータ可読媒体は、コンピュータ可読信号媒体又はコンピュータ可読記憶媒体とすることができる。コンピュータ可読記憶媒体は、例えば、電子、磁気、光学、電磁気、赤外線、又は半導体のシステム、装置、又はデバイス、又は前述の任意の適切な組み合わせとすることができるが、これらに限定されない。コンピュータ可読記憶媒体のより具体的な例(非限定的なリスト)には、ポータブルコンピュータディスケット、ハードディスク、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、消去可能プログラマブルリードオンリーメモリ(EPROM又はフラッシュメモリ)、携帯型コンパクトディスクリードオンリーメモリ(CD−ROM)、光記憶装置、磁気記憶装置、又は前述の任意の適切な組み合わせが含まれる。この文書の文脈において、コンピュータ可読記憶媒体は、命令実行システム、装置、又はデバイスによって又はそれらに接続して使用されるプログラムを含む又は格納することができる任意の有形の媒体とすることができる。
コンピュータ可読信号媒体は、(例えば、ベースバンド内に又は搬送波の一部として)内部に具体化されたコンピュータ可読プログラムコードを有する伝搬されたデータ信号を含むことができる。そのような伝播信号は、電磁気、光学、無線(高周波)、又はそれらの任意の適切な組み合わせを含むが、これらに限定されない様々な形態のいずれかをとることができる。コンピュータ可読信号媒体は、コンピュータ可読記憶媒体ではなく、命令実行システム、装置、又はデバイスによって又はそれらと接続して使用されるプログラムを通信、伝播、又は転送することができる任意のコンピュータ可読媒体とすることができる。
コンピュータ可読媒体に具現化されたプログラムコードは、無線、有線、光ファイバケーブル、RFなど、又はこれらの任意の適切な組合せを含むがこれらに限定されない任意の適切な媒体を使用して伝達することができる。
本発明の態様のための操作を実行するためのコンピュータプログラムコードは、オブジェクト指向プログラミング言語(例えば、JAVA(商標名)、SMALLTALK(商標名)、C++等)及び従来の手続き型プログラミング言語(例えば、「C」プログラミング言語又は同様のプログラミング言語)を含む1以上のプログラミング言語の任意の組み合わせで記述することができる。プログラムコードは、完全にユーザのコンピュータ上で、部分的にユーザのコンピュータ上で、スタンドアローンのソフトウェアパッケージとして、部分的にユーザのコンピュータ上かつ部分的にリモートコンピュータ上で、又は完全にリモートコンピュータ又はサーバ上で実行することができる。後者のシナリオでは、リモートコンピュータは、ローカルエリアネットワーク(LAN)又はワイドエリアネットワーク(WAN)を含む任意のタイプのネットワークを介してユーザのコンピュータに接続されてもよく、又は(例えば、インターネットサービスプロバイダを使用してインターネットを介して)外部コンピュータに接続されてもよい。
コンピュータプログラム命令はまた、コンピュータ、他のプログラマブルデータ処理装置、又は他のデバイス上にロードされ、コンピュータ、他のプログラマブル装置、又は他のデバイス上で実行される一連の操作ステップにコンピュータ実行プロセスを生成させ、これによってコンピュータ又は他のプログラマブル装置上で実行する命令が、フローチャート及び/又はブロック図の1つ又は複数のブロックで指定された機能/動作を実行するためのプロセスを提供することができる。
本開示の実施形態は、クラウドコンピューティングインフラストラクチャを介してエンドユーザに提供されてもよい。クラウドコンピューティングは、スケーラブルコンピューティングリソースをネットワーク上のサービスとして提供することを指す。より公式には、クラウドコンピューティングは、コンピューティングリソースとその基盤となる技術アーキテクチャ(例えば、サーバ、ストレージ、ネットワーク)との間の抽象化を提供するコンピューティング能力(機能)として定義することができ、最小限の管理作業又はサービスプロバイダとのやりとりで迅速にプロビジョニング(セットアップ)してリリースできる構成可能なコンピューティングリソースの共有プールへの便利でオンデマンドなネットワークアクセスを可能にする。したがって、クラウドコンピューティングは、コンピューティングリソースを提供するのに使用される下地の物理的システム(又はそれらのシステムの位置)には関係なく、ユーザが「クラウド」内の仮想的なコンピューティングリソース(例えば、ストレージ、データ、アプリケーション、更には完全な仮想化コンピューティングシステム)にアクセスすることを可能にする。
典型的には、クラウドコンピューティングリソースは、実際に使用されたコンピューティングリソース(例えば、ユーザによって消費されるストレージスペースの量、又はユーザによってインスタンス化された仮想化システムの数)に対してのみユーザは課金される利用回数制料金(ペイパーユースベース)でユーザに提供される。ユーザはいつでも、インターネットのどこからでも、クラウド内に常駐する任意のリソースにアクセスできる。本発明に関連して、ユーザは、クラウド内で利用可能なソフトウェアルーチン(例えば、接地ストラップの1以上の破損を検出する方法)又は関連データにアクセスすることができる。例えば、ソフトウェアルーチンは、クラウド内のコンピューティングシステム上で実行することができる。そのような場合、ソフトウェアルーチンは、クラウド内の記憶場所に空間データ及び非空間データを維持することができる。これにより、ユーザは、クラウド(例えば、インターネット)に接続されたネットワークに接続された任意のコンピューティングシステムからこの情報にアクセスすることができる。
図1は、少なくとも1つの処理チャンバ120を有するマルチチャンバ真空処理システム100の概略上面図である。マルチチャンバ真空処理システム100はまた、システムコントローラ160、真空気密処理プラットフォーム110、及びファクトリインターフェース140を含む。マルチチャンバ真空処理システム100は更に、先進的プロセスコントローラ(APC)180に取り付けられてもよい。APC180は、データベース182及びコンピューティングプラットフォーム184を有することができる。APC180は、オプションとして、システムコントローラ160と共に使用されてもよく、複数のプロセスツール102を製造施設に統合する。APC180は、操作を追跡し、内部で製造されている基板の測定値を格納することができる。
ファクトリインターフェース(FI)140は、複数の正面開口式カセット一体型搬送・保管箱(FOUP)144及び少なくとも1つのFIロボット142を有することができる。FI140はまた、追加のステーション(例えば、計測ステーション150)も有してもよい。計測ステーション150は、FOUP144に隣接して交互に配置されてもよい。FIロボット1420は、レール及び可動エンドエフェクタを有することができ、可動エンドエフェクタは、ブレード、複数のフィンガ、グリッパ、又は基板118をその上で搬送するための他の適切な装置とすることができる。FIロボット142は、大気条件で動作可能であり、FOUP144、計測ステーション150、及び処理システム100の1以上のロードロックチャンバ134、132の間で可動エンドエフェクタ上に配置された基板118を搬送するのに十分な動作範囲を有するように構成される。FOUP144は、基板118をマルチチャンバ真空処理システム100へ及びマルチチャンバ真空処理システム100から搬送するために複数の基板118を保持することができる。例えば、FOUP144は、マルチチャンバ真空処理システム100上で処理された基板118を、別の計測ステーション、化学研磨ステーション、又は更なる処理のための他の装置に移動させることができる。
ロードロックチャンバ134、132は、ファクトリインターフェース140と真空気密処理プラットフォーム110との間に配置され、ファクトリインターフェース140内に維持される実質的周囲環境と真空気密処理プラットフォーム110内に維持される真空環境との間の基板118の搬送を促進する。ロードロックチャンバ134、132は、1以上の入口/出口スロット(図示せず)を有し、これを通して基板118はFI140からロードロックチャンバ134、132の内外に移動することができる。同様に、ロードロックチャンバ134、132は、同じ数の入口/出口スロットを有し、これを通して基板118はロードロックチャンバ134、132の内部と真空気密処理プラットフォーム110との間で移動することができる。ロードロックチャンバ134、132の入口/出口スロットの各々は、スリットバルブ(図示せず)によって選択的にシールされて、ロードロックチャンバ134、132の内部をFI140又は真空気密処理プラットフォーム110のいずれかの内部から隔離する。
真空気密処理プラットフォーム110は、ロードロックチャンバ134、132に加えて、搬送チャンバ130の周りに配置された複数の付属チャンバ120を有する。搬送チャンバ130は、真空気密処理プラットフォーム110内に低下した気圧条件を提供するための真空システム(図示せず)に結合されている。搬送チャンバ130は、少なくとも1つの搬送チャンバロボット114を収容する。搬送チャンバロボット114は、チャンバ120のいずれかによって基板118を回転して搬送することができる。付属チャンバ120のうちの1以上は、エッチングチャンバ又は堆積チャンバ(例えば、化学気相堆積チャンバ、物理気相堆積チャンバ、又は原子層堆積チャンバ)を含み、上で基板118を処理するための(図2に示される)基板支持アセンブリ200を有することができる。更に、付属チャンバ120のうちの1つは、基板118の属性を測定するための計測機器を有する計測チャンバ152、配向(オリエンテーション)チャンバ、脱ガスチャンバ、又は基板118を処理するための他の適切なチャンバとすることができる。いくつかの実施形態では、1つのチャンバ120は、基板118のエッチングと測定の両方をすることができる。例えば、基板118の属性を測定するための計測機器をチャンバ120に組み込むことができる。あるいはまた、基板118の属性を測定するための計測機器は、搬送チャンバ130、FI140、又は他の便利な場所に配置することができる。
システムコントローラ160は、マルチチャンバ真空処理システム100の各チャンバ120及び/又はモジュールに結合され、これらを制御する。一般的に、システムコントローラ160は、処理システム100のチャンバ及び装置の直接制御を用いて、又は代替的にこれらのチャンバ及び装置に結合されたコンピュータを制御することによって、処理システム100の操作のすべての態様を制御することができる。更に、システムコントローラ160はまた、APC180を介して搬送チャンバロボット114及び他のコントローラに結合された制御ユニットと通信するように構成されてもよい。例えば、搬送チャンバロボット114の移動、基板118の処理チャンバ120への及び処理チャンバ120からの搬送、及びプロセスシーケンスの実行、マルチチャンバ真空処理システム100の様々なコンポーネントの操作の調整などを、システムコントローラ160によって制御することができる。更に、システムコントローラ160は、処理チャンバ120内でプロセスレシピを制御することができる。例えば、システムコントローラは、真空、チャンバ温度、基板支持面温度プロファイル、ガス流量、及びプロセスレシピの他の様々な処理パラメータを制御することができる。操作中、システムコントローラ160は、それぞれのチャンバ及び装置からのフィードバックが基板スループットを最適化することを可能にする。
システムコントローラ160は、図4に関して以下に説明される。システムコントローラ160は、チャンバ120内で処理中の基板118のためのプロセスレシピを変更することができる。システムコントローラ160は、計測機器からのフィードバックを使用して、プロセスレシピの変更を決定することができる。計測機器は、基板118全域にわたるクリティカルディメンジョンを測定し、プロセスパラメータ(例えば、基板支持アセンブリ全域にわたる局所的な温度)を変更して、局所的な処理を変更することができる。
図2は、基板支持アセンブリ全域にわたる温度プロファイルの方位角方向の調整を提供するように構成された基板支持アセンブリ200の部分を詳述する部分断面概略側面図である。基板支持アセンブリ200全域にわたる温度プロファイルの方位角方向の調整は、コントローラ160上で動作するソフトウェアルーチンによって制御することができる。ソフトウェアルーチンはまた、あるいは代替的に、マルチチャンバ真空処理システム100(例えば、処理チャンバ120又はAPC180)から遠隔配置された第2のコントローラ(図示せず)によって記憶及び/又は実行されてもよい。
基板支持アセンブリ200は、一般的に、少なくとも基板支持体210を含む。基板支持体210は、真空チャック、静電チャック、サセプタ、又は他のワークピース支持面とすることができる。一実施形態では、基板支持体210は静電チャックであり、静電チャック210として以下で説明する。基板支持アセンブリ200はまた、冷却ベース260を含むことができる。冷却ベース260は、代替的に、基板支持アセンブリ200から分離していてもよい。基板支持アセンブリ200は、支持ペデスタル205に取り外し可能に結合されてもよい。支持ペデスタル205は、ペデスタルベース244を含んでもよい。基板支持アセンブリ200は、支持ペデスタル205から定期的に取り外されて、基板支持アセンブリ200の1以上のコンポーネントの再生(改修)を可能にすることができる。
静電チャック210は、載置面203と、載置面203の反対側にあるワークピース支持面202とを有し、基板118は、ワークピース支持面202上に取り外し可能に配置される。静電チャック210は、一般的に、誘電体208内に埋め込まれたチャッキング電極207を含む。チャッキング電極207は、静電チャック210の載置面203に近接して図示されているが、チャッキング電極207は、静電チャック210の他の部分(例えば、ワークピース支持面202の直下)に埋め込まれていてもよい。チャッキング電極207は、モノポーラ又はバイポーラ電極、又は他の適切な装置として構成されてもよい。チャッキング電極207は、基板118を静電チャック210のワークピース支持面202に静電的に固定するRF又はDC電力を供給するチャッキング電源206にRFフィルタ204を介して結合される。RFフィルタ204は、処理チャンバ120内でプラズマを形成するために利用されるRF電力が、電気機器に損傷を与える、又はチャンバの外部に電気的危険を与えることを防止する。
静電チャック210の誘電体208は、セラミックス材料(例えば、AlN又はAl)から製造することができる。あるいはまた、誘電体208は、ポリマー(例えば、ポリイミド、ポリエーテルエーテルケトン、ポリアリールエーテルケトン等)から製造することができる。ヒータが誘電体208内に埋め込まれてもよい。誘電体208は、1以上の主抵抗ヒータ212及び/又は複数の空間的に調整可能なヒータ214を含むことができる。主抵抗ヒータ212は、チャンバプロセスを実行する(例えば、基板118を処理する、及び/又は処理チャンバ120の内部を洗浄する)ための温度まで基板支持アセンブリ200の温度を上昇させるために提供することができる。主抵抗ヒータ212は、1以上の横方向に分離された加熱ゾーン(例えば、複数の同心リング状ゾーン)を提供するように構成されてもよい。空間的に調整可能なヒータ214は、主抵抗ヒータ212と相補的であり、主抵抗ヒータ212によって画定された複数の横方向に分離された加熱ゾーンのうちの任意の1以上の中の複数の個別の位置で静電チャック210の局所温度を調整するように構成される。例えば、空間的に調整可能なヒータ214は、円形状配列、列と行のデカルト格子、六角形格子、又は他の適切なマトリクスに配置することができる。したがって、空間的に調整可能なヒータ214は、基板支持アセンブリ200上に配置された基板118の温度プロファイルに局所的な調整を提供する。したがって、主抵抗ヒータ212は、ワークピース支持面202の温度プロファイルをグローバル的なマクロスケールで維持するように動作し、一方、空間的に調整可能なヒータ214は、ワークピース支持面202の温度プロファイルの別々の位置での温度を局所的なマイクロスケールで調整するように動作する。
主抵抗ヒータ212及び空間的に調整可能なヒータ214は、RFフィルタ218を介してヒータ電源222に結合される。ヒータ電源222は、900ワット以上の電力をヒータ212、214に供給することができる。コントローラ160は、一般的に、基板118を所定の温度プロファイルに加熱するための個々のヒータ212、214に電力を供給するヒータ電源222の動作を制御することができる。一実施形態では、主抵抗ヒータ212は、横方向に分離された加熱ゾーンを含み、コントローラ160は、主抵抗ヒータ212の1つのゾーン又は1つの空間的に調整可能なヒータ214を、隣接するヒータ212、214に対して優先的に加熱させることができる。いくつかの実施形態では、各々の空間的に調整可能なヒータ214は、空間的に調整可能なヒータ214の別の1つの温度とは異なる温度を提供するように独立して制御することができる。いくつかの実施形態では、空間的に調整可能なヒータ214のうちの複数(例えば、少なくとも2つ及びすべて)は、独立して同時に電力供給され、非常に安定しており、周囲で急に変化しない温度プロファイルを提供し、これは安定した容易に制御される温度プロファイルをもたらし、これは基板処理結果の均一性および予測可能性の向上に寄与する。
静電チャック210は、1以上の温度センサ254を含むことができる。温度センサ254は、ワークピース支持面202上の複数の個別の位置で温度を測定することができる。温度センサ254は、ヒータ電源222によって主抵抗ヒータ212及び空間的に調整可能なヒータ214に印加される電力を制御するためのコントローラ160に温度フィードバック情報を提供することができる。更に、フィードバック情報は、冷却ベース260の動作を制御するために使用することができる。
静電チャック210は、温度制御された冷却ベース260上に配置されてもよい。温度制御された冷却ベース260は、熱伝達流体源262に結合される。熱伝達流体源262は、冷却ベース260内に配置された1以上の導管290を通って循環される熱伝達流体(例えば、液体、気体、又はそれらの組み合わせ)を提供する。コントローラ160は、分離した隣接する導管290を通って流れる流体を制御して、静電チャック210と、冷却ベース260の異なる領域との間の熱伝達の局所制御を可能にすることができ、これは基板118の横方向温度プロファイルの制御を支援する。
ペデスタルベース244は、冷却ベース260の下方に配置されており、複数の昇降ピンを昇降させるように構成された複数の駆動機構を収容するように構成される。更に、ペデスタルベース244は、静電チャック210及び冷却ベース260からの複数の流体接続を収容するように構成される。ペデスタルベース244はまた、静電チャック210からの複数の電気接続を収容するように構成される。無数の接続(例えば、流体、電気、データ信号)は、基板支持アセンブリ200の外部又は内部に向かうことができる。
システムコントローラ160は、ペデスタルベース244内に配置することができるコントローラボード250を含むことができる。あるいはまた、コントローラボード250は、基板支持アセンブリ200の内部又は外部の他の場所に配置してもよい。コントローラボード250は、パルス幅変調(PWM)ヒータコントローラ216を有することができる。コントローラボード250はまた、光通信インターフェースボード256を有することができる。コントローラボード250はまた、オプションとして、温度センサコントローラ252を有することができる。
温度センサコントローラ252は、基板118の温度を測定するために、温度センサ254に通信可能に結合することができる。温度センサコントローラ252は、温度センサ254からの信号を、ワークピース支持面202の周りの個別の位置での実際の温度読み取り値に変換することができる。コントローラボード250はまた、オプションとして、ワークピース支持面202上に配置された基板118の厚さを判定するための計測コントローラ(図示せず)を有してもよい。
PWMヒータコントローラ216は、ヒータ212、214に接続することができる。PWMヒータコントローラ216は、ヒータ電源222からくる電力を個々のヒータ212、214に変更することができる。PWMヒータコントローラ216は、各々の空間的に調整可能なヒータ214で温度を測定することによってプログラミング及び較正することができる。すなわち、各々の空間的に調整可能なヒータ214は、それ自身の独立したPWM制御を有する。PWMヒータコントローラ216は、個々の空間的に調整可能なヒータ214の電力パラメータを調整することによって温度を制御することができる。例えば、空間的に調整可能なヒータ214の1つへの100パーセントの電力は、空間的に調整可能なヒータ214の上方の表面位置に対して主ヒータによって設定された温度を上昇させるための約5℃の熱出力をもたらすことができる。また、空間的に調整可能なヒータ214の1以上への20%の電力は、空間的に調整可能なヒータ214の上方の表面位置に対して主ヒータによって設定された温度を上昇させるための約1℃の熱出力をもたらすことができる。空間的に調整可能なヒータ214のいくつかに対して、電力を20%に低減し、処理操作全体にわたってそのレベルに維持してもよい。更に、PWMヒータコントローラ216は、第1の電力レベル(例えば、約80パーセント)で1以上の空間的に調整可能なヒータ214を動作させ、同時に第2の電力レベル(例えば、約20パーセント)で1以上の分離した空間的に調整可能なヒータ214を動作させることができる。一実施形態では、温度は、空間的に調整可能なヒータ214への漸増的な電力増加で調整されてもよい。例えば、プロセスの過程の間、空間的に調整可能なヒータ214に供給される電力のパーセント増加(例えば、9%の増加)によって温度上昇を得ることができる。別の一実施形態では、温度は、空間的に調整可能なヒータ214をオン及びオフを循環させる(繰り返す)ことによって調整することができ、他の調整可能なヒータ214も同相又は逆相(異相)のいずれかでオン及びオフを循環させる。更に別の一実施形態では、必要に応じて、空間的に調整可能なヒータ214への、電力レベルの調整、電力の循環及び漸増的な調整の組み合わせによって温度を調整して、所望の温度プロファイルを維持してもよい。
温度マップは、各々の個別の空間的に調整可能なヒータ214の熱出力をすべて一度に変化させることによって得ることができる。マップは、各々の空間的に調整可能なヒータ214の電力分布曲線にCD又は基板の温度プロファイルを相関させることができる。したがって、空間的に調整可能なヒータ214は、個々の空間的に調整可能なヒータ214のための電力設定を制御するプログラムに基づいて、基板上の温度プロファイルを生成するように使用することができる。ロジックは、PWMヒータコントローラ216内に直接、又は外部接続されたコントローラ(例えば、コントローラ160)内に配置することができる。したがって、PWMヒータコントローラ216は、複数の空間的に調整可能なヒータ214のうちの1つの出力を、複数の空間的に調整可能なヒータ214の別のものならびに主抵抗ヒータ212に対して独立して制御するように構成される。
光通信インターフェースボード256は、PWMヒータコントローラ216とインターフェース接続することができる。光通信インターフェースボード256はまた、他のコントローラ(例えば、温度センサコントローラ252)とインターフェース接続することもできる。光通信インターフェースボード256は、光コンバータ258への光接続を有することができる。光インターフェースボード256は、コントローラ160と通信するために光コンバータ258に光信号を送信することができる。したがって、PWMヒータコントローラ216とコントローラ160との間の通信は、RFエネルギーに損傷を与えることのない光信号によって実行することができる。例えば、コントローラ160は、静電チャック210上に配置された基板118の温度プロファイルを調整するために空間的に調整可能なヒータ214のうちの1以上からの熱出力の信号制御を送信することができる。コントローラ160からの信号命令は、処理中に基板118の加熱プロファイルを制御するために命令をPWMヒータコントローラ216に送る前に、光通信インターフェースボード256に伝送するための光コンバータ258に入ることができる。光通信インターフェースボード256の利点は、RF電力が制御回路を通って基板支持アセンブリ200から出るのを防ぐことができることである。
処理チャンバ120内の基板118の表面の温度は、ポンプ、スリットバルブドア、プラズマ、及び他の要因による処理ガスの排気によって影響される可能性がある。コントローラ160は、高品質の処理結果を得るために基板118用の温度プロファイルマップを有することができる。コントローラ160は、計測機器又は他の処理装置から入力を受信して、基板118の処理結果の偏差又は逆の(悪い)傾向を補正することができる。例えば、基板118の1つの領域は、基板の別の領域よりも速くエッチングする可能性がある。コントローラ160は、空間的に調整可能なヒータ214に信号を送って、エッチング速度を逸脱した領域で基板118の表面温度を調整することができる。コントローラ160は、空間的に調整可能なヒータ214を、これらの領域と同様の形状のパターンで作動させることができる。空間的に調整可能なヒータ214は、温度プロファイルの変動(ばらつき)を約±0.3℃に低減することによって、主抵抗ヒータ212によって生成される基板118の表面の温度プロファイルを改善する。温度プロファイルは、空間的に調整可能なヒータ214を使用することによって、基板118の領域全域にわたって均一に、又は所定の方法(様式)で正確に変化させ、所望の結果を得ることができる。主抵抗ヒータ212によって生成された温度プロファイルを平滑化又は補正するために独立に制御可能な空間的に調整可能なヒータ214を使用することにより、基板全域にわたる局所的な温度均一性を非常に小さい許容範囲に制御することができ、これによって基板118の処理時における正確なプロセス制御及びCD制御を可能にする。更に、主抵抗ヒータ212に対して空間的に調整可能なヒータ214のサイズが小さくかつ高密度であることにより、実質的に隣接領域の温度に影響を及ぼすことなく、基板支持アセンブリ126上の特定の位置での温度制御が可能となり、これによってスキュー又は他の温度非対称性を導入することなく局所的な高温スポット及び低温スポットを補償可能とする。
300mmの基板と共に使用するように構成された基板支持アセンブリ200の所与の実施形態において、約200個〜約400個、又はそれ以上の空間的に調整可能なヒータ214が存在可能であることが考えられる。更に多くの空間的に調整可能なヒータ214が、450mm以上の基板に対して考えられる。空間的に調整可能なヒータ214の例示的な分布は、図3A〜図3Dを参照して以下に更に説明される。
図3A〜図3Dは、空間的に調整可能なヒータ214を内部に有するセル390のレイアウト例を示す静電チャック210の上面図である。図3Aは、一実施形態に係る、図2の静電チャック210の上面図である。図3B〜図3Dは、別の実施形態に係る、図2の静電チャック210の上面図である。セル390は、隣接するセル390間のサーマルチョーク316として作用する材料(又はギャップ)を有することができる。サーマルチョーク316は、隣接するセル300間の伝導を分離して低減する。したがって、各々のセル390内の各々の空間的に調整可能なヒータ214に供給される電力を個々に独立して制御することによって、基板110の特定の点を加熱又は冷却することを可能にする温度を制御するための局所的なアプローチが達成され、これにより基板118の表面の真にアドレス可能な横方向温度プロファイルの調整及び制御が可能になる。
ここで図3Aを参照すると、サーマルチョーク316が各々の隣接するセル390間に配置されている。各々のセル390には、空間的に調整可能なヒータ214のうちの少なくとも1つが結合されている。図示されたセル390の数は、例示のためだけのものであり、任意の数の実施形態は、実質的により多くの(又はより少ない)セル390を有することができる。空間的に調整可能なヒータ214の数は、主抵抗ヒータ212の数よりも少なくとも1桁大きくすることができる。基板支持アセンブリ200全域にわたって配置された空間的に調整可能なヒータ214の数は、容易に数百を超える可能性がある。
空間的に調整可能なヒータ214は、静電チャック210の表面に沿って熱プロファイルを効率的に生成するパターン399に構成することができる。パターン399は、中心点392に関して対称であり、同時に、リフトピン、又は他の機械的、流体的、又は電気的接続用の穴322の内部及び周囲に隙間(クリアランス)を提供することができる。各々の空間的に調整可能なヒータ214は、PWMコントローラ216を介してコントローラ160によって制御することができる。PWMコントローラ216は、局所領域340を画定する1つの空間的に調整可能なヒータ214、又は、所望の温度プロファイルを決定するための不連続な構成を含む、内側くさび部362、周囲群364、パイ形状領域330、又は他の所望の幾何学的構成を画定するようにグループ化された複数の空間的に調整可能なヒータ214をオンにすることができる。このようにして、静電チャック210の表面に沿った独立した位置で、温度を正確に制御することができ、そのような独立した位置は、同心円状のリング又は当該技術分野で知られている他の中心からエッジまでの構成に限定されない。図示されたパターン399は、不連続なより小さいユニットから構成されているが、パターン399は、代わりに、より大きなユニット及び/又はより小さなユニットを有してもよく、エッジまで延びていてもよく、又は他の形態を有してもよい。図3Aに示される実施形態では、空間的に調整可能なヒータ214のパターン399は、いくつかの実施形態では静電チャック210の中心線と一致することができる中心点392の周りに円形状配列で配置される。
図3Bは、別の一実施形態に係る、図2に示された静電チャック210の上面図である。空間的に調整可能なヒータ214は、格子の形態で配置され、こうして温度制御セル390のアレイを画定し、これもまた格子パターンで配置される。空間的に調整可能なヒータ214の格子パターンは、行と列から構成されるX/Y(デカルト)格子として示されているが、空間的に調整可能なヒータ214の格子パターンは、その代わりに、いくつかの他の均一に充填された形状(例えば、六角形の最密充填)を有してもよい。上述したように、空間的に調整可能なヒータ214は、グループ単位で、又は単独で作動可能であることを理解すべきである。
図3Cは、別の一実施形態に係る、図2に示された静電チャック210の上面図である。図3Cは、円形状配列に配置された複数の空間的に調整可能なヒータ214を示す。オプションとして、1以上のサーマルチョーク316を空間的に調整可能なヒータ214間に配置してもよい。空間的に調整可能なヒータ214の円形状配列パターンは、隣接するセル390を画定し、したがって、これらもまた円形状配列に配置される。オプションとして、サーマルチョーク316を利用して、各セル390を隣接するセル390から隔離してもよい。
図3Dは、別の一実施形態に係る、図2に示される静電チャック210の上面図である。図3Dは、同心チャネル内に配置された複数の空間的に調整可能なヒータ214を示す。空間的に調整可能なヒータ214の同心チャネルパターンは、オプションとしてサーマルチョーク316によって分離されてもよい。空間的に調整可能なヒータ214及びセル390は、他の向きで配置されてもよいと考えられる。
空間的に調整可能なヒータ214の数及び密度は、基板全域にわたる温度均一性を非常に小さい許容範囲に制御する能力に寄与し、これは基板118を処理する際の精密なプロセス制御及びCD制御を可能にする。更に、空間的に調整可能なヒータ214のうちの1つの、他の空間的に調整可能なヒータ214に対する個々の制御は、表面の隣り合う領域又は隣接する領域の温度に実質的に影響を及ぼすことなく、基板支持アセンブリ200の表面に沿った個別の位置での温度制御を可能にする。この構成により、スキュー又はその他の温度の非対称性を導入することなく、局所的な高温スポット及び低温スポットを補償することができる。空間的に調整可能なヒータ214は、約0.1℃の刻みで温度上昇を制御する能力を有する約0.0℃〜約10.0℃の間の個々の温度範囲を有することができる。一実施形態では、主抵抗ヒータ212と共に基板支持アセンブリ200内の複数の空間的に調整可能なヒータ214は、上で処理される基板118の温度均一性を約±0.3℃未満に制御する能力を実証している。したがって、空間的に調整可能なヒータ214は、基板支持アセンブリ200上で処理される基板118の横方向の温度プロファイルの横方向及び方位角方向の両方の調整を可能にする。
ヒータ214、212は、ソフトウェアルーチンによって制御することができる。ソフトウェアは、現在の基板CD測定値及び処理結果をプロセスレシピ及び他のパラメータとともに組み込んで、処理チャンバ120内で処理中の基板118の温度プロファイルを調整することができる。
図4を参照すると、例えば、ソフトウェアルーチンを記憶し実行するのに適したシステムのアーキテクチャのグラフ図が提供される。ソフトウェアルーチンは、複数のゾーンで基板表面に沿って別個に温度を制御する実施形態を含むことができる。この図は決してプロセスコントローラの実施範囲を限定しない、又は限定する意図はない。システム400は、パーソナルコンピュータ、産業用プロセッサ、パーソナルデジタルアシスタント、携帯電話、モバイルデバイス、又は1以上の実施形態を実施するのに適した任意の他の装置とすることができる。コントローラ160は、システム400のものと同様のアーキテクチャを有することができる。システム400は、処理システム100の1以上の処理チャンバ120内で処理中の基板118の温度プロファイルを制御するソフトウェアルーチンを実行することができる。追加的に又は代替的に、二次又は外部コントローラ(例えば、APC180)は、システム400と同様のアーキテクチャを有し、基板118の温度プロファイルを制御するためのサポート(例えば、データ又はソフトウェア)を提供してもよい。
システム400は、中央処理装置(CPU)402と、メモリブリッジ405を含むことができるバス経路を介して通信するシステムメモリ404とを含む。CPU402は、1以上の処理コアを含み、動作中、CPU402はシステム400のマスタプロセッサであり、他のシステムコンポーネントの動作を制御し調整する。システムメモリ404は、CPU402によって使用されるソフトウェアアプリケーション406及びデータを記憶する。CPU402は、ソフトウェアアプリケーション及びオプションとしてオペレーティングシステムを実行する。(例えば、ノースブリッジチップとすることができる)メモリブリッジ405は、バス又は他の通信経路(例えば、ハイパートランスポートリンク)を介してI/O(入力/出力)ブリッジ407に接続される。(例えば、サウスブリッジチップとすることができる)I/Oブリッジ407は、1以上のユーザ入力装置408(例えば、キーボード、マウス、ジョイスティック、デジタイザタブレット、タッチパッド、タッチスクリーン、スチル又はビデオカメラ、モーションセンサ、及び/又はマイクロホン)からのユーザ入力を受信し、メモリブリッジ405を介して入力をCPU402に転送する。
ディスプレイプロセッサ412は、バス又は他の通信経路(例えば、PCI Express、高速グラフィックスポート、又はハイパートランスポートリンク)を介してメモリブリッジ405に結合される。一実施形態では、ディスプレイプロセッサ412は、少なくとも1つのグラフィックス処理ユニット(GPU)及びグラフィックスメモリを含むグラフィックスサブシステムである。グラフィックスメモリは、出力画像の各ピクセル用のピクセルデータを記憶するために使用されるディスプレイメモリ(例えば、フレームバッファ)を含む。グラフィックスメモリは、GPUと同じデバイス内に統合する、GPUと別の装置として接続する、及び/又はシステムメモリ404内に実装することが可能である。
ディスプレイプロセッサ412は、ピクセルをディスプレイ装置410(例えば、スクリーン又は従来のCRT、プラズマ、OLED、SED、又はLCDベースのモニタ又はテレビ)に周期的に送信する。更に、ディスプレイプロセッサ412は、写真フィルム上にコンピュータ生成画像を再現するように適合されたフィルムレコーダにピクセルを出力してもよい。ディスプレイプロセッサ412は、ディスプレイ装置410にアナログ又はデジタル信号を提供することができる。
システムディスク414はまた、I/Oブリッジ407に接続され、CPU402及びディスプレイプロセッサ412によって使用されるコンテンツ及びアプリケーション及びデータ(例えば、データベースライブラリ415)を格納するように構成することができる。システムディスク414は、アプリケーション及びデータ用の不揮発性ストレージを提供し、固定又は取り外し可能なハードディスクドライブ、フラッシュメモリデバイス、及びCD−ROM、DVD−ROM、ブルーレイ、HD−DVD、又は他の磁気、光学、又は固体記憶デバイスを含むことができる。
スイッチ416は、I/Oブリッジ407と、他のコンポーネント(例えば、ネットワークアダプタ418及び様々なアドインカード420及び421)との間の接続を提供する。ネットワークアダプタ418は、システム400が電子通信ネットワークを介して他のシステムと通信することを可能にし、ローカルエリアネットワーク440及びワイドエリアネットワーク(例えば、インターネット)を介した有線又は無線通信を含むことができる。
USB又は他のポート接続、フィルム記録装置などを含む他のコンポーネント(図示せず)を、I/Oブリッジ407に接続することもできる。例えば、処理機器470は、CPU402、システムメモリ404、又はシステムディスク414によって提供された命令及び/又はデータから動作することができる。図4の様々なコンポーネントを相互接続する通信経路は、任意の適切なプロトコル(例えば、PCI(Peripheral Component Interconnect)、PCI Express(PCI−E)、AGP(Accelerated Graphics Port)、HyperTransport、又は任意の他のバス又はポイントツーポイント通信プロトコル)を使用して実装することができ、異なるデバイス間の接続は、当該技術分野で知られているように、異なるプロトコルを使用してもよい。
処理機器470は、1以上の半導体処理チャンバ(例えば、処理チャンバ120)とすることができる。一実施形態では、ディスプレイプロセッサ412は、(例えば、数学コプロセッサを含む)数学的演算を実行するために最適化された回路を組み込んでおり、グラフィックス処理ユニット(GPU)を更に構成することができる。別の一実施形態では、ディスプレイプロセッサ412は、汎用処理のために最適化された回路を組み込む。更に別の一実施形態では、ディスプレイプロセッサ412は、1以上の他のシステム要素(例えば、メモリブリッジ405、CPU402、及びI/Oブリッジ407)と統合して、システムオンチップ(SoC)を形成することができる。更に別の実施形態では、ディスプレイプロセッサ412は省略され、CPU402によって実行されるソフトウェアが、ディスプレイプロセッサ412の機能を実行する。
ピクセルデータは、CPU402から直接的にディスプレイプロセッサ412に提供することができる。本発明のいくつかの実施形態では、それぞれがシステム400に類似したサーバコンピュータのセットに、予測分析を表す命令及び/又はデータが、ネットワークアダプタ418又はシステムディスク414を介して提供される。サーバは、分析のために提供された命令を使用して、データのサブセットに対する操作を実行することができる。これらの操作からの結果は、デジタル形式のコンピュータ可読媒体に格納されてもよく、オプションとして更なる分析又は表示のためにシステム400に戻されてもよい。同様に、データは、表示のために他のシステムに出力される、システムディスク414のデータベースライブラリ415内に格納される、又はデジタル形式でコンピュータ可読媒体に格納されることができる。
あるいはまた、CPU402は、所望の出力画像を定義するデータ及び/又は命令をディスプレイプロセッサ412に提供し、そこからディスプレイプロセッサ412は、ステレオ画像対の間のオフセットの特徴付け及び/又は調整を含む1以上の出力画像のピクセルデータを生成する。所望の出力画像を定義するデータ及び/又は命令は、ディスプレイプロセッサ412内のシステムメモリ404又はグラフィックメモリに格納することができる。
CPU402及び/又はディスプレイプロセッサ412は、当該技術分野で知られている任意の数学的な関数又は技術を使用して、提供されたデータ及び命令から1以上の結果を生成することができ、これは施設状態情報をメンテナンス情報に関連させる予測分析を含み、メンテナンスイベントの必要性を予測する。
本明細書に示されるシステムは例示的なものであり、変形及び変更が可能であることが理解されるだろう。ブリッジの数及び配置を含む接続トポロジは、必要に応じて変更可能である。例えば、いくつかの実施形態では、システムメモリ404はブリッジを介するのではなく直接CPU402に接続され、他のデバイスはメモリブリッジ405及びCPU402を介してシステムメモリ404と通信する。他の代替のトポロジでは、ディスプレイプロセッサ412は、I/Oブリッジ407に接続されるか、又はメモリブリッジ405ではなくCPU402に直接接続される。更に他の実施形態では、I/Oブリッジ407及びメモリブリッジ405は、単一のチップに統合されてもよい。本明細書に示される特定のコンポーネントはオプションであり、例えば、任意の数のアドインカード又は周辺装置がサポートされてもよい。いくつかの実施形態では、処理機器470は、I/Oブリッジ407に直接接続することができる。いくつかの実施形態では、スイッチ416は除去され、ネットワークアダプタ418及びアドインカード420、421は、I/Oブリッジ407に直接接続される。
本発明の様々な実施形態は、コンピュータシステムと共に使用するためのプログラム製品として実装することができる。プログラム製品のソフトウェアルーチンは、(本明細書に記載の方法を含む)実施形態の機能を定義し、様々なコンピュータ可読記憶媒体に含めることができる。コンピュータ可読記憶媒体の例としては、(i)情報が永久に格納される書き込み不可能な記憶媒体(例えば、コンピュータ内のリードオンリーメモリ装置(例えば、CD−ROMドライブによって読み取り可能なCD−ROMディスク)、フラッシュメモリ、ROMチップ、又は任意のタイプの固体(ソリッドステート)不揮発性半導体メモリ)と、(ii)変更可能な情報が格納される書込み可能な記憶媒体(例えば、ディスケットドライブ内のフロッピー(商標名)ディスク又はハードディスクドライブ又は任意のタイプの固体ランダムアクセス半導体メモリ)が含まれるが、これらに限定されない。
フィードバック制御及びフィードフォワード制御設計(すなわち、ソフトウェアルーチン)は、両方とも、基板の温度プロファイルを調整するためのプログラムコードによって実行される方法において利用することができる。現在の基板の処理からの計測データは、コントローラ(ルックアップテーブル、PID、MPC、又は反復学習コントローラのいずれか)にフィードバックされる。上流の計測データはまた、フィードフォワードコントローラルーチンに入力されてもよい。フィードバック又はフィードフォワードコントローラルーチンのいずれか又はその両方は、次の基板を処理するための所望のオフセット温度プロファイルを決定することができる。次に、オフセット温度プロファイルがモデルベースの温度チューナに入力され、チューナの結果は、温度プロファイル全体を通して個別の位置で決定されたオフセットを含む次の実行レシピパラメータを生成する。そのようなプロセスは、空間的に調整可能なヒータ及び冷却コンポーネントを調整することによって静電チャック温度の制御を伴うプロセス調整を可能にする。モデルベースの基板温度プロファイルは、主調整のための大きな抵抗ヒータを有するマルチゾーン温度制御静電チャックと、微細な分解制御のための小型の均一又は不均一なパターンの空間的に調整可能なヒータとを仮定すると可能になる。例えば、静電チャックは、4つのゾーンを形成する主ヒータと、4つのゾーンに重なる複数の小さな空間的に調整可能なヒータとを有することができる。基板温度モデルは物理的モデリングからを開発され、実験データによって較正される。製造時には、14ウェハが使用され、静電チャック間の変動及びRF時間の変動により、モデルを更に較正する。
プロセス調整温度プロファイル制御用のマルチゾーン及び高分解温度制御静電チャックは、加熱又は冷却又はその両方を行うことができる。静電チャックは、各々の空間的に調整可能なヒータが空間的に調整可能なヒータへのプログラミングされた電力出力に応じて固有の熱出力を有する空間的に調整可能なヒータを作動させるための不均一なパターンを有することができる。温度プロファイルが調整され、クリティカルディメンジョン(CD)の均一性の調整、次のCDの補償、エッチングレート(ER)の調整などを達成するためのプロセスを調整することができる。温度プロファイルの制御方法は、現在の計測データからフィードバックする、又は以前の計測データ又は上流プロセスデータからフィードフォワードすることができる。制御方法は、(非モデルベースである)反復学習制御に限定されない。PID、LUT(ルックアップテーブル)、又は高度なモデルベースの制御のような典型的な制御の調整を使用できる。
ESC毎の相違ならびにRF時間の依存性を考えると、マルチゾーンの主ヒータと、ESC内の空間的に調整可能なヒータによって達成される分解温度制御を使用して、一貫した基板温度を維持することができる。ESCのマルチゾーン及び分解温度制御を使用して、様々なチャンバ条件下で、基板の温度プロファイルをモデルプロファイルに一致させることができる。例えば、エッジゾーン温度制御を使用して、プロセスキットの浸食を最小限に抑える、又はエッジプロセスのドリフトを補償して、チャンバを洗浄する間の平均時間を長くすることができる。温度制御プロファイルの不均一な形状に影響を与える能力は、チャンバハードウェアの固有の不均一性を補正するように設計される。更に、空間的に調整可能なヒータは、リアルタイム温度プロファイルを開発するための温度又は熱流束の測定のためのプローブとして使用することができる。
図5は、第1の実施形態に係るプロセスレシピを使用して基板を処理するための方法500のフロー図であり、プロセスレシピはフィードフォワードコントローラルーチンを使用して決定される。フロー図は、コンピューティングデバイス(例えば、システム400によって記述されたもの)にソフトウェアルーチンとして実装することができる。空間的に調整可能なヒータは、独立して制御可能であり、上で処理される基板の温度プロファイルを決定する。コントローラは、各々の個別の空間的に調整可能なヒータに他のヒータとは別々に印加される電力のデューティサイクル、電圧、電流、持続時間のうちの少なくとも1以上を制御することによって、1つの空間的に調整可能なヒータによって生成される熱を他のヒータに対して変更することができる。空間的に調整可能なヒータに供給される電力はまた、上述したように複数のヒータ間で同時に供給されてもよいし、あるいはまた、個々の空間的に調整可能なヒータ間で順次走査されてもよい。ワークピース(例えば、基板118)は、基板支持アセンブリ上で処理することができる。例えば、基板は、(例えば、プラズマプロセスを使用して)真空チャンバ内で処理することができる。オプションとして処理チャンバ内のプラズマの存在下で実行することができる真空プロセスは、エッチングプロセス、化学気相堆積プロセス、物理気相堆積プロセス、イオン注入プロセス、プラズマ処理プロセス、アニーリングプロセス、酸化物除去プロセス、除害プロセス、又は他のプラズマプロセスのうちの1つとすることができる。ワークピースは、他の用途のために他の環境(例えば、大気条件)で温度制御された表面上で処理されてもよいと考えられる。
方法500は、プロセス感度、ターゲットプロセスデータ、及び上流計測プロセスデータのうちの1以上をフィードフォワードコントローラルーチンに入力することによる操作510で開始する。フィードフォワードコントローラルーチンは、上流計測データ、ターゲットプロセスデータ、及びプロセス感度を取り、所望のウェハ温度オフセットマップを直接出力するように設定される。操作520において、所望のウェハ温度オフセットマップが、入力されたデータから決定される。
操作530において、モデルウェハ温度調整パラメータが、プロセスレシピ及び温度オフセットマップから作成される。温度オフセットマップは、ウェハ温度及びプロセスレシピのフィードフォワードに基づく温度チューナへのフィードバックである。
操作540において、マルチゾーン温度ESCの加熱プロファイルが、モデルウェハ温度調整パラメータを使用して制御される。各々の空間的に調整可能なヒータの制御は、静電チャック210内で同時に実行することができ、空間的に調整可能なヒータの任意の選択が特定の温度プロファイルを迅速に生成可能にする。個々の空間的に調整可能なヒータに供給される電力の制御は、基板支持アセンブリ内に配置された調整ヒータコントローラへの光学的接続を介してインターフェース接続する外部コントローラを介して提供されてもよい。更に、調整ヒータコントローラは、別の空間的に調整可能なヒータを循環させ、更に他の空間的に調整可能なヒータを異なる重複時間間隔で循環させながら、1つの空間的に調整可能なヒータへ電力を同時に供給することができる。このようにして、空間的に制御可能なヒータは、モデルウェハ温度調整パラメータに一致させる基板支持体全域にわたる温度プロファイルを有することができる。
モデルベースのウェハ温度チューナは、その後、所望のプロセスパラメータを出力して新しいレシピを形成する。操作550では、レシピが実行され、基板はマルチゾーン温度ESC上で処理される。
図6は、フィードバックコントローラルーチンと共に空間的に調整可能なヒータを使用して基板を処理するための方法600の第2の実施形態である。プロセスは、プロセス感度、ターゲットプロセスデータ、及びk番目の実行計測プロセスデータが反復学習コントローラに入力される操作610で開始される。k番目の反復(ただし、k=1、2、...)では、フィードバックコントローラルーチンは、デルタ計測データの入力を有するように設定される。すなわち、k番目の実行計測データ、ターゲットプロセスデータが、温度感度及び基板温度データに対するプロセスに基づいて所望の基板温度プロファイルを得るように適用される。フィードバックコントローラルーチンは、反復学習コントローラ、又は他のタイプのコントローラ(例えば、LUT、PID、MPC、又は他の適切なコントローラ)であってもよい。
操作620において、所望の基板温度オフセットマップが、入力されたデータから決定される。所望の基板温度オフセットマップは、コントローラに対して入力となり、レシピパラメータを調整し(例えば、空間的に調整可能なヒータからの出力を修正するようにPWMコントローラに指示し)、これによって次の基板が所望の温度プロファイルのものにできるだけ近い温度プロファイルで実行される。反復は、所望のプロセス性能が達成されるまで行われている。このプロセスは、複数の基板を用いた複数回の反復を含むことができる。
操作630において、モデル基板温度調整パラメータは、プロセスレシピ及び温度オフセットマップから作成することができる。重要なレシピ出力(例えば、温度)は、新しい所望のプロセスターゲット及びプロセス感度が変化するたびに調整してもよい。
操作640において、マルチゾーン及び空間的に調整可能な温度の静電チャックの加熱プロファイルは、モデル基板温度調整パラメータで制御することができる。例えば、モデル基板温度調整パラメータは、いくつかの個々の空間的に調整可能なヒータへの一意に漸増する電力の増加を含み、更に他の個々の空間的に調整可能なヒータへの電力を減少させて、所望の温度プロファイルを達成する補正を実現することができる。
操作650において、プロセスは、K+1の基板上でK番目の反復レシピによって実行される。すなわち、プロセスレシピは、基板を測定した後に修正される。プロセスレシピは、その後、次の基板を処理するために使用される。いくつかの実施形態では、プロセスレシピは、基板の前のグループの測定値から決定することができる。例えば、基板をFOUP内に配置し、別の計測装置に移動させてもよい。レシピは、FOUP内で処理された最後の基板から決定されてもよい。あるいはまた、コントローラは、FOUP内の基板の計測の傾向を調べ、その傾向に基づいて現在のプロセスレシピを調整してもよい。
操作660において、(K+1)番目の基板のための計測データが決定される。計測データは、将来の使用のために、(例えば、APCの)データベースに格納されてもよい。操作670で、(K+1)番目の実行計測プロセスデータが反復学習コントローラに提供される。コントローラは、計測プロセスデータに基づいて現在のプロセスレシピ(K+2)番目を調整することができる。
図7は、基板を処理するための方法700のフロー図であり、フィードバック及びフィードフォワードコントローラルーチンを使用して、プロセスレシピが、空間的に調整可能なヒータに対して決定される。現在の基板用のプロセスレシピは、フィードバックの現在のプロセスデータ及びフィードフォワードの上流計測データの両方に基づいて修正されてもよい。
方法700は、プロセス感度、ターゲットプロセスデータ、及びk番目の実行計測プロセスデータが反復学習コントローラ(すなわち、フィードバックコントローラルーチン)に入力される操作710で開始する。操作715で、プロセス感度、ターゲットプロセスデータ、及び上流の計測データがフィードフォワードコントローラルーチンに入力される。
操作720において、所望の基板温度オフセットマップが、フィードフォワードコントローラルーチン及び反復学習コントローラルーチンからの入力データから作成される。したがって、現在のプロセスデータと上流計測データの両方が使用される。
操作730において、所望の温度オフセットマップに加えてプロセスレシピ及び温度オフセットマップからモデル基板温度調整パラメータが作成される。モデル基板調整パラメータを使用して、基板用の所望の温度プロファイルを達成するために個々の空間的に調整可能なヒータ用の所望の熱出力を決定する。
操作740において、マルチゾーン温度静電チャックの加熱プロファイルは、モデルウェハ温度調整パラメータを使用して制御される。PWMコントローラは、所望の温度プロファイルを達成するために、各々の個々の空間的に調整可能なヒータへの電力を調整する。1つの空間的に調整可能なヒータへの電力が調整されている間に、他の空間的に調整可能なヒータは、オフ又は所定の出力で保持することができる。あるいはまた、空間的に調整可能なヒータのうちの複数(例えば2つ、それ以上、又は全て)への電力を同時に調整してもよい。カスタマイズ可能な熱出力を得るために、各々の空間的に調整可能なヒータが個別に制御される140個以上の空間的に調整可能なヒータが存在してもよい。コントローラは、1つの空間的に調整可能なヒータ(例えば、空間的に調整可能なヒータ)に20パーセントの電力を供給して、そのヒータに隣接する第1の場所で約1℃の温度上昇を達成するようにPWMコントローラに指示してもよい。コントローラは、第2の空間的に調整可能なヒータ(例えば、空間的に調整可能なヒータ)に80パーセントの電力を供給して、そのヒータに隣接する第2の場所で約4℃の増加を達成するようにPWMに同時に指示してもよい。このようにして、100%までの空間的に調整可能なヒータを個別に同時に制御して、独自の温度プロファイルを作成することができる。
操作750において、K番目の反復レシピを用いたプロセスが、K+1の基板上で実行される。したがって、レシピは、各々の後続の基板に対して調整して、所望の結果を達成するように温度プロファイルを独自に調整することができる。
操作760において、(K+1)番目の基板のための計測データが決定される。操作770で、(K+1)番目の実行計測プロセスデータが、(K+2)番目の基板のための基板温度オフセットマップを決定する際に使用する反復学習コントローラルーチンに提供される。
有利には、プロセス調整は、クリティカルディメンジョンの均一性、次のクリティカルディメンジョンの補償、及びエッチングレートを制御することができる。空間的に調整可能なヒータは、ESC毎に様々なチャンバ環境で一貫した基板温度を維持する。空間的に調整可能なヒータを使用して、プロセスキットの浸食を最小限にするように構成されたエッジゾーン温度制御を促進する、又はエッジプロセスのドリフトを補償し、洗浄間の平均時間を長くすることができる。空間的に調整可能なヒータは、不均一な形状を有して、処理チャンバの固有の不均一性を補正することができる。更に、空間的に調整可能なヒータは、温度又は熱流束の測定のためのプローブとして使用される。このように、空間的に調整可能なヒータは、プロセス結果を調整して、時間経過による変動を補償する。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (20)

  1. 基板支持アセンブリ上で基板を処理する方法であって、
    主ヒータ及び複数の空間的に調整可能なヒータを有する基板支持アセンブリ上の第1の温度プロファイルを使用して第1の基板を処理する工程と、
    第1の基板を処理した結果からオフセット温度プロファイルを決定する工程と、
    オフセット温度プロファイルに応じて基板支持アセンブリ上で第2の温度プロファイルに第1の温度プロファイルを調整する工程であって、第2の温度プロファイルに調整する工程は、1以上の空間的に調整可能なヒータに供給される電力を増加させ、各々の空間的に調整可能なヒータは独立して制御可能であり、他の空間的に調整可能なヒータと異なる温度を提供する工程を含む工程と、
    第2の温度プロファイルを用いて基板支持アセンブリ上で第2の基板を処理する工程とを含む方法。
  2. オフセット温度プロファイルを決定する工程は、
    プロセス感度、ターゲットプロセスデータ、及び上流計測プロセスデータのうちの少なくとも1以上を含むデータをフィードフォワードコントローラルーチンに入力する工程と、
    入力されたデータから所望の基板温度オフセットマップを決定する工程と、
    プロセスレシピ及び基板温度オフセットマップからオフセット温度プロファイルを作成する工程とを含む、請求項1記載の方法。
  3. オフセット温度プロファイルを決定する工程は、
    反復学習コントローラルーチンに第1の実行計測プロセスデータを提供する工程と、
    プロセス感度、及びターゲットプロセスデータのうちの少なくとも1以上を含むデータを反復学習コントローラルーチンに入力する工程と、
    入力されたデータから所望のウェハ温度オフセットマップを決定する工程と、
    プロセスレシピ及び温度オフセットマップからオフセット温度プロファイルを作成する工程とを含む、請求項1記載の方法。
  4. オフセット温度プロファイルを決定する工程は、
    K番目の実行計測プロセスデータを反復学習コントローラルーチンに提供する工程と、
    プロセス感度、及びターゲットプロセスデータのうちの少なくとも1以上を含む処理データを反復学習コントローラルーチンに入力する工程と、
    入力されたデータから所望のウェハ温度オフセットマップを決定する工程と、
    プロセス感度、ターゲットプロセスデータ、及び上流計測データをフィードフォワードコントローラルーチンに入力する工程と、
    プロセスレシピ及び温度オフセットマップからオフセット温度プロファイルを作成する工程とを含む、請求項1記載の方法。
  5. 基板支持アセンブリの第1の温度プロファイルを調整する工程は、
    基板支持アセンブリのワークピース支持面全域にわたって分布した複数の個別の位置を加熱又は冷却する工程を更に含む、請求項1記載の方法。
  6. 空間的に調整可能なヒータは、同時に電力供給され、
    空間的に調整可能なヒータのうちの少なくとも2つは、異なるパーセント電力出力を有する、請求項1記載の方法。
  7. 第1の温度プロファイルを調整する工程は、
    約100%の電力出力を有する空間的に調整可能なヒータに対応する位置で、基板支持アセンブリのワークピース支持面を約5℃上昇させる工程を含む、請求項1記載の方法。
  8. プロセッサによって実行されると基板支持アセンブリ上の基板を処理するための操作を実行するプログラムを記憶したコンピュータ可読記憶媒体であって、操作は、
    主ヒータ及び空間的に調整可能なヒータを有する基板支持アセンブリ上の第1の温度プロファイルを使用して第1の基板を処理する工程と、
    第1の基板を処理した結果からオフセット温度プロファイルを決定する工程と、
    オフセット温度プロファイルに応じて基板支持アセンブリ上で第2の温度プロファイルに第1の温度プロファイルを調整する工程であって、第2の温度プロファイルに調整する工程は、1以上の空間的に調整可能なヒータに供給される電力を増加させ、各々の空間的に調整可能なヒータは独立して制御可能であり、他の空間的に調整可能なヒータと異なる温度を提供する工程を含む工程と、
    第2の温度プロファイルを用いて前記基板支持アセンブリ上で第2の基板を処理する工程とを含むコンピュータ可読記憶媒体。
  9. オフセット温度プロファイルを決定する工程は、
    プロセス感度、ターゲットプロセスデータ、及び上流計測プロセスデータのうちの少なくとも1以上を含むデータをフィードフォワードコントローラルーチンに入力する工程と、
    入力されたデータから所望の基板温度オフセットマップを決定する工程と、
    プロセスレシピ及び基板温度オフセットマップからオフセット温度プロファイルを作成する工程とを含む、請求項8記載のコンピュータ可読記憶媒体。
  10. オフセット温度プロファイルを決定する工程は、
    反復学習コントローラルーチンに第1の実行計測プロセスデータを提供する工程と、
    プロセス感度、及びターゲットプロセスデータのうちの少なくとも1以上を含むデータを反復学習コントローラルーチンに入力する工程と、
    入力されたデータから所望のウェハ温度オフセットマップを決定する工程と、
    プロセスレシピ及び温度オフセットマップからオフセット温度プロファイルを作成する工程とを含む、請求項8記載のコンピュータ可読記憶媒体。
  11. オフセット温度プロファイルを決定する工程は、
    K番目の実行計測プロセスデータを反復学習コントローラルーチンに提供する工程と、
    プロセス感度、及びターゲットプロセスデータのうちの少なくとも1以上を含む処理データを反復学習コントローラルーチンに入力する工程と、
    入力されたデータから所望のウェハ温度オフセットマップを決定する工程と、
    プロセス感度、ターゲットプロセスデータ、及び上流計測データをフィードフォワードコントローラルーチンに入力する工程と、
    プロセスレシピ及び温度オフセットマップからオフセット温度プロファイルを作成する工程とを含む、請求項8記載のコンピュータ可読記憶媒体。
  12. 基板支持アセンブリの第1の温度プロファイルを調整する工程は、
    基板支持アセンブリのワークピース支持面全域にわたって分布した複数の個別の位置を加熱又は冷却する工程を更に含む、請求項8記載のコンピュータ可読記憶媒体。
  13. 空間的に調整可能なヒータは、同時に電力供給され、
    空間的に調整可能なヒータのうちの少なくとも2つは、異なるパーセント電力出力を有する、請求項8記載のコンピュータ可読記憶媒体。
  14. 第1の温度プロファイルを調整する工程は、
    約100%の電力出力を有する空間的に調整可能なヒータに対応する位置で、基板支持アセンブリのワークピース支持面を約5℃上昇させる工程を含む、請求項8記載のコンピュータ可読記憶媒体。
  15. システムであって、
    プロセッサと、
    メモリを含み、メモリは、基板支持アセンブリ上の基板を処理するための操作を実行するように構成されたアプリケーションプログラムを含み、操作は、
    主ヒータ及び空間的に調整可能なヒータを有する基板支持アセンブリ上の第1の温度プロファイルを使用して第1の基板を処理する工程と、
    第1の基板を処理した結果からオフセット温度プロファイルを決定する工程と、
    オフセット温度プロファイルに応じて基板支持アセンブリ上で第2の温度プロファイルに第1の温度プロファイルを調整する工程であって、第2の温度プロファイルに調整する工程は、1以上の空間的に調整可能なヒータに供給される電力を増加させ、各々の空間的に調整可能なヒータは独立して制御可能であり、他の空間的に調整可能なヒータと異なる温度を提供する工程を含む工程と、
    第2の温度プロファイルを用いて前記基板支持アセンブリ上で第2の基板を処理する工程とを含むシステム。
  16. オフセット温度プロファイルを決定する工程は、
    プロセス感度、ターゲットプロセスデータ、及び上流計測プロセスデータのうちの少なくとも1以上を含むデータをフィードフォワードコントローラルーチンに入力する工程と、
    入力されたデータから所望の基板温度オフセットマップを決定する工程と、
    プロセスレシピ及び基板温度オフセットマップからオフセット温度プロファイルを作成する工程とを含む、請求項15記載のシステム。
  17. オフセット温度プロファイルを決定する工程は、
    反復学習コントローラルーチンに第1の実行計測プロセスデータを提供する工程と、
    プロセス感度、及びターゲットプロセスデータのうちの少なくとも1以上を含むデータを反復学習コントローラルーチンに入力する工程と、
    入力されたデータから所望のウェハ温度オフセットマップを決定する工程と、
    プロセスレシピ及び温度オフセットマップからオフセット温度プロファイルを作成する工程とを含む、請求項15記載のシステム。
  18. オフセット温度プロファイルを決定する工程は、
    K番目の実行計測プロセスデータを反復学習コントローラルーチンに提供する工程と、
    プロセス感度、及びターゲットプロセスデータのうちの少なくとも1以上を含む処理データを反復学習コントローラルーチンに入力する工程と、
    入力されたデータから所望のウェハ温度オフセットマップを決定する工程と、
    プロセス感度、ターゲットプロセスデータ、及び上流計測データをフィードフォワードコントローラルーチンに入力する工程と、
    プロセスレシピ及び温度オフセットマップからオフセット温度プロファイルを作成する工程とを含む、請求項15記載のシステム。
  19. 基板支持アセンブリの第1の温度プロファイルを調整する工程は、
    基板支持アセンブリのワークピース支持面全域にわたって分布した複数の個別の位置を加熱又は冷却する工程を更に含む、請求項15記載のシステム。
  20. 空間的に調整可能なヒータは、同時に電力供給され、
    空間的に調整可能なヒータのうちの少なくとも2つは、異なるパーセント電力出力を有する、請求項15記載のシステム。
JP2017554579A 2015-05-22 2016-01-13 方位角方向に調整可能なマルチゾーン静電チャック Active JP6806704B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562165572P 2015-05-22 2015-05-22
US62/165,572 2015-05-22
PCT/US2016/013156 WO2016190905A1 (en) 2015-05-22 2016-01-13 Azimuthally tunable multi-zone electrostatic chuck

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019141139A Division JP2020021939A (ja) 2015-05-22 2019-07-31 方位角方向に調整可能なマルチゾーン静電チャック

Publications (2)

Publication Number Publication Date
JP2018522393A JP2018522393A (ja) 2018-08-09
JP6806704B2 true JP6806704B2 (ja) 2021-01-06

Family

ID=57393586

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017554579A Active JP6806704B2 (ja) 2015-05-22 2016-01-13 方位角方向に調整可能なマルチゾーン静電チャック
JP2019141139A Pending JP2020021939A (ja) 2015-05-22 2019-07-31 方位角方向に調整可能なマルチゾーン静電チャック

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019141139A Pending JP2020021939A (ja) 2015-05-22 2019-07-31 方位角方向に調整可能なマルチゾーン静電チャック

Country Status (6)

Country Link
US (2) US10440777B2 (ja)
JP (2) JP6806704B2 (ja)
KR (2) KR102321919B1 (ja)
CN (2) CN113675115A (ja)
TW (2) TWI677049B (ja)
WO (1) WO2016190905A1 (ja)

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102302723B1 (ko) * 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6806704B2 (ja) * 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10381248B2 (en) * 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10763142B2 (en) * 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10908195B2 (en) * 2016-06-15 2021-02-02 Watlow Electric Manufacturing Company System and method for controlling power to a heater
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10763141B2 (en) * 2017-03-17 2020-09-01 Applied Materials, Inc. Non-contact temperature calibration tool for a substrate support and method of using the same
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2019112652A1 (en) * 2017-06-15 2019-06-13 Watlow Electric Manufacturing Company System and method for controlling power to a heater
US10361099B2 (en) * 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102435888B1 (ko) * 2017-07-04 2022-08-25 삼성전자주식회사 정전 척, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
US10651065B2 (en) * 2017-12-06 2020-05-12 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10903097B2 (en) * 2018-03-30 2021-01-26 Axcelis Technologies, Inc. In-situ wafer temperature measurement and control
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
WO2019226252A1 (en) * 2018-05-24 2019-11-28 Applied Materials, Inc. Virtual sensor for spatially resolved wafer temperature control
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10698392B2 (en) * 2018-06-22 2020-06-30 Applied Materials, Inc. Using graphics processing unit for substrate routing and throughput modeling
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
WO2020010153A1 (en) 2018-07-05 2020-01-09 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102225682B1 (ko) * 2018-09-28 2021-03-12 세메스 주식회사 기판의 열처리 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11367645B2 (en) * 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11562913B2 (en) * 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11004710B2 (en) * 2019-06-04 2021-05-11 Applied Materials, Inc. Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
WO2021030833A1 (en) * 2019-08-09 2021-02-18 Lam Research Corporation Model based control of wafer non-uniformity
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
TWI767398B (zh) * 2019-11-04 2022-06-11 美商瓦特洛威電子製造公司 用於監測半導體處理系統之系統及方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210081729A (ko) * 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11353364B2 (en) 2020-03-02 2022-06-07 Lam Research Corporation Thermal imaging for within wafer variability feedforward or feedback information
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006952A (ko) * 2020-07-09 2022-01-18 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11749542B2 (en) * 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
JP7311469B2 (ja) * 2020-08-11 2023-07-19 Ckd株式会社 気化器
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US20220170159A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Multi-zone heater tuning in substrate heater
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20220415694A1 (en) * 2021-06-29 2022-12-29 Asm Ip Holding B.V. Electrostatic chuck, assembly including the electrostatic chuck, and method of controlling temperature of the electrostatic chuck
JP7213592B1 (ja) 2021-08-19 2023-01-27 アダプティブ プラズマ テクノロジー コーポレーション 多重加熱領域構造の静電チャック
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024069684A1 (ja) * 2022-09-26 2024-04-04 株式会社日立ハイテク 半導体デバイスの製造システム及び製造方法
CN116200730A (zh) * 2023-03-03 2023-06-02 福建华佳彩有限公司 一种等离子增强型化学气相沉积的接地线结构

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JPH11111823A (ja) * 1997-10-06 1999-04-23 Dainippon Screen Mfg Co Ltd 基板熱処理装置
DE19907497C2 (de) * 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6345211B1 (en) 1999-03-08 2002-02-05 Advanced Micro Devices, Inc. Method of using critical dimension mapping to optimize speed performance of microprocessor produced using an integrated circuit manufacturing process
US6238936B1 (en) 1999-03-08 2001-05-29 Advanced Micro Devices, Inc. Method of using critical dimension mapping to qualify a new integrated circuit fabrication etch process
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
JP2001118662A (ja) * 1999-08-09 2001-04-27 Ibiden Co Ltd セラミックヒータ
ATE301916T1 (de) * 1999-11-19 2005-08-15 Ibiden Co Ltd Keramisches heizgerät
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US20030074098A1 (en) 2001-09-18 2003-04-17 Cheung Robin W. Integrated equipment set for forming an interconnect on a substrate
US6641746B2 (en) 2001-09-28 2003-11-04 Agere Systems, Inc. Control of semiconductor processing
JP3856293B2 (ja) * 2001-10-17 2006-12-13 日本碍子株式会社 加熱装置
US6721616B1 (en) 2002-02-27 2004-04-13 Advanced Micro Devices, Inc. Method and apparatus for determining control actions based on tool health and metrology data
US6858361B2 (en) 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US6943053B2 (en) 2002-03-20 2005-09-13 Applied Materials, Inc. System, method and medium for modeling, monitoring and/or controlling plasma based semiconductor manufacturing processes
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6895295B1 (en) 2002-05-06 2005-05-17 Advanced Micro Devices, Inc. Method and apparatus for controlling a multi-chamber processing tool
US6924088B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
TWI239032B (en) 2002-06-28 2005-09-01 Tokyo Electron Ltd Method and system for controlling a process using material processing tool and performance data
US7067333B1 (en) 2002-06-28 2006-06-27 Advanced Micro Devices, Inc. Method and apparatus for implementing competing control models
US20040077160A1 (en) 2002-10-22 2004-04-22 Koninklijke Philips Electronics N.V. Method to control dimensions of features on a substrate with an organic anti-reflective coating
US7265382B2 (en) 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7482178B2 (en) 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US20050064714A1 (en) 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process
KR100548998B1 (ko) 2003-09-25 2006-02-02 삼성전자주식회사 동일레벨에 퓨즈와 커패시터를 갖는 반도체소자 및 그것을제조하는 방법
US7094613B2 (en) 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7020535B1 (en) 2003-11-06 2006-03-28 Advanced Micro Devices, Inc. Method and apparatus for providing excitation for a process controller
KR100666039B1 (ko) * 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
US6999848B2 (en) 2003-12-19 2006-02-14 Intel Corporation Process control apparatus, systems, and methods
US7250309B2 (en) 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7306746B2 (en) 2004-01-30 2007-12-11 Taiwan Semiconductor Manufacturing Co. Ltd. Critical dimension control in a semiconductor fabrication process
US7328418B2 (en) 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7446854B2 (en) * 2006-02-07 2008-11-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7567700B2 (en) 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
TWI424524B (zh) * 2006-10-04 2014-01-21 Applied Materials Inc 電漿腔室中用於基板夾持之設備與方法
JP5165878B2 (ja) 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
JP4391518B2 (ja) * 2006-12-28 2009-12-24 東京エレクトロン株式会社 温度制御方法、調整装置、温度調節器、プログラム、記録媒体および加熱処理装置
US7801635B2 (en) 2007-01-30 2010-09-21 Tokyo Electron Limited Real-time parameter tuning for etch processes
US7517708B2 (en) 2007-01-30 2009-04-14 Tokyo Electron Limited Real-time parameter tuning using wafer temperature
US7642102B2 (en) 2007-01-30 2010-01-05 Tokyo Electron Limited Real-time parameter tuning using wafer thickness
US20080228308A1 (en) * 2007-03-13 2008-09-18 Tokyo Electron Limited Critical dimension uniformity optimization
JP5064069B2 (ja) * 2007-03-20 2012-10-31 株式会社Sokudo 基板搬送装置および熱処理装置
US7534725B2 (en) 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
US8409993B2 (en) 2007-06-07 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for controlling copper chemical mechanical polish uniformity
US7713758B2 (en) 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US8232212B2 (en) 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US8394722B2 (en) 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
CN102308380B (zh) * 2009-02-04 2014-06-04 马特森技术有限公司 用于径向调整衬底的表面上的温度轮廓的静电夹具系统及方法
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US20100241250A1 (en) 2009-03-18 2010-09-23 TECH Semiconductor Singapore Ptd Ltd Feedback and feedforward control of a semiconductor process without output values from upstream processes
US8236700B2 (en) 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
CN105428295B (zh) 2009-12-15 2020-08-11 朗姆研究公司 调节基板温度来改进关键尺寸(cd)的均匀性
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10049903B2 (en) * 2011-08-30 2018-08-14 Watlow Electric Manufacturing Company Method of manufacturing a high definition heater system
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
CN107078082B (zh) * 2014-10-31 2021-04-09 沃特洛电气制造公司 用于加热器的热动态响应感测系统
JP2015092580A (ja) * 2014-11-28 2015-05-14 株式会社日立ハイテクノロジーズ 試料の温度を制御する温度制御装置、試料を載置する試料台及びこれらを備えたプラズマ処理装置
JP6806704B2 (ja) * 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US10971384B2 (en) 2018-09-13 2021-04-06 Lam Research Corporation Auto-calibrated process independent feedforward control for processing substrates
US11056405B2 (en) 2018-09-14 2021-07-06 Lam Research Corporation Methods and systems for controlling wafer fabrication process

Also Published As

Publication number Publication date
TW202015171A (zh) 2020-04-16
KR20190071016A (ko) 2019-06-21
US20160345384A1 (en) 2016-11-24
WO2016190905A1 (en) 2016-12-01
TWI677049B (zh) 2019-11-11
JP2018522393A (ja) 2018-08-09
CN113675115A (zh) 2021-11-19
KR20180011119A (ko) 2018-01-31
US11622419B2 (en) 2023-04-04
CN107636817B (zh) 2021-08-27
TWI731429B (zh) 2021-06-21
CN107636817A (zh) 2018-01-26
JP2020021939A (ja) 2020-02-06
KR102321919B1 (ko) 2021-11-03
US10440777B2 (en) 2019-10-08
US20200037399A1 (en) 2020-01-30
TW201642384A (zh) 2016-12-01

Similar Documents

Publication Publication Date Title
JP6806704B2 (ja) 方位角方向に調整可能なマルチゾーン静電チャック
TWI781426B (zh) 半導體製造中基於多區加熱器模型的控制
JP7369201B2 (ja) 温度調整可能なマルチゾーン静電チャック
CN108335999B (zh) 基板处理装置、温度控制方法以及存储介质
CN105183031A (zh) 半导体衬底支撑组件温控板中失灵热控元件的自动校正
KR20180073477A (ko) 열처리 장치, 열처리 방법 및 컴퓨터 기억 매체
TWI381453B (zh) Heat treatment apparatus, heat treatment method and memory medium
JP6986947B2 (ja) 基板処理装置、温度制御方法及び温度制御プログラム
KR102650773B1 (ko) 기판 처리 방법
TWI837299B (zh) 可調溫式多區域靜電卡盤
CN115885371A (zh) 用于对基板的温度控制的方法和系统
CN110164746A (zh) 基板处理装置、流量控制方法以及记录介质

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180710

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181009

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190110

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190402

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20190731

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200331

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200407

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200414

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20200616

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200916

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20201027

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20201201

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20201201

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201204

R150 Certificate of patent or registration of utility model

Ref document number: 6806704

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250