US20050211385A1 - Method and apparatus for controlling spatial temperature distribution - Google Patents

Method and apparatus for controlling spatial temperature distribution Download PDF

Info

Publication number
US20050211385A1
US20050211385A1 US11/004,179 US417904A US2005211385A1 US 20050211385 A1 US20050211385 A1 US 20050211385A1 US 417904 A US417904 A US 417904A US 2005211385 A1 US2005211385 A1 US 2005211385A1
Authority
US
United States
Prior art keywords
temperature
flat support
chuck
base
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/004,179
Inventor
Neil Benjamin
Robert Steger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/062,395 external-priority patent/US6847014B1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US11/004,179 priority Critical patent/US20050211385A1/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BENJAMIN, NEIL, STEGER, ROBERT
Publication of US20050211385A1 publication Critical patent/US20050211385A1/en
Priority to CNA2005800472891A priority patent/CN101111934A/en
Priority to SG10201408008QA priority patent/SG10201408008QA/en
Priority to KR1020077014977A priority patent/KR101109440B1/en
Priority to CN2010106228155A priority patent/CN102122607B/en
Priority to SG10201609601XA priority patent/SG10201609601XA/en
Priority to PCT/US2005/043801 priority patent/WO2006068805A1/en
Priority to JP2007544574A priority patent/JP2008522446A/en
Priority to SG200907998-9A priority patent/SG158101A1/en
Priority to TW094142661A priority patent/TWI481297B/en
Priority to US12/436,443 priority patent/US8963052B2/en
Priority to JP2011176261A priority patent/JP2011244011A/en
Priority to JP2014055288A priority patent/JP2014146822A/en
Priority to US14/594,648 priority patent/US9824904B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the present invention relates to substrate support. More particularly, the present invention relates to a method and apparatus for achieving uniform temperature distribution within a substrate during plasma processing.
  • a typical plasma etching apparatus comprises a reactor in which there is a chamber through which reactive gas or gases flow. Within the chamber, the gases are ionized into a plasma, typically by radio frequency energy. The highly reactive ions of the plasma gas are able to react with material, such as a polymer mask on a surface of a semiconductor wafer being processed into integrated circuits (IC's). Prior to etching, the wafer is placed in the chamber and held in proper position by a chuck or holder which exposes a top surface of the wafer to the plasma.
  • chucks also sometimes called susceptors
  • the chuck provides an isothermal surface and serves as a heat sink for the wafer removing heat imparted to the wafer by the plasma.
  • the reactive ions of the plasma gas chemically react with portions of material on a face of the semiconductor wafer.
  • Some processes cause some degree of heating of the wafer, but most of the heating is caused by the plasma.
  • the reaction between the plasma (ions and radicals) and wafer material is accelerated to some degree by the temperature rise of the wafer.
  • Local wafer temperature and rate of reaction at each microscopic point on the wafer are related to an extent that harmful unevenness in etching of material over a face of the wafer can easily result if the temperature of the wafer across its area varies too much.
  • FIG. 1 illustrates one way to control wafer temperature during RIE.
  • a coolant gas such as helium
  • a coolant gas is admitted at a single pressure within a single thin space 102 between the bottom of the wafer 104 and the top of the chuck 106 which holds the wafer 104 .
  • FIG. 2 illustrates a typical temperature distribution on the wafer 104 .
  • the pressure loss at the peripheral portions of the wafer 104 causes the wafer 104 to be much hotter at the peripheral portions.
  • One way of dealing with the need for zone cooling is to vary the surface roughness or to cut a relief pattern to effectively change the local contact area. Such a scheme can be used without backside coolant gas at all, in which case the contact area, surface roughness, and clamp force determine the heat transfer. However the local contact area can only be adjusted by re-machining the chuck.
  • Another way of dealing with the need for zone cooling is to use coolant gas whose pressure is varied to increase and fine tune thermal transport.
  • the relief pattern is still substantially fixed.
  • the gas supply to each zone may have different composition or be set to a different pressure, thus varying the thermal conduction.
  • Each zone's operating conditions may be set under recipe control, or even dynamically stabilized during each process step.
  • Such schemes depend on redistributing the incoming heat flux from the plasma and extracting it into different regions. This is relatively effective at high power flux but will only give small temperature differentials at lower power flux. For instance, with about 1 W per cm 2 of uniform flux and about 3 mm sealing land, it is possible to get center to edge thermal gradients that lead to a 10° C. to 30° C. temperature increase near the wafer periphery. Thermal gradients of this magnitude can be very effective as a process control parameter.
  • a primary purpose of the present invention is to solve these needs and provide further, related advantages.
  • a chuck for a plasma processor comprises a temperature-controlled base, a thermal insulator, a flat support, and a heater.
  • the temperature-controlled base is controlled in operation a temperature below the desired temperature of a workpiece.
  • the thermal insulator is disposed over at least a portion of the temperature-controlled base.
  • the flat support holds a workpiece and is disposed over the thermal insulator.
  • a heater is embedded within the flat support and/or mounted to an underside of the flat support.
  • the heater includes a plurality of heating elements that heat a plurality of corresponding heating zones. The power supplied and/or temperature of each heating element is controlled independently.
  • the heater and flat support have a combined temperature rate change of at least 1° C. per second.
  • FIG. 1 is a schematic elevational diagram of a support holding a wafer under process in accordance with the prior art
  • FIG. 2 is a plot illustrating the temperature of a wafer and the pressure of a coolant in the apparatus of FIG. 1 in accordance with the prior art
  • FIG. 3 is a schematic elevational diagram illustrating an apparatus for controlling the temperature of a workpiece in accordance with one embodiment of the present invention
  • FIG. 4 illustrates a simplified schematic of thermal flow dynamic in the apparatus of FIG. 3 ;
  • FIG. 5 is a schematic elevational diagram illustrating an apparatus for controlling the temperature of a workpiece in accordance with another embodiment of the present invention.
  • FIG. 6 is a flow diagram illustrating a method for controlling the temperature of a chuck during etching in accordance with one embodiment of the present invention.
  • FIG. 7 is a schematic diagram of a system for controlling the temperature of a chuck in accordance with one embodiment of the present invention.
  • FIG. 8 is a schematic diagram illustrating an example of a wafer support have two spatial regional zones in accordance with one embodiment of the present invention.
  • FIG. 3 is a schematic elevational diagram illustrating an apparatus for controlling the temperature of a workpiece in accordance with one embodiment of the present invention.
  • a temperature-controlled base 302 or a heat exchanger has a constant temperature below the desired temperature of a wafer 310 .
  • the base 302 supports a thermal insulator 304 .
  • a support 306 preferably flat, is mounted on top of the thermal insulator 304 .
  • a heater 308 is embedded in the support 306 .
  • a wafer 310 is disposed on top of the support 306 .
  • a thermal conductor 312 provides an intimate thermal contact between the support 306 and the wafer 310 .
  • the thermal conductor 312 may be preferably a gas, such as helium.
  • the pressure of the helium controls the thermal conduction between the wafer 310 and the support 306 .
  • the thermal conductivity of the thermal conductor 312 may be less pressure sensitive at higher pressure such as 20 or 30 Torr.
  • the base 302 comprises a metallic material, preferably an aluminum base cold plate, that is maintained at a relatively constant temperature and is held in operation at a laterally uniform temperature through a conventional heat exchange system such as a cooling/heating fluid loop.
  • the base 302 may also comprise a non-metallic material, such as aluminum nitrate.
  • the base 302 must be chilled to a greater extent than in standard operation without the heater 308 .
  • the temperature of the base 302 may be 10° C. to 50° C. below the desired temperature of the wafer 310 .
  • the base 302 also provides a thermal sink for plasma heating.
  • An external coolant chiller (not shown) may be used to maintain the temperature of the base 302 .
  • the amount of heat removed by the external coolant chiller and the temperature of the coolant may be limited to less than 2000 W and ⁇ 20° C., respectively.
  • the greater capacity of the chiller side helps with the thermal response—it may be more economically practical to limit one to two kW operation.
  • the base 302 further have several holes or cavities (not shown) through which heater power lines 314 or other service lines are disposed.
  • Such service lines 314 may comprise power lines for the heater, sensors, high voltage electrostatic clamping, gas feed, and wafer lifting.
  • the thermal insulator 304 acts as a significant thermal impedance break between the support 306 and the base 302 .
  • the thermal insulator 304 may comprise a thick RTV bonding adhesive layer, or be made of polymer, plastic, or ceramic.
  • the thermal impedance break of the thermal insulator 304 cannot be too excessive otherwise the wafer 310 will be insufficiently cooled.
  • the thermal insulator may for example have a thermal conductivity of a range of about 0.05 W/mK to about 0.20 W/mK.
  • the thermal insulator 304 in this case both acts as a thermal resistive element and a bond between the support 306 and the base 302 .
  • thermal insulator 304 must be such that adequate RF coupling between the plasma and the base 302 is maintained. Also, the thermal insulator 304 must tolerate significant thermal-mechanical shear due to different materials and temperatures located above and below the layer. Thermal insulator 304 may further incorporate several cavities or vias (not shown) contiguous to the cavities of the base 302 for housing parts of the heater power lines 314 and other service lines.
  • the support 306 comprises a ceramic material.
  • the ceramic may be a non-electrically conductive material, such as, for example, the ceramic alumina.
  • the shape of the support 306 may preferably include a conventional disk commonly used in plasma etching systems.
  • the support 306 may be a conventional electrostatic chuck or may be a ceramic having a mechanical clamp for holding down the wafer 310 .
  • the support 306 construction is of a “thin disk bonded to a base” type, otherwise the lateral conduction may be so high that the heater input will be spread laterally resulting in an ineffective zone separation. The support 306 should allow the heat to dissipate locally.
  • the heater 308 comprises at least one resistive heating element.
  • the heater 308 may be embedded in the support 306 below the clamp electrode plane and be shaped in any desirable pattern, for example, symmetrical or arbitrary.
  • the heater 308 may also include one or more planar heating elements. Each heating element defines a heating zone or region that may be controlled independently.
  • the multi-zone pattern has one or more planar heating elements acting in opposition to the conduction cooling to the support 306 .
  • the temperature rate change caused by the heater 308 to the support 306 may be at least 1° C. per second.
  • At least one sensor 309 associated with each heating zone may measure the temperature of each heating zone and send a signal to a controller or computer system (see FIG. 7 ) to monitor and control each individual planar heating element.
  • the sensor may be an infrared emission sensor or thermo-couple sensor that can be mounted either through ports to read directly from the wafer 310 .
  • the sensors 309 can also be mounted within or to the back of the support 306 .
  • the heater 308 may be powered by power lines 312 disposed through openings 314 in the thermal insulator 304 and the base 302 .
  • heater 308 comprises an inductive heater.
  • heater 308 comprises a heating lamp, such as a krypton or quartz lamp.
  • heater 308 comprises thermoelectric modules that can cool or heat. With thermoelectric modules, a base and a thermal break may be optional.
  • FIG. 4 illustrates a simplified schematic of thermal flow dynamic in the apparatus of FIG. 3 .
  • the incoming plasma heat flux Q 1 contributes to the temperature T 1 on the surface of the wafer 310 .
  • Heater 308 provides additional heat flux Q 3 to the wafer support 306 and thereby to the wafer 310 .
  • the flux Q 2 exiting the system through the support 306 and thermal insulator 304 to the cooled base 302 is approximately equal to both incoming flux Q 1 and Q 3 . Therefore: Q 1 + Q 3 ⁇ Q 2
  • T 2 T 1 + ⁇ T
  • ⁇ T is defined by the thermal conductivity of the thermal insulator 304 .
  • the additional heat flux Q 3 which is produced by heater 308 , thus controls ⁇ T. Therefore, the power supplied to the heater 308 can be adjusted so as to produce a desired temperature T 1 on the surface of the wafer for a range of Q 1 .
  • the temperature of the base 302 is set to produce an exiting flux Q 2 of approximately half of the maximum incoming flux of Q 3 when there are no incoming flux Q 1 and the maximum flux of Q 3 is approximately equal to the maximum flux of Q 1 : Q 2 ⁇ 1 ⁇ 2Q 3 max
  • FIG. 5 illustrates another embodiment of the chuck.
  • a chuck for a plasma processor has a temperature-controlled base 502 having a temperature below the desired temperature of a wafer 504 .
  • a layer of thermal insulation material 506 is disposed over the base 502 .
  • a flat support 508 used for holding the wafer 504 is disposed on top of the layer of thermal insulation material 506 .
  • a heater 510 is mounted to an underside of the flat support 508 .
  • the base 502 and layer 506 of thermal insulation material may further include holes or cavities (not shown) through which heater power lines 514 or other service lines are disposed.
  • Such service lines 514 may comprise power lines for the heater, sensors, high voltage electrostatic clamping. Those of ordinary skills in the art will recognize that the service lines are not limited to the ones previously cited.
  • the heater 510 may be powered by power lines 312 disposed through openings 514 in the thermal insulator 506 and the base 502 .
  • the heater 510 includes at least one resistive heating element.
  • the heater 510 may be mounted to an underside of the support 508 and be shaped in any desirable pattern, for example, symmetrical or arbitrary. (See FIG. 8 for example).
  • the heater 510 may include one or more planar heating elements. Each heating element may define a heating zone or region that may be controlled independently.
  • the multi-zone pattern has one or more planar heating elements acting in opposition to the conduction cooling to the support 508 .
  • At least one sensor 516 associated with each heating zone may measure the temperature of each heating zone and send a signal to a controller or computer system (see FIG. 7 ) to monitor and control each individual planar heating element.
  • the sensor may be an infrared emission sensor or thermo-couple sensor that can be mounted either through ports to read directly from the wafer 504 .
  • the sensors 516 may be embedded within the support 508 .
  • FIG. 8 illustrates an example of a support 508 having dual heating region: inner region 802 and outer region 804 .
  • Each region may be independently heated by its own set of heaters (not shown).
  • the support may includes regions geometrically defined in many other ways.
  • etching processes are used, for example, where a photoresist mask is used to etch a nitrite layer which is in turn used as an etching mask for sub-sequent layers.
  • etching of particular layers is enhanced with processing conditions which change during the execution of the etch. In particular, it is often desirable to execute one portion of the etching process at an initial temperature and subsequently change the temperature in later steps within this recipe so as to provide optimum etching conditions for the particular layer being etched.
  • etching process conditions are far more temperature sensitive than other process conditions and as such, it is desirable to be able to alter the wafer temperature step-by-step within an etch recipe, either to compensate for or to utilize, this temperature sensitivity of the etching process.
  • the relative etch rates vertically and laterally differ with temperature under some processing conditions, and this effect can be used to alter that tapered angle of the etch by altering the wafer temperature as the etching process progresses.
  • the local concentration of reactance varies across the wafer such that the lateral etch rate varies across the wafer as well. This leads to variations in the etched feature dimensions across the wafer, which is generally undesirable. It has been observed that by using the temperature sensitivity of the lateral etch rate it is possible to induce a radial temperature gradient by altering the wafer support zone temperatures so as to induce a radial temperature gradient and thereby compensate for this variation in the local reactant concentration, producing conditions that result in constant feature dimensions across the entire wafer.
  • the duration of typical etching recipes is from approximately 20 seconds to approximately two minutes, and a typical recipe will have several steps within the recipe. As such, it is necessary to be able to alter a wafer support zone temperature within a few seconds for multistep temperature control. In most cases of interest, these temperature changes within a recipe are less than approximately 10° C. It is therefore desirable to be able to change zone temperatures at a rate of approximately 0.3° C. per second, and preferably to be able to change zone temperatures at a rate of 1° C./sec or faster.
  • the basic design criteria for a fast ESC is that the thermal mass of the ceramic ESC be small and that the heater power density be large. It is also desirable that the thermal resistance of the thermal layer 304 below the ESC have relatively low thermal conductivity. Thus, the thickness of the ESC, the heater power density, and the thermal resistance are selected so as to permit temperature changes faster than about 1° C./sec.
  • FIG. 6 illustrates a flow diagram implementing the above solution by spatially but also temporally controlling the temperature of each region of a flat support during an etching process.
  • FIG. 6 also illustrates a method for processing a wafer during an etching process.
  • a base is provided.
  • the base is maintained at a constant temperature that is below the temperature of the wafer to be processed.
  • a layer of thermal insulation material is mounted on top of the base.
  • the wafer is held against a top face of a flat support which includes distinct spatial regions.
  • the flat support is mounted on top of the layer of thermal insulation material.
  • each spatial region of said flat support is independently heated to an initial temperature with at least one heater mounted to an underside of the flat support or embedded within the flat support.
  • the initial temperature for each region may differ from one another.
  • the temperature of at least one spatial region of the flat support during the etching process is altered to another temperature at a rate of at least 1° C. per second.
  • the final temperature for each region may differ from one another.
  • the temperature of each spatial region may be further monitored with a sensor placed inside each spatial region.
  • the signal generated by the sensors may be used to adjust the temperature of each spatial region by changing the power supplied to the heaters.
  • FIG. 7 is a schematic diagram of a system for controlling the temperature of a chuck in accordance with one embodiment of the present invention.
  • a user 702 may define a set of parameters to a computer 704 .
  • Such set of parameters may be, for example, the desired temperature of a first zone on the chuck, the desired temperature of a second zone on the chuck.
  • the computer 704 communicates with a storage component 706 storing the algorithm of FIG. 6 , inputs and outputs of computer 704 .
  • a first set of sensors 708 measures the first zone on the chuck.
  • a second set of sensors 710 measures the second zone on the chuck.
  • computer 704 Based on the temperature measurement of the first set of sensors 708 , computer 704 sends controls to the first set of heating elements 712 to adjust the temperature of the first zone on the chuck. Based on the temperature measurement of the second set of sensors 710 , computer 704 sends controls to the second set of heating elements 714 to adjust the temperature of the second zone on the chuck.

Abstract

A chuck for a plasma processor comprises a temperature-controlled base, a thermal insulator, a flat support, and a heater. The temperature-controlled base is controlled in operation a temperature below the desired temperature of a workpiece. The thermal insulator is disposed over at least a portion of the temperature-controlled base. The flat support holds a workpiece and is disposed over the thermal insulator. A heater is embedded within the flat support and/or mounted to an underside of the flat support. The heater includes a plurality of heating elements that heat a plurality of corresponding heating zones. The power supplied and/or temperature of each heating element is controlled independently. The heater and flat support have a combined temperature rate change of at least 1° C. per second.

Description

    CROSS-REFERENCES
  • This is a continuation-in-part of U.S. patent application Ser. No. 10/062,395, filed Feb. 1, 2002, which is, in turn, a continuation-in-part of U.S. patent application Ser. No. 09/846,432, filed Apr. 30, 2001, in the name of inventors Neil Benjamin and Robert Steger, entitled “Method and Apparatus for controlling the spatial temperature distribution across the surface of a workpiece support”, commonly assigned herewith.
  • FIELD OF THE INVENTION
  • The present invention relates to substrate support. More particularly, the present invention relates to a method and apparatus for achieving uniform temperature distribution within a substrate during plasma processing.
  • BACKGROUND OF THE INVENTION
  • A typical plasma etching apparatus comprises a reactor in which there is a chamber through which reactive gas or gases flow. Within the chamber, the gases are ionized into a plasma, typically by radio frequency energy. The highly reactive ions of the plasma gas are able to react with material, such as a polymer mask on a surface of a semiconductor wafer being processed into integrated circuits (IC's). Prior to etching, the wafer is placed in the chamber and held in proper position by a chuck or holder which exposes a top surface of the wafer to the plasma. There are several types of chucks (also sometimes called susceptors) known in the art. The chuck provides an isothermal surface and serves as a heat sink for the wafer removing heat imparted to the wafer by the plasma. In one type of chuck, a semiconductor wafer is held in place for etching by mechanical clamping means. In another type of chuck, a semiconductor wafer is held in place by electrostatic force generated by an electric field between the chuck and wafer. The present invention is applicable to both these types of chucks.
  • In a typical plasma etching operation, the reactive ions of the plasma gas chemically react with portions of material on a face of the semiconductor wafer. Some processes cause some degree of heating of the wafer, but most of the heating is caused by the plasma. The reaction between the plasma (ions and radicals) and wafer material, on the other hand, is accelerated to some degree by the temperature rise of the wafer. Local wafer temperature and rate of reaction at each microscopic point on the wafer are related to an extent that harmful unevenness in etching of material over a face of the wafer can easily result if the temperature of the wafer across its area varies too much. In most cases, it is highly desirable that etching be uniform to a nearly perfect degree since otherwise the integrated circuit devices (ICs) being fabricated will have electronic characteristics that deviate from the norm more than is desirable. Furthermore, with each increase in the size of wafer diameter, the problem of ensuring uniformity of each batch of ICs from larger and larger wafers becomes more difficult. In some other cases, it would be desirable to be able to control the surface temperature of the wafer to obtain a custom profile.
  • The problem of temperature rise of a wafer during reactive ion etching (RIE) is well known, and various attempts in the past to control the temperature of a wafer during RIE have been tried. FIG. 1 illustrates one way to control wafer temperature during RIE. A coolant gas (such as helium) is admitted at a single pressure within a single thin space 102 between the bottom of the wafer 104 and the top of the chuck 106 which holds the wafer 104.
  • There is generally no o-ring or other edge seal at the chuck perimeter except for a smooth sealing land extending from about 1 to about 5 mm at the outer edge of the chuck 106 in order to reduce coolant leakage. Inevitably, without any elastomer seal there is significant and progressive pressure loss across the sealing land, such that the edge of the wafer 104 may be inadequately cooled. The heat flux 108 impinging near the edge of the wafer 104 must therefore flow significantly radially inward before it can effectively be conducted away to the chuck. The arrows 106 on top of the wafer 104 illustrate the incoming flux heating the wafer 104. The flow of the heat in the wafer 104 is illustrated with the arrows 110. This explains why the edge zone of the chuck always tends to be hotter than the rest of the surface. FIG. 2 illustrates a typical temperature distribution on the wafer 104. The pressure loss at the peripheral portions of the wafer 104 causes the wafer 104 to be much hotter at the peripheral portions.
  • One way of dealing with the need for zone cooling is to vary the surface roughness or to cut a relief pattern to effectively change the local contact area. Such a scheme can be used without backside coolant gas at all, in which case the contact area, surface roughness, and clamp force determine the heat transfer. However the local contact area can only be adjusted by re-machining the chuck. Another way of dealing with the need for zone cooling is to use coolant gas whose pressure is varied to increase and fine tune thermal transport. However the relief pattern is still substantially fixed. By dividing the surface of the chuck into different zones, with or without small sealing lands as dividers, and supplying separate cooling gasses to each zone, a greater degree of independent spatial control may be achieved. The gas supply to each zone may have different composition or be set to a different pressure, thus varying the thermal conduction. Each zone's operating conditions may be set under recipe control, or even dynamically stabilized during each process step. Such schemes depend on redistributing the incoming heat flux from the plasma and extracting it into different regions. This is relatively effective at high power flux but will only give small temperature differentials at lower power flux. For instance, with about 1 W per cm2 of uniform flux and about 3 mm sealing land, it is possible to get center to edge thermal gradients that lead to a 10° C. to 30° C. temperature increase near the wafer periphery. Thermal gradients of this magnitude can be very effective as a process control parameter. However, other processes may run at low power, for instance poly gate processes, may have a flux of only 0.2 W per cm2. Unless the average conduction is made extremely low, which is very difficult to control and tends to result in inadequate overall cooling, then there will be only a very small differential of typically less than 5° C.
  • Accordingly, a need exists for a method and apparatus for controlling the temperature of semiconductor wafers during reactive ion etching and similar processes without requiring significant plasma heat flux. A primary purpose of the present invention is to solve these needs and provide further, related advantages.
  • BRIEF DESCRIPTION OF THE INVENTION
  • A chuck for a plasma processor comprises a temperature-controlled base, a thermal insulator, a flat support, and a heater. The temperature-controlled base is controlled in operation a temperature below the desired temperature of a workpiece. The thermal insulator is disposed over at least a portion of the temperature-controlled base. The flat support holds a workpiece and is disposed over the thermal insulator. A heater is embedded within the flat support and/or mounted to an underside of the flat support. The heater includes a plurality of heating elements that heat a plurality of corresponding heating zones. The power supplied and/or temperature of each heating element is controlled independently. The heater and flat support have a combined temperature rate change of at least 1° C. per second.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are incorporated into and constitute a part of this specification, illustrate one or more embodiments of the present invention and, together with the detailed description, serve to explain the principles and implementations of the invention.
  • In the drawings:
  • FIG. 1 is a schematic elevational diagram of a support holding a wafer under process in accordance with the prior art;
  • FIG. 2 is a plot illustrating the temperature of a wafer and the pressure of a coolant in the apparatus of FIG. 1 in accordance with the prior art;
  • FIG. 3 is a schematic elevational diagram illustrating an apparatus for controlling the temperature of a workpiece in accordance with one embodiment of the present invention;
  • FIG. 4 illustrates a simplified schematic of thermal flow dynamic in the apparatus of FIG. 3;
  • FIG. 5 is a schematic elevational diagram illustrating an apparatus for controlling the temperature of a workpiece in accordance with another embodiment of the present invention;
  • FIG. 6 is a flow diagram illustrating a method for controlling the temperature of a chuck during etching in accordance with one embodiment of the present invention; and
  • FIG. 7 is a schematic diagram of a system for controlling the temperature of a chuck in accordance with one embodiment of the present invention.
  • FIG. 8 is a schematic diagram illustrating an example of a wafer support have two spatial regional zones in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention are described herein in the context of a workpiece support. Those of ordinary skill in the art will realize that the following detailed description of the present invention is illustrative only and is not intended to be in any way limiting. Other embodiments of the present invention will readily suggest themselves to such skilled persons having the benefit of this disclosure. Reference will now be made in detail to implementations of the present invention as illustrated in the accompanying drawings. The same reference indicators will be used throughout the drawings and the following detailed description to refer to the same or like parts.
  • In the interest of clarity, not all of the routine features of the implementations described herein are shown and described. It will, of course, be appreciated that in the development of any such actual implementation, numerous implementation-specific decisions must be made in order to achieve the developer's specific goals, such as compliance with application- and business-related constraints, and that these specific goals will vary from one implementation to another and from one developer to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking of engineering for those of ordinary skill in the art having the benefit of this disclosure.
  • The apparatus of the present invention seeks to achieve precise significant thermal differential control, for example over 5° C., but without requiring significant plasma heat flux, for example less than 2 W per cm2. FIG. 3 is a schematic elevational diagram illustrating an apparatus for controlling the temperature of a workpiece in accordance with one embodiment of the present invention. A temperature-controlled base 302 or a heat exchanger has a constant temperature below the desired temperature of a wafer 310. The base 302 supports a thermal insulator 304. A support 306, preferably flat, is mounted on top of the thermal insulator 304. A heater 308 is embedded in the support 306. A wafer 310 is disposed on top of the support 306. A thermal conductor 312 provides an intimate thermal contact between the support 306 and the wafer 310. The thermal conductor 312 may be preferably a gas, such as helium. The pressure of the helium controls the thermal conduction between the wafer 310 and the support 306. However, the thermal conductivity of the thermal conductor 312 may be less pressure sensitive at higher pressure such as 20 or 30 Torr.
  • In one embodiment, the base 302 comprises a metallic material, preferably an aluminum base cold plate, that is maintained at a relatively constant temperature and is held in operation at a laterally uniform temperature through a conventional heat exchange system such as a cooling/heating fluid loop. In another embodiment, the base 302 may also comprise a non-metallic material, such as aluminum nitrate. However, the base 302 must be chilled to a greater extent than in standard operation without the heater 308. For example, the temperature of the base 302 may be 10° C. to 50° C. below the desired temperature of the wafer 310. The base 302 also provides a thermal sink for plasma heating. An external coolant chiller (not shown) may be used to maintain the temperature of the base 302. Preferably, the amount of heat removed by the external coolant chiller and the temperature of the coolant may be limited to less than 2000 W and −20° C., respectively. The greater capacity of the chiller side helps with the thermal response—it may be more economically practical to limit one to two kW operation. The base 302 further have several holes or cavities (not shown) through which heater power lines 314 or other service lines are disposed. Such service lines 314 may comprise power lines for the heater, sensors, high voltage electrostatic clamping, gas feed, and wafer lifting. Those of ordinary skill in the art will now recognize that the service lines are not limited to the ones previously cited.
  • In one embodiment, the thermal insulator 304 acts as a significant thermal impedance break between the support 306 and the base 302. The thermal insulator 304 may comprise a thick RTV bonding adhesive layer, or be made of polymer, plastic, or ceramic. However, the thermal impedance break of the thermal insulator 304 cannot be too excessive otherwise the wafer 310 will be insufficiently cooled. For example, the thermal insulator may for example have a thermal conductivity of a range of about 0.05 W/mK to about 0.20 W/mK. The thermal insulator 304 in this case both acts as a thermal resistive element and a bond between the support 306 and the base 302. Furthermore, the thermal insulator 304 must be such that adequate RF coupling between the plasma and the base 302 is maintained. Also, the thermal insulator 304 must tolerate significant thermal-mechanical shear due to different materials and temperatures located above and below the layer. Thermal insulator 304 may further incorporate several cavities or vias (not shown) contiguous to the cavities of the base 302 for housing parts of the heater power lines 314 and other service lines.
  • In one embodiment, the support 306 comprises a ceramic material. The ceramic may be a non-electrically conductive material, such as, for example, the ceramic alumina. The shape of the support 306 may preferably include a conventional disk commonly used in plasma etching systems. The support 306 may be a conventional electrostatic chuck or may be a ceramic having a mechanical clamp for holding down the wafer 310. According to another embodiment, the support 306 construction is of a “thin disk bonded to a base” type, otherwise the lateral conduction may be so high that the heater input will be spread laterally resulting in an ineffective zone separation. The support 306 should allow the heat to dissipate locally.
  • The heater 308 comprises at least one resistive heating element. According to one embodiment, the heater 308 may be embedded in the support 306 below the clamp electrode plane and be shaped in any desirable pattern, for example, symmetrical or arbitrary. The heater 308 may also include one or more planar heating elements. Each heating element defines a heating zone or region that may be controlled independently. The multi-zone pattern has one or more planar heating elements acting in opposition to the conduction cooling to the support 306. The temperature rate change caused by the heater 308 to the support 306 may be at least 1° C. per second.
  • At least one sensor 309 associated with each heating zone may measure the temperature of each heating zone and send a signal to a controller or computer system (see FIG. 7) to monitor and control each individual planar heating element. For example, the sensor may be an infrared emission sensor or thermo-couple sensor that can be mounted either through ports to read directly from the wafer 310. The sensors 309 can also be mounted within or to the back of the support 306. The heater 308 may be powered by power lines 312 disposed through openings 314 in the thermal insulator 304 and the base 302.
  • In one embodiment, heater 308 comprises an inductive heater. In another embodiment, heater 308 comprises a heating lamp, such as a krypton or quartz lamp. According to yet another embodiment, heater 308 comprises thermoelectric modules that can cool or heat. With thermoelectric modules, a base and a thermal break may be optional. Those of ordinary skill in the art will now recognize that many other ways exists to heat support 306.
  • FIG. 4 illustrates a simplified schematic of thermal flow dynamic in the apparatus of FIG. 3. The incoming plasma heat flux Q1 contributes to the temperature T1 on the surface of the wafer 310. Heater 308 provides additional heat flux Q3 to the wafer support 306 and thereby to the wafer 310. The flux Q2 exiting the system through the support 306 and thermal insulator 304 to the cooled base 302 is approximately equal to both incoming flux Q1 and Q3. Therefore:
    Q 1+Q 3Q 2
  • By definition, the sum of the temperature T1 of the wafer 310 and the differential temperature ΔT through the thermal insulator 304 is equal to the temperature T2 of the cooled base 302:
    T 2=T 1T
  • It should be noted that ΔT is defined by the thermal conductivity of the thermal insulator 304. The additional heat flux Q3, which is produced by heater 308, thus controls ΔT. Therefore, the power supplied to the heater 308 can be adjusted so as to produce a desired temperature T1 on the surface of the wafer for a range of Q1.
  • Preferably, the temperature of the base 302 is set to produce an exiting flux Q2 of approximately half of the maximum incoming flux of Q3 when there are no incoming flux Q1 and the maximum flux of Q3 is approximately equal to the maximum flux of Q1:
    Q2≈½Q3 max
      • when Q1=0 and Q3 max≈Q1 max
        In this preferred scheme, the range over which the temperature T1 of the wafer 310 can be varied is maximized. That is, the local temperature of the wafer can be adjusted by controlling the heating power of the heater 308 in a multizone heating pattern scheme. According to one embodiment, the temperature of the base 302 is controlled to about 20° C. cooler than a conventional apparatus in which the sum of the maximum value of Q1 and the maximum value of Q3 is equal to the maximum value of Q2.
  • FIG. 5 illustrates another embodiment of the chuck. A chuck for a plasma processor has a temperature-controlled base 502 having a temperature below the desired temperature of a wafer 504. A layer of thermal insulation material 506 is disposed over the base 502. A flat support 508 used for holding the wafer 504 is disposed on top of the layer of thermal insulation material 506. A heater 510 is mounted to an underside of the flat support 508. The base 502 and layer 506 of thermal insulation material may further include holes or cavities (not shown) through which heater power lines 514 or other service lines are disposed. Such service lines 514 may comprise power lines for the heater, sensors, high voltage electrostatic clamping. Those of ordinary skills in the art will recognize that the service lines are not limited to the ones previously cited.
  • The heater 510 may be powered by power lines 312 disposed through openings 514 in the thermal insulator 506 and the base 502. The heater 510 includes at least one resistive heating element. According to one embodiment, the heater 510 may be mounted to an underside of the support 508 and be shaped in any desirable pattern, for example, symmetrical or arbitrary. (See FIG. 8 for example). The heater 510 may include one or more planar heating elements. Each heating element may define a heating zone or region that may be controlled independently. The multi-zone pattern has one or more planar heating elements acting in opposition to the conduction cooling to the support 508.
  • At least one sensor 516 associated with each heating zone may measure the temperature of each heating zone and send a signal to a controller or computer system (see FIG. 7) to monitor and control each individual planar heating element. For example, the sensor may be an infrared emission sensor or thermo-couple sensor that can be mounted either through ports to read directly from the wafer 504. The sensors 516 may be embedded within the support 508.
  • FIG. 8 illustrates an example of a support 508 having dual heating region: inner region 802 and outer region 804. Each region may be independently heated by its own set of heaters (not shown). Those of ordinary skills in the art will recognize that the support may includes regions geometrically defined in many other ways.
  • The increased complexity of semiconductor devices has engendered the use of multistep processes wherein a single etch recipe includes multiple steps that are used to vary the etching conditions as the etching process proceeds. Multistep etching processes are used, for example, where a photoresist mask is used to etch a nitrite layer which is in turn used as an etching mask for sub-sequent layers. Additionally, the etching of particular layers is enhanced with processing conditions which change during the execution of the etch. In particular, it is often desirable to execute one portion of the etching process at an initial temperature and subsequently change the temperature in later steps within this recipe so as to provide optimum etching conditions for the particular layer being etched.
  • It is known that some etching process conditions are far more temperature sensitive than other process conditions and as such, it is desirable to be able to alter the wafer temperature step-by-step within an etch recipe, either to compensate for or to utilize, this temperature sensitivity of the etching process. For example, the relative etch rates vertically and laterally differ with temperature under some processing conditions, and this effect can be used to alter that tapered angle of the etch by altering the wafer temperature as the etching process progresses.
  • Under some processing conditions, the local concentration of reactance varies across the wafer such that the lateral etch rate varies across the wafer as well. This leads to variations in the etched feature dimensions across the wafer, which is generally undesirable. It has been observed that by using the temperature sensitivity of the lateral etch rate it is possible to induce a radial temperature gradient by altering the wafer support zone temperatures so as to induce a radial temperature gradient and thereby compensate for this variation in the local reactant concentration, producing conditions that result in constant feature dimensions across the entire wafer.
  • In the case where multiple layers are to be etched, it may be necessary to alter the radial temperature profile on a step-by-step basis as well as within a given step, depending upon the necessity to maintain feature dimensions across the wafer and/or to produce tapering within the layers. Thus, when a multizone temperature-controlled wafer support is used under conditions wherein the zones are operated at different temperatures, and a multistep recipe is employed which alters the process conditions during the etch, it is often necessary to also alter the temperature of the temperature-controlled wafer support zones in order to account for or to utilize the differing temperature sensitivity of the different etching conditions.
  • The duration of typical etching recipes is from approximately 20 seconds to approximately two minutes, and a typical recipe will have several steps within the recipe. As such, it is necessary to be able to alter a wafer support zone temperature within a few seconds for multistep temperature control. In most cases of interest, these temperature changes within a recipe are less than approximately 10° C. It is therefore desirable to be able to change zone temperatures at a rate of approximately 0.3° C. per second, and preferably to be able to change zone temperatures at a rate of 1° C./sec or faster.
  • For the case of the ceramic ESC having the embedded heater as described in FIG. 3, the basic design criteria for a fast ESC is that the thermal mass of the ceramic ESC be small and that the heater power density be large. It is also desirable that the thermal resistance of the thermal layer 304 below the ESC have relatively low thermal conductivity. Thus, the thickness of the ESC, the heater power density, and the thermal resistance are selected so as to permit temperature changes faster than about 1° C./sec.
  • FIG. 6 illustrates a flow diagram implementing the above solution by spatially but also temporally controlling the temperature of each region of a flat support during an etching process. In particular, FIG. 6 also illustrates a method for processing a wafer during an etching process. At 602, a base is provided. The base is maintained at a constant temperature that is below the temperature of the wafer to be processed. As previously described, a layer of thermal insulation material is mounted on top of the base. At 604, the wafer is held against a top face of a flat support which includes distinct spatial regions. As previously described, the flat support is mounted on top of the layer of thermal insulation material. At 606, each spatial region of said flat support is independently heated to an initial temperature with at least one heater mounted to an underside of the flat support or embedded within the flat support. The initial temperature for each region may differ from one another. At 608, the temperature of at least one spatial region of the flat support during the etching process is altered to another temperature at a rate of at least 1° C. per second. The final temperature for each region may differ from one another.
  • In accordance with another embodiment, the temperature of each spatial region may be further monitored with a sensor placed inside each spatial region. The signal generated by the sensors may be used to adjust the temperature of each spatial region by changing the power supplied to the heaters.
  • FIG. 7 is a schematic diagram of a system for controlling the temperature of a chuck in accordance with one embodiment of the present invention. A user 702 may define a set of parameters to a computer 704. Such set of parameters may be, for example, the desired temperature of a first zone on the chuck, the desired temperature of a second zone on the chuck. Those of ordinary skills in the art will recognize that the chuck may have one or more zones. The computer 704 communicates with a storage component 706 storing the algorithm of FIG. 6, inputs and outputs of computer 704. A first set of sensors 708 measures the first zone on the chuck. A second set of sensors 710 measures the second zone on the chuck. Based on the temperature measurement of the first set of sensors 708, computer 704 sends controls to the first set of heating elements 712 to adjust the temperature of the first zone on the chuck. Based on the temperature measurement of the second set of sensors 710, computer 704 sends controls to the second set of heating elements 714 to adjust the temperature of the second zone on the chuck.
  • These generalized methods for controlling the temperature profile of a wafer on an electrostatic chuck are not only suited to application in a Inductive Coupled Plasma (ICP) processing machine, but also in any other system application, especially one that requires a low plasma power flux to the wafer. This technique may be applied to any other applications where a need to produce thermal grading exists.
  • While embodiments and applications of this invention have been shown and described, it would be apparent to those skilled in the art having the benefit of this disclosure that many more modifications than mentioned above are possible without departing from the inventive concepts herein. The invention, therefore, is not to be restricted except in the spirit of the appended claims.

Claims (39)

1. A chuck for a plasma processor comprising:
a temperature-controlled base having a temperature below the desired temperature of a workpiece;
a layer of thermal insulation material disposed over said base;
a flat support for holding said workpiece, said flat support disposed over said layer of thermal insulation material; and
a heater coupled to an underside of said flat support, said heater including a plurality of planar heating elements corresponding to a plurality of heating regions in said flat support,
wherein said heater has a temperature rate change of at least 1° C. per second.
2. The chuck of claim 1 wherein power applied to each planar heating element is controlled independently.
3. The chuck of claim 1 further comprising a thermal conductor disposed between said flat support and said workpiece.
4. The chuck of claim 1 wherein said temperature-controlled base is maintained at a constant temperature of less than 20° C.
5. The chuck of claim 1 further comprising a plurality of sensors corresponding with said plurality of heating regions, each sensor measuring and sending a signal representative of the temperature of its corresponding heating region.
6. The chuck of claim 5 further comprising a controller for receiving said signal from said sensor and for adjusting the power of each planar heating element based on a set point for each heating region.
7. The chuck of claim 1 wherein said heater includes a plurality of electrically resistive heaters.
8. The chuck of claim 1 wherein said heater includes a plurality of induction heaters.
9. The chuck of claim 1 wherein said heater includes a plurality of heating lamps.
10. The chuck of claim 1 wherein said heater includes a plurality of thermoelectric modules.
11. The chuck of claim 1 wherein said flat support includes an electrostatic chuck.
12. A chuck for a plasma processor comprising:
a temperature-controlled base having a temperature below the desired temperature of a workpiece;
a layer of thermal insulation material disposed over said base;
a flat support for holding said workpiece, said flat support disposed over said layer of thermal insulation material; and
a heater embedded within said flat support, said heater including a plurality of planar heating elements corresponding to a plurality of heating regions in said flat support,
wherein the temperature of said heater and said flat support are adapted to be capable of change of at least 1° C. per second.
13. The chuck of claim 12 wherein the power of each planar heating element is controlled independently.
14. The chuck of claim 12 further comprising a thermal conductor disposed between said flat support and said workpiece.
15. The chuck of claim 12 wherein said temperature-controlled base is maintained at a constant temperature of less than 20° C.
16. The chuck of claim 12 further comprising a plurality of sensors corresponding with said plurality of heating regions, each sensor measuring and sending a signal representative of the temperature of its corresponding heating region.
17. The chuck of claim 16 further comprising a controller for receiving said signal from said sensor and for adjusting the power of each planar heating element based on a set point for each heating region.
18. The chuck of claim 16 wherein said heater includes a plurality of electrically resistive heaters.
19. The chuck of claim 16 wherein said heater includes a plurality of induction heaters.
20. The chuck of claim 16 wherein said heater includes a plurality of heating lamps.
21. The chuck of claim 16 wherein said heater includes a plurality of thermoelectric modules.
22. The chuck of claim 16 wherein a thickness of said flat support, a power density of said heater, and a thermal resistance of said layer of insulation material permit a temperature change in said flat support of at least 1° C. per second.
23. The chuck of claim 16 wherein said flat support includes an electrostatic chuck.
24. A method for controlling the spatial temperature across a workpiece comprising:
providing a base maintained at a constant temperature, said constant temperature being below the temperature of the workpiece, said base having a layer of thermal insulation material mounted on top of said base;
holding the workpiece against a top face of a flat support having a plurality of spatial regions, said flat support mounted on top of said layer of thermal insulation material;
independently heating each spatial region of said flat support with a plurality of heaters mounted to an underside of said flat support; and
changing the temperature of at least one spatial region of said flat support during an etching process at a rate of at least 1° C. per second.
25. The method of claim 24 further comprising monitoring the temperature of said plurality of spatial regions with a sensor placed in each region.
26. The method of claim 25 further comprising adjusting the temperature of each spatial region based on said monitoring.
27. The method of claim 24 wherein said plurality of heaters includes a plurality of electrically resistive heaters.
28. The method of claim 24 wherein said plurality of heaters includes a plurality of induction heaters.
29. The method of claim 24 wherein said plurality of heaters includes a plurality of heating lamps.
30. The method of claim 24 wherein said plurality of heaters includes a plurality of thermoelectric modules.
31. A method for controlling the spatial temperature across a workpiece comprising:
providing a base maintained at a constant temperature, said constant temperature being below the temperature of the workpiece, said base having a layer of thermal insulation material mounted on top of said base;
holding the workpiece against a top face of a flat support having a plurality of spatial regions, said flat support mounted on top of said layer of thermal insulation material;
independently heating each spatial region of said flat support with a plurality of heaters embedded within said flat support; and
changing the temperature of at least one spatial region of said flat support during an etching process at a rate of at least 1° C. per second.
32. The method of claim 31 further comprising monitoring the temperature of said plurality of spatial regions with a sensor placed in each region.
33. The method of claim 32 further comprising adjusting the temperature of each spatial region based on said monitoring.
34. The method of claim 31 wherein said plurality of heaters includes a plurality of electrically resistive heaters.
35. The method of claim 31 wherein said plurality of heaters includes a plurality of induction heaters.
36. The method of claim 31 wherein said plurality of heaters includes a plurality of heating lamps.
37. The method of claim 31 wherein said plurality of heaters includes a plurality of thermoelectric modules.
38. An apparatus for controlling the spatial temperature across a workpiece comprising:
means for providing a base maintained at a constant temperature, said constant temperature being below the temperature of the workpiece, said base having a layer of thermal insulation material mounted on top of said base;
means for holding the workpiece against a top face of a flat support having a plurality of spatial regions, said flat support mounted on top of said layer of thermal insulation material;
means for independently heating each spatial region of said flat support with a plurality of heaters mounted to an underside of said flat support; and
means for changing the temperature of at least one spatial region of said flat support during an etching process at a rate of at least 1° C. per second.
39. An apparatus for controlling the spatial temperature across a workpiece comprising:
means for providing a base maintained at a constant temperature, said constant temperature being below the temperature of the workpiece, said base having a layer of thermal insulation material mounted on top of said base;
means for holding the workpiece against a top face of a flat support having a plurality of spatial regions, said flat support mounted on top of said layer of thermal insulation material;
means for independently heating each spatial region of said flat support with a plurality of heaters embedded within said flat support; and
means for changing the temperature of at least one spatial region of said flat support during an etching process at a rate of at least 1° C. per second.
US11/004,179 2001-04-30 2004-12-02 Method and apparatus for controlling spatial temperature distribution Abandoned US20050211385A1 (en)

Priority Applications (14)

Application Number Priority Date Filing Date Title
US11/004,179 US20050211385A1 (en) 2001-04-30 2004-12-02 Method and apparatus for controlling spatial temperature distribution
PCT/US2005/043801 WO2006068805A1 (en) 2004-12-02 2005-12-01 Method and apparatus for controlling spatial temperature distribution
JP2007544574A JP2008522446A (en) 2004-12-02 2005-12-01 Method and apparatus for controlling spatial temperature distribution
SG10201408008QA SG10201408008QA (en) 2004-12-02 2005-12-01 Method and apparatus for controlling spatial temperature distribution
CN2010106228155A CN102122607B (en) 2004-12-02 2005-12-01 Method and apparatus for controlling the spatial temperature distribution
SG200907998-9A SG158101A1 (en) 2004-12-02 2005-12-01 Method and apparatus for controlling spatial temperature distribution
KR1020077014977A KR101109440B1 (en) 2004-12-02 2005-12-01 Method and apparatus for controlling spatial temperature distribution
CNA2005800472891A CN101111934A (en) 2004-12-02 2005-12-01 Method and apparatus for controlling spatial temperature distribution
SG10201609601XA SG10201609601XA (en) 2004-12-02 2005-12-01 Method and apparatus for controlling spatial temperature distribution
TW094142661A TWI481297B (en) 2004-12-02 2005-12-02 Method and apparatus for controlling spatial temperature distribution
US12/436,443 US8963052B2 (en) 2001-04-30 2009-05-06 Method for controlling spatial temperature distribution across a semiconductor wafer
JP2011176261A JP2011244011A (en) 2004-12-02 2011-08-11 Method and apparatus for controlling spatial temperature distribution
JP2014055288A JP2014146822A (en) 2004-12-02 2014-03-18 Method and apparatus for controlling spatial temperature distribution
US14/594,648 US9824904B2 (en) 2001-04-30 2015-01-12 Method and apparatus for controlling spatial temperature distribution

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US84643201A 2001-04-30 2001-04-30
US10/062,395 US6847014B1 (en) 2001-04-30 2002-02-01 Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US11/004,179 US20050211385A1 (en) 2001-04-30 2004-12-02 Method and apparatus for controlling spatial temperature distribution

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/062,395 Continuation-In-Part US6847014B1 (en) 2001-04-30 2002-02-01 Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/436,443 Division US8963052B2 (en) 2001-04-30 2009-05-06 Method for controlling spatial temperature distribution across a semiconductor wafer

Publications (1)

Publication Number Publication Date
US20050211385A1 true US20050211385A1 (en) 2005-09-29

Family

ID=36295018

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/004,179 Abandoned US20050211385A1 (en) 2001-04-30 2004-12-02 Method and apparatus for controlling spatial temperature distribution
US12/436,443 Active 2024-07-03 US8963052B2 (en) 2001-04-30 2009-05-06 Method for controlling spatial temperature distribution across a semiconductor wafer
US14/594,648 Expired - Lifetime US9824904B2 (en) 2001-04-30 2015-01-12 Method and apparatus for controlling spatial temperature distribution

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/436,443 Active 2024-07-03 US8963052B2 (en) 2001-04-30 2009-05-06 Method for controlling spatial temperature distribution across a semiconductor wafer
US14/594,648 Expired - Lifetime US9824904B2 (en) 2001-04-30 2015-01-12 Method and apparatus for controlling spatial temperature distribution

Country Status (7)

Country Link
US (3) US20050211385A1 (en)
JP (3) JP2008522446A (en)
KR (1) KR101109440B1 (en)
CN (2) CN102122607B (en)
SG (3) SG158101A1 (en)
TW (1) TWI481297B (en)
WO (1) WO2006068805A1 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060144516A1 (en) * 2004-12-30 2006-07-06 Lam Research Inc., A Delaware Corporation Apparatus for spatial and temporal control of temperature on a substrate
US20070076346A1 (en) * 2005-09-30 2007-04-05 Rajinder Dhindsa Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US20080083736A1 (en) * 2006-08-29 2008-04-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US20090111276A1 (en) * 2007-10-31 2009-04-30 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US20090194264A1 (en) * 2008-02-06 2009-08-06 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate temperature control method
US20090212010A1 (en) * 2008-02-21 2009-08-27 Judy Wang Plasma etching carbonaceous layers with sulfur-based etchants
US20100083902A1 (en) * 2008-10-02 2010-04-08 Samsung Electronics Co., Ltd. Plasma generating device
US20100193501A1 (en) * 2009-02-04 2010-08-05 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US20100200566A1 (en) * 2006-05-17 2010-08-12 EAGLE INDUSTRY co, Ltd. Heating apparatus
US20130148253A1 (en) * 2011-12-07 2013-06-13 Shinko Electric Industries Co., Ltd. Substrate temperature adjusting-fixing device and manufacturing method thereof
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US20140251214A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
US20140346743A1 (en) * 2010-10-15 2014-11-27 Hamid Tavassoli Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US20160126119A1 (en) * 2014-11-05 2016-05-05 Samsung Electronics Co., Ltd. Laser annealing apparatus
WO2017003646A1 (en) * 2015-06-29 2017-01-05 Varian Semiconductor Equipment Associates, Inc. Thermal shield for electrostatic chuck
US20180012785A1 (en) * 2016-07-07 2018-01-11 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US11380555B2 (en) * 2019-11-25 2022-07-05 Tokyo Electron Limited Etching method and etching apparatus
US20220223425A1 (en) * 2021-01-08 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. By-site-compensated etch back for local planarization/topography adjustment

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP5270310B2 (en) * 2008-11-13 2013-08-21 東京エレクトロン株式会社 Electrostatic chuck and substrate processing apparatus
JP2011049425A (en) * 2009-08-28 2011-03-10 Ngk Spark Plug Co Ltd Component for semiconductor manufacturing device
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8642480B2 (en) 2009-12-15 2014-02-04 Lam Research Corporation Adjusting substrate temperature to improve CD uniformity
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US8580693B2 (en) * 2010-08-27 2013-11-12 Applied Materials, Inc. Temperature enhanced electrostatic chucking in plasma processing apparatus
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP4980461B1 (en) * 2010-12-24 2012-07-18 三井造船株式会社 Induction heating device
WO2012090782A1 (en) * 2010-12-27 2012-07-05 株式会社クリエイティブ テクノロジー Work heating device and work treatment device
KR101712538B1 (en) * 2011-03-23 2017-03-06 스미토모 오사카 세멘토 가부시키가이샤 Electrostatic chuck device
US10002779B2 (en) * 2011-08-30 2018-06-19 Watlow Electric Manufacturing Company Thermal array system
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
CN103123906A (en) * 2011-11-18 2013-05-29 中芯国际集成电路制造(北京)有限公司 Reaction device for processing wafer, electrostatic chuck and wafer temperature control method
CN103137517B (en) * 2011-11-25 2016-08-03 中芯国际集成电路制造(北京)有限公司 For processing the reaction unit of wafer, electrostatic chuck and wafer temperature control method
NL2009858A (en) 2011-12-27 2013-07-01 Asml Netherlands Bv Substrate holder, lithographic apparatus, and device manufacturing method.
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9538583B2 (en) * 2013-01-16 2017-01-03 Applied Materials, Inc. Substrate support with switchable multizone heater
JP6159172B2 (en) * 2013-06-26 2017-07-05 東京エレクトロン株式会社 Temperature control method and plasma processing apparatus
JP6441927B2 (en) * 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Multi-zone substrate support heated locally
US9541846B2 (en) * 2013-09-06 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Homogeneous thermal equalization with active device
US9417138B2 (en) * 2013-09-10 2016-08-16 Varian Semiconductor Equipment Associates, Inc. Gas coupled probe for substrate temperature measurement
KR101458864B1 (en) * 2013-09-30 2014-11-07 (주)엘케이솔루션 Electostatic chuck
CN104600000A (en) * 2013-10-30 2015-05-06 沈阳芯源微电子设备有限公司 Surrounding absorbing roasting structure of base plate
CN104637838B (en) * 2013-11-15 2018-06-26 中微半导体设备(上海)有限公司 A kind of semiconductor processing device
JP6240532B2 (en) * 2014-02-27 2017-11-29 東京エレクトロン株式会社 Electrostatic chuck temperature control method
US10006717B2 (en) * 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP6392612B2 (en) * 2014-09-30 2018-09-19 日本特殊陶業株式会社 Electrostatic chuck
JP6530220B2 (en) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 Ceramic heater and control method thereof, and electrostatic chuck and control method thereof
CN113675115A (en) 2015-05-22 2021-11-19 应用材料公司 Azimuth adjustable multi-zone electrostatic chuck
TWI757242B (en) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 Thermal management systems and methods for wafer processing systems
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
KR20180114088A (en) * 2016-02-08 2018-10-17 와틀로 일렉트릭 매뉴팩츄어링 컴파니 Temperature measurement system for rotatable wafer support assembly
CN107331595B (en) * 2016-04-29 2019-08-13 中微半导体设备(上海)股份有限公司 For plasma treatment appts and its temprature control method and calibration method
JP2018063974A (en) * 2016-10-11 2018-04-19 東京エレクトロン株式会社 Temperature controller, temperature control method, and placement table
CN108062124B (en) * 2016-11-08 2020-04-07 中微半导体设备(上海)股份有限公司 Temperature control wafer mounting table and temperature control method thereof
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
KR102091515B1 (en) * 2018-02-22 2020-03-20 주식회사 에프에스티 Electrostatic chuck and device of controlling a semiconductor processing apparatus
KR20200023988A (en) 2018-08-27 2020-03-06 삼성전자주식회사 Electro-static chuck and wafer etching device comprising the same
KR102225682B1 (en) * 2018-09-28 2021-03-12 세메스 주식회사 Heat treating method of substrate
CN109473381A (en) * 2018-10-31 2019-03-15 上海华力微电子有限公司 Wet etching cleaning device and method
KR102211817B1 (en) * 2018-12-14 2021-02-05 세메스 주식회사 Liquid supply unit and substrate processing apparatus
DE102019207772A1 (en) * 2019-05-28 2020-12-03 Siltronic Ag Method for depositing an epitaxial layer on a front side of a semiconductor wafer and device for carrying out the method
CN110600419A (en) * 2019-09-20 2019-12-20 上海华力微电子有限公司 Electrostatic chuck and using method thereof
CN110707035A (en) * 2019-10-16 2020-01-17 北京北方华创微电子装备有限公司 Electrostatic chuck, semiconductor processing chamber and apparatus
CN110752171B (en) * 2019-11-01 2022-07-29 长江存储科技有限责任公司 Device and method for adjusting wafer curvature

Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2152126A (en) * 1936-10-02 1939-03-28 John Wentworth Heating device
US3634740A (en) * 1970-04-20 1972-01-11 Addressograph Multigraph Electrostatic holddown
US4361749A (en) * 1980-02-04 1982-11-30 Western Electric Co., Inc. Uniformly cooled plasma etching electrode
US4518848A (en) * 1981-05-15 1985-05-21 Gca Corporation Apparatus for baking resist on semiconductor wafers
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5290381A (en) * 1990-11-28 1994-03-01 Tokyo Electron Limited Plasma etching apparatus
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5401316A (en) * 1992-10-15 1995-03-28 Tokyo Electron Limited Method and apparatus for hydrophobic treatment
US5413360A (en) * 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5539179A (en) * 1990-11-17 1996-07-23 Tokyo Electron Limited Electrostatic chuck having a multilayer structure for attracting an object
US5580607A (en) * 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5616024A (en) * 1994-02-04 1997-04-01 Ngk Insulators, Ltd. Apparatuses for heating semiconductor wafers, ceramic heaters and a process for manufacturing the same, a process for manufacturing ceramic articles
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5851641A (en) * 1994-11-22 1998-12-22 Tomoegawa Paper Co., Ltd. Electrostatic chucking device
US5854468A (en) * 1996-01-25 1998-12-29 Brooks Automation, Inc. Substrate heating apparatus with cantilevered lifting arm
US5925227A (en) * 1996-05-21 1999-07-20 Anelva Corporation Multichamber sputtering apparatus
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6048434A (en) * 1993-09-16 2000-04-11 Hitachi, Ltd. Substrate holding system including an electrostatic chuck
US6051303A (en) * 1997-08-06 2000-04-18 Ngk Insulators, Ltd. Semiconductor supporting device
US6080969A (en) * 1997-05-29 2000-06-27 Smc Corporation Apparatus for and method of thermally processing substrate
US6080970A (en) * 1997-12-26 2000-06-27 Kyocera Corporation Wafer heating apparatus
US6084215A (en) * 1997-11-05 2000-07-04 Tokyo Electron Limited Semiconductor wafer holder with spring-mounted temperature measurement apparatus disposed therein
US6259592B1 (en) * 1998-11-19 2001-07-10 Applied Materials, Inc. Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US20020020358A1 (en) * 1997-05-13 2002-02-21 Hey H. Peter W. Method and apparatus for improving film deposition uniformity on a substrate
US6365879B1 (en) * 2000-01-20 2002-04-02 Sumitomo Electric Industries, Ltd. Wafer holder for semiconductor manufacturing apparatus
US6379222B2 (en) * 1998-03-06 2002-04-30 Siemens Aktiengesellschaft Methods and apparatus for chemical mechanical planarization (CMP) of a semiconductor wafer
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
US6705394B1 (en) * 1999-10-29 2004-03-16 Cvc Products, Inc. Rapid cycle chuck for low-pressure processing
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6129127A (en) 1984-07-20 1986-02-10 Hitachi Hokkai Semiconductor Kk Treating device
JPH079887B2 (en) 1985-05-22 1995-02-01 三洋電機株式会社 Liquid phase epitaxial growth method
JPH0310443U (en) 1989-06-19 1991-01-31
JPH04360526A (en) 1991-06-07 1992-12-14 Nec Corp Fine pattern forming method
JPH06283594A (en) 1993-03-24 1994-10-07 Tokyo Electron Ltd Electrostatic chuck
JPH06295888A (en) 1993-04-09 1994-10-21 Sony Corp Fabrication of semiconductor device
JPH07201822A (en) 1993-12-28 1995-08-04 Hiroshima Nippon Denki Kk Dry etching device
JP3247249B2 (en) 1994-05-12 2002-01-15 東京エレクトロン株式会社 Plasma processing equipment
JPH08191059A (en) * 1995-01-09 1996-07-23 Hitachi Ltd Plasma treating device
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
JP3548634B2 (en) 1995-07-14 2004-07-28 東京エレクトロン株式会社 Film forming apparatus and method for removing deposited film in the apparatus
JPH09260474A (en) * 1996-03-22 1997-10-03 Sony Corp Electrostatic chuck and wafer stage
US6448538B1 (en) * 1996-05-05 2002-09-10 Seiichiro Miyata Electric heating element
JPH10256359A (en) 1997-03-08 1998-09-25 Seiichiro Miyata Electrostatic chuck
JPH1014266A (en) * 1996-06-21 1998-01-16 Sony Corp Electrostatic chucking device and wafer retention/ removal therewith/therefrom
JPH10144655A (en) * 1996-11-06 1998-05-29 Sony Corp Method and apparatus for dry etching
JP3400692B2 (en) 1997-11-05 2003-04-28 東京エレクトロン株式会社 Wafer temperature control device and wafer storage room
JP4237317B2 (en) * 1997-12-26 2009-03-11 株式会社日立製作所 Plasma processing equipment
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
JP4013386B2 (en) * 1998-03-02 2007-11-28 住友電気工業株式会社 Support for manufacturing semiconductor and method for manufacturing the same
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP2000216140A (en) 1999-01-20 2000-08-04 Hitachi Ltd Wafer stage and wafer treating apparatus
JP2001102436A (en) 1999-05-07 2001-04-13 Applied Materials Inc Electrostatic chuck and its manufacturing method
JP2000332089A (en) * 1999-05-18 2000-11-30 Toshiba Ceramics Co Ltd Electrostatic chuck for heating and holding wafer
JP4209057B2 (en) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 Ceramic heater, substrate processing apparatus and substrate processing method using the same
JP2002057207A (en) * 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd Wafer holder for semiconductor-manufacturing apparatus, manufacturing method of the same and the semiconductor-manufacturing apparatus
JP3228924B2 (en) * 2000-01-21 2001-11-12 イビデン株式会社 Ceramic heater for semiconductor manufacturing and inspection equipment
JP2001313155A (en) * 2000-04-28 2001-11-09 Kyocera Corp Disc heater and wafer treatment device
JP2002009140A (en) 2000-06-22 2002-01-11 Mitsubishi Electric Corp Electrostatic chuck apparatus
JP3817414B2 (en) * 2000-08-23 2006-09-06 株式会社日立製作所 Sample stage unit and plasma processing apparatus
JP2002110647A (en) 2000-09-29 2002-04-12 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
JP2002231804A (en) 2001-01-31 2002-08-16 Toshiba Corp Semiconductor device and its manufacturing method
JP4578701B2 (en) * 2001-02-26 2010-11-10 キヤノンアネルバ株式会社 Substrate processing method
JP2002313901A (en) 2001-04-12 2002-10-25 Komatsu Ltd Electrostatic chuck
EP1391140B1 (en) * 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
JP2002359281A (en) 2001-06-01 2002-12-13 Ngk Spark Plug Co Ltd Ceramic heater and manufacturing method therefor
JP2003060016A (en) 2001-07-31 2003-02-28 Applied Materials Inc Current introducing terminal and semiconductor manufacturing apparatus
US6538872B1 (en) * 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
US6664738B2 (en) 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7965283B2 (en) * 2005-04-26 2011-06-21 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method for driving thereof

Patent Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2152126A (en) * 1936-10-02 1939-03-28 John Wentworth Heating device
US3634740A (en) * 1970-04-20 1972-01-11 Addressograph Multigraph Electrostatic holddown
US4361749A (en) * 1980-02-04 1982-11-30 Western Electric Co., Inc. Uniformly cooled plasma etching electrode
US4518848A (en) * 1981-05-15 1985-05-21 Gca Corporation Apparatus for baking resist on semiconductor wafers
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5539179A (en) * 1990-11-17 1996-07-23 Tokyo Electron Limited Electrostatic chuck having a multilayer structure for attracting an object
US5290381A (en) * 1990-11-28 1994-03-01 Tokyo Electron Limited Plasma etching apparatus
US5580607A (en) * 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5401316A (en) * 1992-10-15 1995-03-28 Tokyo Electron Limited Method and apparatus for hydrophobic treatment
US5413360A (en) * 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US6048434A (en) * 1993-09-16 2000-04-11 Hitachi, Ltd. Substrate holding system including an electrostatic chuck
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5616024A (en) * 1994-02-04 1997-04-01 Ngk Insulators, Ltd. Apparatuses for heating semiconductor wafers, ceramic heaters and a process for manufacturing the same, a process for manufacturing ceramic articles
US5851641A (en) * 1994-11-22 1998-12-22 Tomoegawa Paper Co., Ltd. Electrostatic chucking device
US5854468A (en) * 1996-01-25 1998-12-29 Brooks Automation, Inc. Substrate heating apparatus with cantilevered lifting arm
US5925227A (en) * 1996-05-21 1999-07-20 Anelva Corporation Multichamber sputtering apparatus
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US20020020358A1 (en) * 1997-05-13 2002-02-21 Hey H. Peter W. Method and apparatus for improving film deposition uniformity on a substrate
US6080969A (en) * 1997-05-29 2000-06-27 Smc Corporation Apparatus for and method of thermally processing substrate
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6051303A (en) * 1997-08-06 2000-04-18 Ngk Insulators, Ltd. Semiconductor supporting device
US6084215A (en) * 1997-11-05 2000-07-04 Tokyo Electron Limited Semiconductor wafer holder with spring-mounted temperature measurement apparatus disposed therein
US6080970A (en) * 1997-12-26 2000-06-27 Kyocera Corporation Wafer heating apparatus
US6379222B2 (en) * 1998-03-06 2002-04-30 Siemens Aktiengesellschaft Methods and apparatus for chemical mechanical planarization (CMP) of a semiconductor wafer
US6259592B1 (en) * 1998-11-19 2001-07-10 Applied Materials, Inc. Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6705394B1 (en) * 1999-10-29 2004-03-16 Cvc Products, Inc. Rapid cycle chuck for low-pressure processing
US6365879B1 (en) * 2000-01-20 2002-04-02 Sumitomo Electric Industries, Ltd. Wafer holder for semiconductor manufacturing apparatus
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060144516A1 (en) * 2004-12-30 2006-07-06 Lam Research Inc., A Delaware Corporation Apparatus for spatial and temporal control of temperature on a substrate
US8735298B2 (en) 2004-12-30 2014-05-27 Lam Research Corporation Method for spatial and temporal control of temperature on a substrate
US8821639B2 (en) 2004-12-30 2014-09-02 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
US8051556B2 (en) 2004-12-30 2011-11-08 Lam Research Corporation Method of manufacturing apparatus for spatial and temporal control of temperature on a substrate
US20090078360A1 (en) * 2004-12-30 2009-03-26 Lam Research Corporation Method of manufacturing apparatus for spatial and temporal control of temperature on a substrate
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
US10636689B2 (en) 2004-12-30 2020-04-28 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
US11302556B2 (en) 2004-12-30 2022-04-12 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
US7525787B2 (en) 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US20090174983A1 (en) * 2005-09-30 2009-07-09 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US20070076346A1 (en) * 2005-09-30 2007-04-05 Rajinder Dhindsa Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US8000082B2 (en) 2005-09-30 2011-08-16 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US20100200566A1 (en) * 2006-05-17 2010-08-12 EAGLE INDUSTRY co, Ltd. Heating apparatus
US7501605B2 (en) 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US20090161286A1 (en) * 2006-08-29 2009-06-25 Lam Research Corporation Electrostatic chuck support assembly
US7939784B2 (en) 2006-08-29 2011-05-10 Lam Research Corporation Electrostatic chuck support assembly
US20080083736A1 (en) * 2006-08-29 2008-04-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US20090111276A1 (en) * 2007-10-31 2009-04-30 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US8083855B2 (en) 2007-10-31 2011-12-27 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US8216486B2 (en) 2007-10-31 2012-07-10 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US8696862B2 (en) 2008-02-06 2014-04-15 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate temperature control method
US20090194264A1 (en) * 2008-02-06 2009-08-06 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate temperature control method
US20090212010A1 (en) * 2008-02-21 2009-08-27 Judy Wang Plasma etching carbonaceous layers with sulfur-based etchants
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US20100083902A1 (en) * 2008-10-02 2010-04-08 Samsung Electronics Co., Ltd. Plasma generating device
US8405005B2 (en) 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US20100193501A1 (en) * 2009-02-04 2010-08-05 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US20140346743A1 (en) * 2010-10-15 2014-11-27 Hamid Tavassoli Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
US9248509B2 (en) * 2010-10-15 2016-02-02 Applied Materials, Inc. Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
US20130148253A1 (en) * 2011-12-07 2013-06-13 Shinko Electric Industries Co., Ltd. Substrate temperature adjusting-fixing device and manufacturing method thereof
US20140251214A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
US20140356985A1 (en) * 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
TWI633622B (en) * 2013-06-03 2018-08-21 蘭姆研究公司 Temperature controlled substrate support assembly
US10879053B2 (en) 2013-06-03 2020-12-29 Lam Research Corporation Temperature controlled substrate support assembly
US20160126119A1 (en) * 2014-11-05 2016-05-05 Samsung Electronics Co., Ltd. Laser annealing apparatus
WO2017003646A1 (en) * 2015-06-29 2017-01-05 Varian Semiconductor Equipment Associates, Inc. Thermal shield for electrostatic chuck
US10157764B2 (en) 2015-06-29 2018-12-18 Varian Semiconductor Equipment Associates, Inc. Thermal shield for electrostatic chuck
US20180012785A1 (en) * 2016-07-07 2018-01-11 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US11380555B2 (en) * 2019-11-25 2022-07-05 Tokyo Electron Limited Etching method and etching apparatus
US20220223425A1 (en) * 2021-01-08 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. By-site-compensated etch back for local planarization/topography adjustment

Also Published As

Publication number Publication date
SG158101A1 (en) 2010-01-29
CN102122607B (en) 2013-03-20
JP2014146822A (en) 2014-08-14
US9824904B2 (en) 2017-11-21
KR101109440B1 (en) 2012-01-31
TW200633567A (en) 2006-09-16
US20090215201A1 (en) 2009-08-27
CN101111934A (en) 2008-01-23
SG10201408008QA (en) 2015-01-29
WO2006068805A1 (en) 2006-06-29
US8963052B2 (en) 2015-02-24
TWI481297B (en) 2015-04-11
JP2008522446A (en) 2008-06-26
CN102122607A (en) 2011-07-13
SG10201609601XA (en) 2016-12-29
KR20070088758A (en) 2007-08-29
WO2006068805A9 (en) 2006-08-24
US20150187619A1 (en) 2015-07-02
JP2011244011A (en) 2011-12-01

Similar Documents

Publication Publication Date Title
US9824904B2 (en) Method and apparatus for controlling spatial temperature distribution
US6847014B1 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7274004B2 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US11302556B2 (en) Apparatus for spatial and temporal control of temperature on a substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BENJAMIN, NEIL;STEGER, ROBERT;REEL/FRAME:016054/0595

Effective date: 20041201

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION