KR101691044B1 - 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법 - Google Patents

기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법 Download PDF

Info

Publication number
KR101691044B1
KR101691044B1 KR1020117020287A KR20117020287A KR101691044B1 KR 101691044 B1 KR101691044 B1 KR 101691044B1 KR 1020117020287 A KR1020117020287 A KR 1020117020287A KR 20117020287 A KR20117020287 A KR 20117020287A KR 101691044 B1 KR101691044 B1 KR 101691044B1
Authority
KR
South Korea
Prior art keywords
substrate
heating electrode
electrode
electrostatic chuck
heating
Prior art date
Application number
KR1020117020287A
Other languages
English (en)
Other versions
KR20110117693A (ko
Inventor
마틴 주커
대니얼 제이. 디바인
이영재
Original Assignee
맷슨 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 맷슨 테크놀로지, 인크. filed Critical 맷슨 테크놀로지, 인크.
Publication of KR20110117693A publication Critical patent/KR20110117693A/ko
Application granted granted Critical
Publication of KR101691044B1 publication Critical patent/KR101691044B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N1/00Electrostatic generators or motors using a solid moving electrostatic charge carrier
    • H02N1/06Influence generators
    • H02N1/08Influence generators with conductive charge carrier, i.e. capacitor machines
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판의 표면에 걸친 원하는 온도 프로파일을 유지하는 정전 척 시스템이 개시된다. 정전 척 시스템은, 표면에 걸친 실질적으로 균일한 온도 프로파일을 정의하는 받침대 지지부와, 받침대 지지부에 의해 지지되는 정전 척을 포함한다. 정전 척은 클램핑 전극 및 복수의 독립적으로 제어되는 가열 전극을 포함한다. 독립적으로 제어되는 가열 전극은 내부 가열 구역을 정의하는 내부 가열 전극과 갭 거리만큼 분리된 주변 가열 구역을 정의하는 주변 가열 전극을 포함한다. 기판의 표면에 걸친 온도 프로파일은 받침대 열 구역, 내부 가열 구역, 주변 가열 구역의 열특성을 가변하거나, 또는 내부 가열 전극 및 주변 가열 전극 사이의 갭 거리의 크기를 가변함으로써 튜닝될 수 있다.

Description

기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법{ELECTROSTATIC CHUCK SYSTEM AND PROCESS FOR RADIALLY TUNING THE TEMPERATURE PROFILE ACROSS THE SURFACE OF A SUBSTRATE}
[우선권 주장]
본 출원은 전문이 참조로서 본 명세서에 편입되는 2009년 2월 4일 출원된 미국 특허 가출원 No. 61/149,876의 우선권의 이익을 주장한다.
다양하고 상이한 종류의 처리 챔버(processing chamnber)가 상이한 종류의 워크피스 및 기판을 처리하기 위하여 사용가능하다. 기판은, 예를 들어, 유리 플레이트(glass plate), 필름, 리본, 솔라 패널(solar panel), 미러, 액정 디스플레이, 반도체 웨이퍼 등을 포함할 수 있다. 많은 상이한 종류의 처리 챔버는, 예를 들어, 집적 회로 칩의 제조 동안 반도체 웨이퍼를 처리하기 위하여 사용가능하다. 처리 챔버는 웨이퍼를 어닐하고(aneal), 화학 기상 증착, 플라즈마 화학 기상 증착, 에칭 처리 및 다른 증착 처리를 수행하는데 사용될 수 있다.
챔버는 일반적으로 처리하는 동안 기판을 유지하기 위하여 정전 척 어셈블리(electrostatic chuck assembly)와 같은 기판 홀더를 포함한다. 일반적인 정전 척은 유전층이 덮이는 하나 이상의 클램핑 전극을 포함한다. 클램핑 전극은 정전 척의 상면으로 기판을 유지하기 위하여 정전 클램핑 힘을 생성하는데 사용된다. 기판이 척으로 단단히 유지되면, 처리 가스가 챔버에 유입되고, 기판을 처리하기 위하여 플라즈마가 형성된다. 기판은 CVD, PVD, 에칭, 임플란트, 산화, 질화 또는 다른 방법에 의해 처리될 수 있다.
많은 방법에서, 처리하는 동안 기판의 온도를 제어하는 것이 바람직하다. 방법은, 예를 들어, 기판의 온도가 균일하고 원하는 속도로 그리고 원하는 최대값 및 최소값으로 증가 및 감소되는지에 대하여 최적화될 수 있다. 일부 실시예에서, 기판을 복수의 가열 구역으로 분할되어, 각 가열 구역은 기판을 처리하는 동안 기판의 표면에 걸친 상이한 가열 효과를 보상하기 위하여 상이한 온도에서 유지되는 것이 바람직할 수 있다. 예를 들어, 기판은 내부 가열 구역과 주변 가열 구역을 포함할 수 있으며, 기판의 주변에서 발생하는 추가적인 열손실을 보상하기 위하여 주변 가열 구역은 내부 가열 구역보다 더 높은 온도에서 유지된다. 인접하는 가열 구역이 상이한 온도로 유지되는 상황에서, 처리하는 동안의 가변하는 가열 효과를 더욱 정밀하게 보상하기 위하여 인접한 가열 구역 사이에 경사가 급하거나 가파른 온도 경사를 가지는 것이 바람직할 수 있다.
따라서, 기판의 표면에 걸친 온도 프로파일의 방사상 튜닝 또는 제어를 허용하고 인접한 가열 구역 사이의 경사가 급한 온도 경사를 제공하는 정전 척 어셈블리에 대한 요구가 있다.
본 발명의 양태 및 이점이 이어지는 발명을 실시하기 위한 구체적인 내용에서 설명되거나, 또는 발명을 실시하기 위한 구체적인 내용으로부터 자명하거나, 또는 본 발명의 실시를 통해 학습될 수 있다.
본 개시 내용의 예시적인 일 실시예는 기판의 표면에 걸친 온도 프로파일을 유지하는 시스템을 제공한다. 본 시스템은 받침대 열 제어 시스템을 가지며, 받침대 열 제어 시스템은 받침대 열 제어 시스템의 출력에 기초한 열특성을 갖는 받침대 열구역을 제공한다. 받침대 열 구역은 받침대 지지부의 표면에 걸친 실질적으로 균일한 온도 프로파일을 정의한다. 정전 척 어셈블리는 받침대 지지부에 의해 지지된 정전 척을 더 포함한다. 정전 척은 클램핑 전극과 복수의 가열 전극을 포함한다. 가열 전극은 내부 가열 전극 및 갭 거리만큼 분리된 주변 가열 전극을 포함한다. 내부 가열 전극은 내부 가열 전극의 출력에 기초한 열특성을 갖는 내부 가열 구역을 정의한다. 주변 가열 전극은 주변 가열 전극의 출력에 기초한 열특성을 갖는 주변 가열 구역을 정의한다. 정전 척 어셈블리는 받침대 열 제어 시스템, 내부 가열 전극, 또는 주변 가열 전극의 출력의 적어도 하나를 가변함으로써 기판의 표면에 걸친 온도 프로파일을 조정하는 제어 시스템을 포함한다.
본 특정 실시예의 변형예에서, 제어 시스템은, 받침대 열 제어 시스템, 내부 가열 전극, 또는 주변 가열 전극의 출력의 적어도 하나를 가변함으로써 내부 가열 구역 및 주변 가열 구역 사이의 온도 경사를 조정할 수 있다.
본 예시적인 실시예의 다른 변형예에서, 클램핑 전극은 모노폴라 전극 또는 바이폴라 전극일 수 있다. 또한, 정전 척 어셈블리는 알루미늄 산화물과 같은 낮은 열 전도도를 갖는 세라믹 재료로 주로 이루어질 수 있다. 정전 척의 상면은 돋우어 올려진(raised) 주변 밀봉 링 및 돋우어 올려진 주변 밀봉 링의 높이와 대략 같은 높이를 가지는 복수의 메사를 포함할 수 있다.
본 예시적인 실시예의 또 다른 변형예에서, 받침대 열 제어 시스템은 열 교환 유체를 순환시키는 유체 흐름 채널을 포함할 수 있다. 예를 들어, 열 제어 시스템은 받침대 지지부의 표면에 걸친 실질적으로 균일한 온도 프로파일을 제공하도록 제어되는 내부 흐름 채널 및 주변 유체 흐름 채널을 포함할 수 있다. 받침대 열 구역의 열특성은 받침대 열 제어 시스템의 출력을 조정함으로써, 예를 들어 유체 흐름 채널을 통해 흐르는 유체의 온도 또는 흐름을 조절함으로써 가변될 수 있다.
본 예시적인 실시예의 여전히 다른 변형예에서, 정전 척은 내부 가열 전극과 주변 가열 전극 사이의 추가 가열 전극을 포함한다. 내부 가열 전극과 주변 가열 전극 사이의 갭 거리는 추가 가열 전극을 수전함으로써 조정될 수 있다. 제어 시스템은 갭 거리의 크기를 조정함으로써 내부 가열 구역 및 주변 가열 구역 사이의 온도 경사를 조정할 수 있다.
본 개시 내용의 다른 예시적인 실시예는 처리하는 동안 기판의 표면에 걸친 온도 프로파일을 유지하는 시스템을 제공한다. 본 시스템은 표면에 걸친 실질적으로 균일한 온도 프로파일을 정의하는 받침대와, 클램핑 전극 및 복수의 가열 전극을 갖는 정전 척을 포함한다. 가열 전극은 내부 가열 구역을 정의하는 내부 가열 전극과 주변 가열 구역을 정의하는 주변 가열 전극을 포함한다. 내부 가열 전극 및 주변 가열 전극은 내부 가열 구역 및 주변 가열 구역 사이에서 온도 경사를 생성하기에 충분한 크기를 갖는 갭 거리만큼 분리된다. 온도 경사는 주변 가열 구역의 온도가 내부 가열 구역의 온도보다 대략 28.5℃ 더 높게 유지될 때 적어도 6℃/cm이다. 예를 들어, 온도 경사는 적어도 10℃/cm이다. 본 예시적인 실시예의 변형예에서, 갭 거리는 대략 15 mm 내지 35 mm, 대략 18 mm 내지 30 mm, 대략 20 mm, 또는 임의의 다른 갭 거리나 갭 거리 범위일 수 있다.
본 개시 내용의 또 다른 실시예는 기판의 표면에 걸친 온도 프로파일을 조정하는 방법을 제공한다. 본 방법은 받침대에 의해 지지되는 정전 척을 포함하는 정전 척 어셈블리에 기판을 배치하는 단계를 포함한다. 정전 척은 클램핑 전극과 내부 가열 구역을 정의하는 내부 가열 전극과 주변 가열 구역을 정의하는 주변 가열 전극을 포함한다. 내부 가열 전극 및 주변 가열 전극은 갭 거리만큼 분리된다. 본 방법은 클램핑 전극을 수전하여 정전 척 어셈블리에 기판을 클램핑하는 단계와, 내부 가열 전극 및 주변 가열 전극 사이의 갭 거리의 크기를 조정함으로써 내부 가열 구역 및 주변 가열 구역 사이의 온도 경사를 튜닝하는 단계를 더 포함한다.
본 예시적인 실시예의 변형예에서, 내부 가열 전극 및 주변 가열 전극 사이의 갭 거리의 크기는 내부 가열 전극 및 주변 가열 전극 사이에 위치된 추가 가열 전극을 수전함으로써 가변될 수 있다. 본 예시적인 실시예의 다른 변형예에서, 내부 가열 구역 및 주변 가열 구역 사이의 온도 경사는 내부 가열 전극 또는 주변 가열 전극 사이에 공급된 전력을 조정하여, 또는 받침대를 통해 흐르는 열 전달 유체의 흐름 및 온도를 조절하여 조정될 수 있다.
본 발명의 이와 같은 그리고 다른 특징, 양태 및 이점은 이어지는 설명과 첨부된 특허청구범위를 참조하여 더 많이 이해할 것이다. 본 명세서에 포함되어 그 일부를 구성하는 첨부된 도면은 본 발명의 실시예를 도시하고, 발명을 실시하기 위한 구체적인 내용과 함께 본 발명의 원리를 설명하는 역할을 한다.
본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 대한 완전하고 실시가능한 최선의 형태를 포함하는 본 발명의 개시 내용이 다음의 첨부된 도면을 참조하여 본 명세서에서 설명된다:
도 1은 본 개시 내용의 예시적인 실시예에 따른 정전 척 어셈블리의 측면도를 도시한다;
도 2는 본 개시 내용의 예시적인 실시예에 따른 예시적인 받침대 지지부의 평면도를 도시한다;
도 3은 본 개시 내용의 예시적인 실시예에 따른 정전 척 어셈블리의 측면도를 도시한다;
도 4는 본 개시 내용의 예시적인 실시예에 따른 정전 척 클램핑 표면을 도시한다;
도 5는 본 개시 내용의 예시적인 실시예에 따른 층을 이룬 정전 척을 도시한다;
도 6은 본 개시 내용의 예시적인 실시예에 따른 클램핑 전극의 예시적인 패턴의 평면도를 도시한다;
도 7은 본 개시 내용의 예시적인 실시예에 따른 가열 전극의 예시적인 패턴의 평면도를 도시한다;
도 8은 본 개시 내용의 예시적인 실시예에 따른 기판의 표면에 걸친 온도 프로파일의 그래프 표현을 도시한다;
도 9는 본 개시 내용의 예시적인 실시예에 따른 내부 가열 전극 및 외부 가열 전극 사이의 가동 인터페이스를 제공하는 가열 전극 구성을 도시한다;
도 10은 다양한 갭 거리에 대한 기판의 중심으로부터의 거리의 함수로서 도시된 기판의 온도 차이의 그래프 표현을 도시한다;
도 11은 다양한 갭 거리에 대한 기판의 중심으로부터의 거리의 함수로서 도시된 기판의 온도 차이의 다른 그래프 표현을 도시한다; 그리고,
도 12는 내부 전극에 인가된 가변하는 양의 전력에 대하여 기판의 중심으로부터의 거리의 함수로서 도시된 기판의 온도 차이의 그래프 표현을 도시한다.
이하, 하나 이상의 예가 도면에서 도시되는 본 발명의 실시예가 상세히 참조될 것이다. 각 예는 본 발명의 한정이 아니라 본 발명의 설명으로서 제공된다. 사실, 다양한 수정 및 변형이 본 발명의 범위 또는 기술적 사상을 벗어나지 않으면서 이루어질 수 있다는 것이 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 명백할 것이다. 예를 들어, 일 실시예의 일부로서 예시되거나 설명된 특징은 다른 실시예와 함께 사용되어 또 다른 실시예를 만들 수 있다. 따라서, 본 발명은 첨부된 특허청구범위의 범위 내에 있는 이러한 수정 및 변형과 그 균등물 포함하도록 의도된다.
일반적으로, 본 개시 내용은 기판을 지지하고 처리하는 동안 기판의 표면에 걸친 원하는 온도 프로파일을 유지하기 위한 정전 척 시스템 및 방법에 관한 것이다. 예시적인 온도 프로파일은 기판의 주변 에지로부터의 거리의 함수로서 도 8에 그래프로 표시된다. 또한, 온도 프로파일은 기판의 중심으로부터의 거리의 함수로서 그래프적으로 도시될 수 있다.
본 예시적인 실시예의 정전 척 어셈블리는 받침대 열 구역을 제공하는 받침대 열 제어 시스템을 갖는 받침대 지지부를 포함할 수 있다. 받침대 열 구역은 받침대 지지부의 표면에 걸친 실질적으로 균일한 온도 프로파일을 정의한다. 받침대 열 구역은 받침대 열 제어 시스템의 출력에 기초한 열특성을 갖는다.
정전 척 어셈블리는 받침대 지지부에 의해 지지되는 정전 척을 더 포함할 수 있다. 정전 척은 클램핑 전극과 복수의 독립적으로 제어되는 가열 전극을 가질 수 있다. 독립적으로 제어되는 가열 전극은 내부 가열 전극과 주변 가열 전극을 포함한다. 내부 가열 전극은 내부 가열 전극의 출력에 기초하는 열특성을 갖는 내부 가열 구역을 정의한다. 주변 가열 전극은 주변 가열 전극의 출력에 기초하는 열특성을 갖는 주변 가열 구역을 정의한다.
내부 가열 전극과 주변 가열 전극은 갭 거리(gap distance)만큼 분리될 수 있다. 여기에서 사용된 바와 같이 갭 거리라는 용어는 거리를 갖는 수전된(energized) 가열 전극 사이의 공간 또는 갭을 말한다. 갭 거리는 바람직하게는 알루미늄 산화물과 같은 낮은 열전도도의 유전 재료를 포함한다. 또한, 갭 거리는 가열 전극 사이에 가동 인터페이스(movable interface)를 갖는 정전 척 어셈블리의 실시예에서와 같이 수전되지 않은 추가의 가열 전극을 포함할 수 있다. 갭 거리의 크기는 수전된 가열 전극 사이의 분리 거리를 말한다.
소정의 실시예에서, 정전 척 어셈블리는 받침대 열 제어 시스템, 내부 가열 전극, 또는 주변 가열 전극의 출력을 가변함으로써 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하거나 조정하는 제어 시스템을 포함한다. 또한, 제어 시스템은 가열 전극 사이의 갭 거리의 크기를 가변함으로써 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하거나 조정할 수 있다. 제어 시스템은 받침대 열 제어 시스템, 내부 가열 전극, 또는 주변 가열 전극의 출력을 가변시키기 위한 단일의 컨트롤러 또는 여러 컨트롤러를 포함할 수 있다.
정전 척의 다양한 가열 구역은 상이한 온도로 유지될 수 있다. 예를 들어, 주변 가열 구역의 온도는 내부 가열 구역의 온도보다 더 높은 온도로 유지될 수 있다. 이러한 상황에서, 내부 가열 구역과 주변 가열 구역 사이에 온도 경사가 존재한다. 온도 경사는 기판의 단위 길이에 대하여 기판의 온도가 상이한 양을 말한다. 예를 들어, 6℃/cm의 온도 경사는 1 cm 길이에 대하여 6℃만큼 온도가 가변한다는 것을 나타낸다.
본 개시 내용의 실시예에 따른 정전 척 어셈블리는 받침대 열 구역, 내부 가열 구역 및/또는 주변 가열 구역의 적어도 하나의 열특성을 가변하거나 내부 가열 구역 및 주변 가열 구역 사이의 갭 거리의 크기를 조정함으로써 사용자가 2개의 가열 구역 사이의 온도 경사를 조정할 수 있게 한다. 이러한 방법으로, 본 개시 내용의 실시예에 따른 정전 척 어셈블리는 처리하는 동안 기판에서 원하는 온도 경사를 획득하는 능력을 향상시킨다.
도 1을 참조하면, 예시적인 정전 척 어셈블리(100)는 금속 받침대 지지부(200)와 정전 척(300)을 포함한다. 받침대 지지부(200)는 정전 척(300)을 지지하기 위한 상면을 가진다. 정전 척(300)의 상면 또는 클램핑면(310)은 처리하는 동안 기판(400)을 지지한다. 기판(400)은 플라즈마 에칭 처리, 열 처리, 또는 다른 처리를 받은 반도체 웨이퍼일 수 있다.
정전 척(300)은 탄성 중합체(elastomeric) 접착제를 이용하여 받침대 지지부(200)에 부착될 수 있다. 이 접착제는 받침대 지지부(200)와 정전 척(300) 사이의 상이한 열 팽창으로부터 생성된 스트레스를 최소화하기 위하여 얇아야만 한다. 또한, 접착제는 정전 척(300)과 받침대 지지부(200) 사이의 양호한 열 콘택을 보장하여야 한다. 예를 들어, 접착층은 대략 1.1 W/mK의 열 전도도 및 대략 .28 mm의 두께를 가질 수 있다.
열 지지부(200)는 금속(예를 들어, 알루미늄)으로 이루어질 수 있으며, 받침대 지지부(200)의 전체 상면에 걸친 실질적으로 균일한 온도 프로파일을 유지하기 위하여 열 제어 시스템을 포함한다. 열 제어 시스템은 열 제어 시스템의 출력에 기초한 열특성을 갖는 받침대 열 구역을 제공한다. 예를 들어, 받침대 열 구역의 열 특성은 받침대를 통한 열 교환 유체의 흐름을 조절함으로써 또는 받침대를 통해 흐르는 열 교환 유체의 온도를 조정함으로써 가변될 수 있다.
도 1 및 2에서, 열 제어 시스템은 받침대를 통해 열 교환 유체를 순환시키는 2개의 분리된 채널(220, 240)을 포함한다. 2개의 분리된 채널(220, 240)은 균일한 받침대 열 구역을 제공하기 위하여 동일한 온도로 동작된다. 다양한 온도 제어 장치가 채널(220, 240)의 온도를 동일한 온도로 유지하는데 사용될 수 있다. 예를 들어, 2개의 채널(220, 240)은 양 채널을 동일한 온도로 제어할 단일 또는 듀얼 열교환 재순환 시스템 중 하나에 연결될 수 있다.
2개의 채널(220, 240)의 사용은, 소정의 실시예에서, 단일 채널이 충분한 열을 추출할 수 없기 때문에 바람직하다. 예를 들어, 단일 채널은 너무 길 수 없어, 단일 채널을 이동하는 액체의 열특성을 액체가 채널을 빠져나오기 실질적으로 전에 변경시킨다. 또한, 예를 들어 3 GPM의 흐름으로 유지되는 2개 채널은 예를 들어 6 GPM으로 유지되는 하나의 채널보다 구현하기 용이하다(즉, 라인 및 연결부가 더 작다). 받침대 지지부(200)는 받침대 본체 내부에 수용된 2개의 분리된 채널(220, 240)을 통해 2개 스트림의 열 제어 액체를 순환시키기 위한 2개의 입력부(222, 242)와 2개의 출력부(224, 244)를 갖는다. 열 제어 액체는 물 글리콜 혼합물 또는 다른 적합한 열 교환 액체일 수 있다.
도 3에 도시된 바와 같이, 정전 척(300)은 클램핑 전극(320)과 복수의 독립적으로 제어되는 가열 전극(330)을 포함한다. 클램핑 전극(320)은 정전 척(300)의 클램핑 표면(310)에 기판(400)을 클램핑하기 위하여 정전 클램핑 힘을 생성하는데 사용된다. 가열 전극(330)은 복수의 가열 구역을 정의하는데 사용된다. 가열 구역의 열특성은 독립적으로 제어되는 가열 전극(330)의 출력을 제어함으로써 조정된다.
정전 척(300)은 세라믹 재료를 포함하는 다양한 재료로부터 형성될 수 있다. 바람직하게는, 정전 척 재료는 인접한 열 구역을 사이에서 가파른 온도 경사를 유지하도록 낮은 열 전도도를 가진다. 예를 들어, 정전 척은 대략 94% Al2O3 및 6% SiO2의 조성을 갖는 세라믹 재료로부터 형성될 수 있다.
정전 척(300)은 다층의 가공되지 않은 또는 부분적으로 소성된 세라믹으로부터 형성될 수 있으며, 3 또는 4개의 박막 금속 전극층이 세라믹층 사이에 배치된다. 예를 들어, 도 5는 다층 세라믹 정전 척(300)을 도시한다. 정전 척(300)은 2개의 세라믹층 사이에 배치된 클램핑 전극(320)과 2개의 상이한 세라믹층 사이에 배치된 가열 전극(330)을 포함한다. 모든 세라믹층과 전극은 최종 소성을 받을 것이다.
도 4에 도시된 바와 같이, 정전 척(300)의 최종 제조는 기판(400)의 하면이 정전 척(300)의 클램핑 표면(310)에 클램핑되어 근사 밀봉(approximate seal)을 형성할 돋우어 올려진(raised) 주변 링(312)을 포함하는 돋우어 올려진 클램핑 표면(310)을 형성하는 것을 포함한다. 돋우어 올려진 주변 링(312)은 예를 들어 5 ㎛인 높이(313)를 가질 수 있다. 정전 척(300)의 나머지 클램핑 표면(310)은 주변 링(312)의 높이와 동일한 높이를 갖는 다수의 메사(315)를 포함할 수 있다. 그루브(318)는 정전 척(300)의 클램핑 표면(310)과 정전 척(300)에 탑재된 기판(400) 사이에서, 예를 들어 헬륨 또는 다른 열 전달 가스와 같은 열 전달 가스의 흐름을 허용한다.
정전 척(300)은 존슨-라벡(Johnson-Rahbek) 정전 척 또는 쿨롱(Coulombic) 타입의 정전 척(300)일 수 있다. 존슨-라벡 정전 척은 정전 척(300)의 클램핑 표면(310)과 기판(400) 사이에서 정전 클램핑 힘을 형성한다. 쿨롱 타입 정전 척은 클램핑 표면(320)과 기판(400) 사이에서 정전 클램핑 힘을 형성한다.
클램핑 전극(320)은 텅스텐 또는 적합한 열 팽창 계수와 전기 저항 특성을 갖는 다른 금속과 같은 금속으로 제조될 수 있으며, 단일 세라믹층 또는 인접한 세라믹층들의 표면에 형성될 수 있다. 클램핑 전극(320)은 스크린 인쇄, PVD, CVD, ALD, 또는 플라즈마 또는 아크 스프레이와 같은 증착 처리를 이용하여 형성될 수 있다. 도 5에 도시된 바와 같이, 정전 척(300)은 클램핑 전극을 전원에 연결하기 위한 단일 포스트 연결부(325)를 포함한다. 클램핑 전극(320)의 예시적인 패턴은 도 6에 도시된다.
정전 척(300)은 바이폴라 또는 모노폴라 구성 중 하나로 동작될 수 있다. 예를 들어, 모노폴라 구성의 경우에서, 단일의 디스크 형상의 클램핑 전극(320)이 2개의 세라믹층 사이에 위치된다. 바이폴라 구성의 경우, 2개 이상의 클램핑 전극(320)이 2개의 세라믹층 사이에 배치된다. 2개의 클램핑 전극이 반대 극성을 갖는 전압원에 연결되면, 정전 척(300)은 바이폴라 정전 척으로서 동작될 수 있다. 2개의 전극이 공통 전압원에 연결된다면, 정전 척(300)은 모노폴라 장치로서 동작될 수 있다.
도 3에 도시된 정전 척(300)은 정전 척(300) 내에 매입된 복수의 독립적으로 제어되는 박막의 저항성 가열 전극(330)을 포함한다. 가열 전극(330)은 텅스텐 또는 다른 적합한 금속과 같은 금속으로 제조될 수 있으며, 스크린 인쇄, PVD, CVD, ALD, 또는 플라즈마 또는 아크 스프레이와 같은 종래의 증착 처리를 이용하여 형성될 수 있다. 각 가열 전극(330)은 가열 전극(330)의 출력에 기초한 열특성을 갖는 가열 구역을 정의한다. 예를 들어, 복수의 독립적으로 제어되는 가열 전극(330)은 내부 가열 구역을 정의하는 내부 가열 전극(334)과 주변 가열 구역을 정의하는 주변 가열 전극(332)을 포함한다. 내부 가열 전극(334)은 정전 척(300)의 중심에 위치된 디스크 형상의 가열 구역을 정의한다. 주변 가열 전극(332)은 내부 가열 구역을 둘러싸는 링 형상의 가열 구역을 정의한다. 내부 가열 구역 및 주변 가열 구역은 갭 거리(335)만큼 분리된다. 도 7은 본 개시 내용의 일 실시예에 따른 가열 전극(330)의 예시적인 패턴을 도시한다.
내부 가열 구역과 주변 가열 구역의 열특성은 내부 가열 전극과 주변 가열 전극의 출력을 각각 가변함으로써 조정될 수 있다. 예를 들어, 내부 가열 구역의 열특성은 내부 가열 전극(334)에 공급된 전력량을 조정함으로써 가변될 수 있다. 유사하게, 주변 가열 구역의 열특성은 주변 가열 전극(332)에 공급된 전력량을 조정함으로써 가변될 수 있다. 내부 및 주변 가열 구역의 열특성을 조정하는 능력은 기판의 표면에 걸친 온도 프로파일의 방사상 튜닝을 허용한다. 도 5에 도시된 바와 같이, 가열 전극(330)은 비아(337), 가열 버스(336)와 및 단일 포스트 연결부(338)을 통해 전원에 연결될 수 있다.
기판의 온도 프로파일은 다양한 온도 측정 기술을 이용하여 측정될 수 있다. 예를 들어, 기판의 온도 프로파일은 정전 척의 상면에 근접한 위치에서 정전 척의 온도 프로파일을 측정함으로서 근사화될 수 있다. 본 개시 내용의 전체를 통해, 가열 구역의 온도에 대한 참조는 정전 척의 상면에 근접한 가열 구역 내의 위치에서의 정전 척의 온도를 말한다.
예시적인 일 실시예에서, 광섬유 온도 센서가 온도를 모니터하는데 사용될 수 있다. 본 실시예에서, 형광체를 함유한 작은 디스크는 온도에 비례하는 예측 가능한 감쇠율(decay rate)을 갖는 빛을 발광한다. 형광체 코팅 디스크는 측정이 정전 척(300)의 클램핑 표면(310)에 클램핑된 기판(400)의 온도의 양호한 예측변수(predictor) 역할을 하도록 정전 척(300)의 클램핑 표면(310)의 근처에 위치된다. 광섬유는 형광체에 의해 발광된 빛을 용이하게 캡쳐하도록 형광체 코팅 디스크로부터 고정된 거리에 위치된다.
도 8은 기판의 표면에 걸친 예시적인 원하는 온도 프로파일에 대한 그래프 표현을 도시한다. 도시된 바와 같이, 주변 가열 구역에 대응하는 기판의 주변 에지는 내부 가열 구역에 대응하는 기판의 중심부의 온도(T1)보다도 더 높은 온도(T2)를 획득하도록 튜닝되었다.
본 개시 내용의 정전 척 어셈블리는, 온도 경사가 종래 기술에서 알려진 기판 홀더에 의해 획득된 경사보다 더 가파르게 되도도록 내부 가열 구역과 주변 가열 구역 사이의 온도 경사를 튜닝하는데 사용될 수 있다. 예를 들어, 내부 가열 구역과 주변 가열 구역 사이의 온도 경사는 받침대 열 제어 시스템, 내부 가열 전극 또는 주변 가열 전극의 출력을 가변함으로써 튜닝될 수 있다. 또한, 본 출원인은 온도 경사는 내부 가열 전극과 주변 가열 전극 사이의 갭 거리의 크기를 가변함으로써 튜닝될 수 있다는 것을 발견하였다.
내부 가열 전극과 주변 가열 전극 사이의 갭 거리의 크기를 가변하기 위한 가동(movable) 인터페이스가 도 9에 개시된다. 가동 인터페이스는 내부 가열 전극(334)과 주변 가열 전극(332) 사이에 배치된 추가 가열 전극(336)을 포함한다. 추가 가열 전극(336)의 어느 것도 수전되지 않을 때, 갭 거리(335)의 크기는 내부 가열 전극(334)과 주변 가열 전극(332) 사이의 거리와 같다. 그러나, 추가 가열 전극(336)이 수전되면, 갭 거리는 갭 거리(335)로부터 갭 거리(335')로 조정된다. 갭 거리(335')의 크기는 추가 가열 전극과 주변 또는 내부 가열 전극 사이의 거리이고, 원래의 갭 거리(335)의 크기보다 더 작다. 이러한 가동 인터페이스를 이용하여, 내부 가열 전극과 주변 가열 전극 사이의 온도 경사는 내부 가열 전극와 주변 가열 전극 사이의 갭 거리의 크기를 조정함으로써 튜닝될 수 있다. 이러한 방법으로, 내부 가열 구역 및 주변 가열 구역 사이의 경사가 급하거나 가파른 온도 경사가 유지될 수 있다.
예를 들어, 도 10 및 11은 내부 가열 구역 및 주변 가열 구역 사이의 온도 경사에 대한 내부 가열 구역 및 주변 가열 구역 사이의 갭 거리의 크기를 변경하는 효과를 나타내는 시뮬레이션 결과를 제공한다. 시뮬레이션을 수행하는데 있어서, 주변 가열 구역은 내부 가열 구역의 온도보다 대략 28.5℃도 더 높은 온도로 유지되었다. 도 10 및 도 11은 내부 가열 전극 및 주변 가열 전극 사이의 가변하는 갭 거리를 갖는 정전 척 어셈블리에 대하여 정전 척의 중심으로부터의 거리의 함수로서 도시된 온도 차이의 그래프 표현을 제공한다. 도시된 바와 같이, 온도 경사의 경사가 급하거나 가파른 정도는 내부 가열 전극 및 주변 가열 전극 사의 갭 거리의 크기에 의존한다. 더욱 상세하게는, 온도 경사는 2 mm 갭에서의 대략 6℃/cm에서 35 mm 갭에서의 대략 10℃/cm로 가변한다.
이러한 예에서, 온도 경사는 기판의 중심으로부터의 거리의 함수로 도시된 온도 차이 곡선의 기울기를 계산함으로써 측정되었다. 기울기는 다음의 식에 따라 온도 차이 곡선의 중간 50%에 대하여 온도에서의 차이의 변동을 거리에서의 변동으로 나눈 것과 동일하다:
Figure 112011067982930-pct00001

여기에서, T2 = .75Tmax; T1 = .25Tmax; d2 = T2에서의 중심으로부터의 거리; d1 = T1에서의 중심으로부터의 거리; 및 Tmax = 최대 온도 차이이다. 도 10 및 11에 도시된 결과는 내부 가열 구역 및 주변 가열 구역 사이의 온도 경사는 내부 가열 전극 및 주변 가열 전극 사이의 갭 거리의 크기에 적어도 부분적으로 기초하여 튜닝가능하다.
또한, 온도 경사는 내부 또는 주변 가열 전극에 공급된 전력을 가변함으로써 튜닝될 수 있다. 도 12는 내부 가열 전극에 인가된 가변하는 양의 에너지로 20 mm의 갭 거리를 갖는 정전 척 어셈블리에 대하여 기판의 중심으로부터의 거리의 함수로서 기판 표면에서의 온도 차이의 그래프 표현을 제공한다. 도 12는 인접한 전극 사이에 갭을 고정된 채 유지하고 내부 전극에 공급된 에너지만을 변경함으로써, 온도 경사가 1 W/cm2에서의 대략 8℃/cm로부터 3 W/cm2에서의 대략 13.5℃/cm로 증가한다.
본 내용이 특정의 예시적인 실시예 및 그 방법을 참조하여 상세히 설명되었지만, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 전술한 내용의 이해를 달성함에 따라 이러한 실시예에 대한 변형물, 수정물 및 균등물을 용이하게 만들 수 있다는 것이 이해될 것이다. 따라서, 본 발명의 범위는 한정이 아니라 예에 의하며, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 자명한 바와 같이 본 발명은 본 발명에 대한 이러한 수정물, 변형물 및/또는 부가물의 포함을 배제하지 않는다.

Claims (15)

  1. 기판의 표면에 걸친 온도 프로파일을 조정하는 시스템에 있어서,
    받침대 열 구역을 제공하는 받침대 열 제어 시스템을 포함하는 받침대 지지부 - 상기 받침대 열 구역은 상기 받침대 지지부의 표면에 걸친 균일한 온도 프로파일을 정의하며, 상기 받침대 열 구역은, 상기 받침대 열 제어 시스템의 출력에 기초한 열특성을 가짐 -;
    상기 받침대 지지부에 의해 지지된 정전 척 - 상기 정전 척은 클램핑 전극과 복수의 가열 전극을 포함하며, 상기 가열 전극은 갭 거리만큼 분리된 내부 가열 전극 및 주변 가열 전극을 포함하며, 상기 내부 가열 전극은 상기 내부 가열 전극의 출력에 기초한 열특성을 갖는 내부 가열 구역을 정의하고, 상기 주변 가열 전극은 상기 주변 가열 전극의 출력에 기초한 열특성을 갖는 주변 가열 구역을 정의함 -; 및
    상기 받침대 열 제어 시스템, 상기 내부 가열 전극 또는 상기 주변 가열 전극의 출력의 적어도 하나를 가변함으로써 상기 기판의 표면에 걸친 온도 프로파일을 조정하는 제어 시스템
    을 포함하고,
    상기 정전 척은 상기 내부 가열 전극과 상기 주변 가열 전극 사이에 적어도 하나의 추가 가열 전극을 포함하고, 상기 제어 시스템은 상기 적어도 하나의 추가 가열 전극을 수전함으로써 상기 내부 가열 전극 및 상기 주변 가열 전극 사이의 갭 거리의 크기를 조정하도록 구성되는,
    기판의 표면에 걸친 온도 프로파일을 조정하는 시스템.
  2. 제1항에 있어서,
    상기 제어 시스템은, 상기 받침대 열 제어 시스템, 상기 내부 가열 전극 또는 상기 주변 가열 전극의 출력의 적어도 하나를 가변함으로써 상기 내부 가열 구역 및 상기 주변 가열 구역 사이의 온도 경사를 조정하도록 더 구성되는,
    기판의 표면에 걸친 온도 프로파일을 조정하는 시스템.
  3. 제1항 또는 제2항에 있어서,
    상기 정전 척은 알루미늄 산화물을 포함하는,
    기판의 표면에 걸친 온도 프로파일을 조정하는 시스템.
  4. 제1항 또는 제2항에 있어서,
    상기 받침대 열 제어 시스템은 열 교환 유체를 순환시키는 유체 흐름 채널을 포함하는,
    기판의 표면에 걸친 온도 프로파일을 조정하는 시스템.
  5. 제1항 또는 제2항에 있어서,
    상기 받침대 열 제어 시스템은 내부 유체 흐름 채널과 주변 유체 흐름 채널을 포함하고, 상기 내부 유체 흐름 채널 및 상기 주변 유체 흐름 채널은 상기 받침대 지지부의 표면에 걸친 균일한 온도 프로파일을 제공하도록 제어되는,
    기판의 표면에 걸친 온도 프로파일을 조정하는 시스템.
  6. 제1항 또는 제2항에 있어서,
    상기 정전 척의 상면은 돋우어 올려진 주변 밀봉 링 및 상기 돋우어 올려진 주변 밀봉 링의 높이와 같은 높이를 가지는 복수의 메사를 포함하는,
    기판의 표면에 걸친 온도 프로파일을 조정하는 시스템.
  7. 삭제
  8. 제1항 또는 제2항에 있어서,
    상기 제어 시스템은 상기 내부 가열 전극 및 상기 주변 가열 전극 사이의 갭 거리의 크기를 가변함으로써 상기 내부 가열 구역 및 상기 주변 가열 구역 사이의 온도 경사를 조정하도록 더 구성되는,
    기판의 표면에 걸친 온도 프로파일을 조정하는 시스템.
  9. 제1항 또는 제2항에 있어서,
    상기 갭 거리는 상기 주변 가열 구역과 상기 내부 가열 구역 사이에 온도 경사를 생성하는 크기를 가지며, 상기 온도 경사는 상기 주변 가열 구역의 온도가 상기 내부 가열 구역의 온도보다 28.5℃ 더 높게 유지될 때 적어도 6℃/cm의 값을 갖는,
    기판의 표면에 걸친 온도 프로파일을 조정하는 시스템.
  10. 삭제
  11. 삭제
  12. 제1항의 시스템을 이용하여 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 방법에 있어서,
    받침대에 의해 지지되는 정전 척을 포함하는 정전 척 어셈블리에 기판을 배치하는 단계 - 상기 정전 척은 클램핑 전극과 내부 가열 구역을 정의하는 내부 가열 전극과 주변 가열 구역을 정의하는 주변 가열 전극을 포함하고, 상기 내부 가열 전극 및 상기 주변 가열 전극은 갭 거리만큼 분리됨 -;
    상기 클램핑 전극을 수전하여 상기 정전 척 어셈블리에 상기 기판을 클램핑하는 단계; 및
    상기 내부 가열 전극 및 상기 주변 가열 전극 사이의 갭 거리의 크기를 조정하여 상기 내부 가열 구역 및 상기 주변 가열 구역 사이의 온도 경사를 조정하는 단계
    를 포함하는,
    기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 방법.
  13. 제12항에 있어서,
    상기 갭 거리의 크기는 상기 내부 가열 전극 및 상기 주변 가열 전극 사이에 위치된 추가 가열 전극을 수전함으로써 조정되는,
    기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 방법.
  14. 제12항에 있어서,
    상기 내부 가열 전극 또는 상기 주변 가열 전극에 공급된 전력을 가변하여 상기 내부 가열 구역 및 상기 주변 가열 구역 사이의 온도 경사를 조정하는 단계
    를 더 포함하는,
    기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 방법.
  15. 제12항에 있어서,
    상기 받침대를 통해 흐르는 열 전달 유체를 조절하여 상기 내부 가열 구역 및 상기 주변 가열 구역 사이의 온도 경사를 조정하는 단계
    를 더 포함하는,
    기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 방법.
KR1020117020287A 2009-02-04 2010-01-29 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법 KR101691044B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14987609P 2009-02-04 2009-02-04
US61/149,876 2009-02-04
PCT/US2010/022465 WO2010090948A1 (en) 2009-02-04 2010-01-29 Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate

Publications (2)

Publication Number Publication Date
KR20110117693A KR20110117693A (ko) 2011-10-27
KR101691044B1 true KR101691044B1 (ko) 2016-12-29

Family

ID=41718915

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117020287A KR101691044B1 (ko) 2009-02-04 2010-01-29 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법

Country Status (6)

Country Link
US (1) US8405005B2 (ko)
JP (2) JP5705133B2 (ko)
KR (1) KR101691044B1 (ko)
CN (1) CN102308380B (ko)
TW (1) TWI576951B (ko)
WO (1) WO2010090948A1 (ko)

Families Citing this family (396)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
EP2490073B1 (en) 2011-02-18 2015-09-23 ASML Netherlands BV Substrate holder, lithographic apparatus, and method of manufacturing a substrate holder
NL2008630A (en) 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI456688B (zh) * 2011-08-05 2014-10-11 Advanced Micro Fab Equip Inc 一種易於釋放晶片的靜電吸盤結構及方法
JP6064908B2 (ja) * 2011-09-28 2017-01-25 住友大阪セメント株式会社 静電チャック装置
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP5717614B2 (ja) * 2011-12-08 2015-05-13 東京エレクトロン株式会社 剥離装置、剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
JP6085616B2 (ja) 2012-02-03 2017-02-22 エーエスエムエル ネザーランズ ビー.ブイ. 基板ホルダ、リソグラフィ装置、デバイス製造方法及び基板ホルダの製造方法
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP2014049667A (ja) * 2012-09-03 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及びこれを備えた基板処理装置
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
WO2014116392A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Electrostatic chuck with concentric cooling base
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP3514700A1 (en) * 2013-02-20 2019-07-24 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101574336B1 (ko) * 2013-10-21 2015-12-07 에이피시스템 주식회사 지지장치 및 이를 구비하는 기판 처리 장치와 이를 이용한 기판 처리 방법
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10006717B2 (en) * 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10000847B2 (en) * 2014-09-24 2018-06-19 Applied Materials, Inc. Graphite susceptor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10497606B2 (en) * 2015-02-09 2019-12-03 Applied Materials, Inc. Dual-zone heater for plasma processing
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
JP6124156B2 (ja) * 2015-04-21 2017-05-10 Toto株式会社 静電チャックおよびウェーハ処理装置
JP6806704B2 (ja) * 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10586718B2 (en) * 2015-11-11 2020-03-10 Applied Materials, Inc. Cooling base with spiral channels for ESC
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
JP6925044B2 (ja) * 2015-12-10 2021-08-25 イオニアー エルエルシーIoneer, Llc 処理運転のパラメータを決定する装置および方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR101878268B1 (ko) * 2016-09-23 2018-08-07 주식회사 테스 박막증착장치 및 박막증착장치의 제어방법
JP6767833B2 (ja) * 2016-09-29 2020-10-14 日本特殊陶業株式会社 加熱装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN108010838B (zh) * 2016-10-27 2020-09-04 中微半导体设备(上海)股份有限公司 等离子体处理装置及硅片温度测量方法
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
WO2018183245A1 (en) 2017-03-31 2018-10-04 Mattson Technology, Inc. Material deposition prevention on a workpiece in a process chamber
JP7065875B2 (ja) 2017-03-31 2022-05-12 マトソン テクノロジー インコーポレイテッド プラズマ処理装置のためのペデスタルアセンブリ
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CN111357089B (zh) * 2017-08-25 2024-01-23 沃特洛电气制造公司 具有多个电极的半导体基板支撑件及其制造方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11382180B2 (en) 2017-11-21 2022-07-05 Watlow Electric Manufacturing Company Multi-zone pedestal heater having a routing layer
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102519544B1 (ko) 2017-12-07 2023-04-07 삼성전자주식회사 웨이퍼 로딩 장치 및 막 형성 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108682635B (zh) * 2018-05-03 2021-08-06 拓荆科技股份有限公司 具有加热机制的晶圆座及包含该晶圆座的反应腔体
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP6773917B2 (ja) * 2018-07-04 2020-10-21 日本碍子株式会社 ウエハ支持台
CN112368415B (zh) 2018-07-05 2024-03-22 朗姆研究公司 衬底处理系统中的衬底支撑件的动态温度控制
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11367645B2 (en) 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
JP6918042B2 (ja) * 2019-03-26 2021-08-11 日本碍子株式会社 ウエハ載置装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
DE102019005093A1 (de) 2019-07-22 2021-01-28 Att Advanced Temperature Test Systems Gmbh Verfahren zur temperatursteuerung bzw. -regelung eines chucks für einen wafer, eine temperiereinrichtung zum temperieren eines chucks sowie ein wafertestsystem zum testen eines wafers
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN110819961B (zh) * 2020-01-09 2020-04-17 上海陛通半导体能源科技股份有限公司 改善薄膜均匀性的物理气相沉积设备
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111430236B (zh) * 2020-05-06 2021-05-14 合肥晶合集成电路股份有限公司 一种晶圆的退火方法
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
DE102020007791A1 (de) * 2020-12-18 2022-06-23 Att Advanced Temperature Test Systems Gmbh Modulares Wafer-Chuck-System
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022146667A1 (en) * 2020-12-29 2022-07-07 Mattson Technology, Inc. Electrostatic chuck assembly for plasma processing apparatus
JP2022165477A (ja) * 2021-04-20 2022-11-01 日新イオン機器株式会社 ウエハ支持装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
TWI829156B (zh) * 2021-05-25 2024-01-11 大陸商北京屹唐半導體科技股份有限公司 電漿源陣列、電漿處理設備、電漿處理系統以及用於在電漿處理設備中加工工件的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
JP2007258607A (ja) * 2006-03-24 2007-10-04 Ngk Insulators Ltd 静電チャック
JP2008085329A (ja) * 2006-09-25 2008-04-10 Tokyo Electron Ltd 基板処理システムに用いられる耐浸食性絶縁層を有する温度制御された基板ホルダ

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1443215A (en) 1973-11-07 1976-07-21 Mullard Ltd Electrostatically clamping a semiconductor wafer during device manufacture
JPS6131636U (ja) 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
US5059770A (en) 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5556204A (en) 1990-07-02 1996-09-17 Hitachi, Ltd. Method and apparatus for detecting the temperature of a sample
JP3238925B2 (ja) 1990-11-17 2001-12-17 株式会社東芝 静電チャック
JPH04196528A (ja) 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
DE69130205T2 (de) 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
EP0506537A1 (en) 1991-03-28 1992-09-30 Shin-Etsu Chemical Co., Ltd. Electrostatic chuck
US5155652A (en) 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5294778A (en) 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JPH05326112A (ja) 1992-05-21 1993-12-10 Shin Etsu Chem Co Ltd 複層セラミックスヒーター
JP2749759B2 (ja) 1993-06-23 1998-05-13 信越化学工業株式会社 静電チャック付セラミックスヒーター
JPH07297268A (ja) 1993-12-27 1995-11-10 Shin Etsu Chem Co Ltd 静電チャック付セラミックスヒーター
JPH07307377A (ja) 1993-12-27 1995-11-21 Shin Etsu Chem Co Ltd 静電チャック付セラミックスヒーター
US5595241A (en) 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
JPH08227933A (ja) 1995-02-20 1996-09-03 Shin Etsu Chem Co Ltd 静電吸着機能を有するウエハ加熱装置
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
JP3457477B2 (ja) * 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US5730803A (en) 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
JPH09260474A (ja) 1996-03-22 1997-10-03 Sony Corp 静電チャックおよびウエハステージ
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5754391A (en) * 1996-05-17 1998-05-19 Saphikon Inc. Electrostatic chuck
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
JP3374033B2 (ja) 1997-02-05 2003-02-04 東京エレクトロン株式会社 真空処理装置
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP3477062B2 (ja) 1997-12-26 2003-12-10 京セラ株式会社 ウエハ加熱装置
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6835916B2 (en) 1999-08-09 2004-12-28 Ibiden, Co., Ltd Ceramic heater
JP2001118664A (ja) 1999-08-09 2001-04-27 Ibiden Co Ltd セラミックヒータ
WO2001013423A1 (fr) 1999-08-10 2001-02-22 Ibiden Co., Ltd. Plaque ceramique pour dispositif de production de semi-conducteurs
WO2001019139A1 (fr) 1999-09-07 2001-03-15 Ibiden Co., Ltd. Plaque chauffante en ceramique
EP1124256A1 (en) 1999-11-10 2001-08-16 Ibiden Co., Ltd. Ceramic substrate
EP1137321A1 (en) 1999-11-30 2001-09-26 Ibiden Co., Ltd. Ceramic heater
JP2002057207A (ja) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
JP2001203257A (ja) 2000-01-20 2001-07-27 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体
EP1193233A1 (en) 2000-02-07 2002-04-03 Ibiden Co., Ltd. Ceramic substrate for semiconductor production/inspection device
US7011874B2 (en) 2000-02-08 2006-03-14 Ibiden Co., Ltd. Ceramic substrate for semiconductor production and inspection devices
US6861165B2 (en) 2000-02-24 2005-03-01 Ibiden Co., Ltd. Aluminum nitride sintered compact, ceramic substrate, ceramic heater and electrostatic chuck
JP2001244320A (ja) 2000-02-25 2001-09-07 Ibiden Co Ltd セラミック基板およびその製造方法
US6888106B2 (en) 2000-04-07 2005-05-03 Ibiden Co., Ltd. Ceramic heater
EP1274280A1 (en) 2000-04-14 2003-01-08 Ibiden Co., Ltd. Ceramic heater
JP2001302330A (ja) 2000-04-24 2001-10-31 Ibiden Co Ltd セラミック基板
EP1225790A1 (en) 2000-05-02 2002-07-24 Ibiden Co., Ltd. Ceramic heater
EP1220302A1 (en) 2000-07-03 2002-07-03 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing/testing apparatus
TW512645B (en) 2000-07-25 2002-12-01 Ibiden Co Ltd Ceramic substrate for semiconductor manufacture/inspection apparatus, ceramic heater, electrostatic clamp holder, and substrate for wafer prober
JP3817414B2 (ja) * 2000-08-23 2006-09-06 株式会社日立製作所 試料台ユニットおよびプラズマ処理装置
EP1251551A1 (en) 2000-08-30 2002-10-23 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing and inspecting equipment
JP2002076102A (ja) 2000-08-31 2002-03-15 Ibiden Co Ltd セラミック基板
JPWO2002043441A1 (ja) 2000-11-24 2004-04-02 イビデン株式会社 セラミックヒータ、および、セラミックヒータの製造方法
US6960743B2 (en) 2000-12-05 2005-11-01 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacturing, and method of manufacturing the ceramic substrate
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
JP5000842B2 (ja) 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6535372B2 (en) 2001-06-20 2003-03-18 Applied Materials, Inc. Controlled resistivity boron nitride electrostatic chuck apparatus for retaining a semiconductor wafer and method of fabricating the same
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US6490145B1 (en) 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
JP3897563B2 (ja) 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
JP3742349B2 (ja) * 2002-02-15 2006-02-01 株式会社日立製作所 プラズマ処理装置
JP3881908B2 (ja) * 2002-02-26 2007-02-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US6664738B2 (en) 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US6897403B2 (en) 2003-03-05 2005-05-24 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
KR100904361B1 (ko) 2003-03-28 2009-06-23 도쿄엘렉트론가부시키가이샤 기판의 온도제어방법 및 시스템
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
JP2006049357A (ja) * 2004-07-30 2006-02-16 Toto Ltd 静電チャックおよび静電チャックを搭載した装置
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US8038796B2 (en) 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
JP4942364B2 (ja) * 2005-02-24 2012-05-30 京セラ株式会社 静電チャックおよびウェハ保持部材並びにウェハ処理方法
US7815740B2 (en) 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US7126091B1 (en) 2005-03-23 2006-10-24 Eclipse Energy Systems, Inc. Workpiece holder for vacuum processing
US8525418B2 (en) 2005-03-31 2013-09-03 Ngk Spark Plug Co., Ltd. Electrostatic chuck
US20060238954A1 (en) 2005-04-21 2006-10-26 Applied Materials, Inc., A Delaware Corporation Electrostatic chuck for track thermal plates
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8709162B2 (en) 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
JP2007224375A (ja) * 2006-02-24 2007-09-06 Nuflare Technology Inc 気相成長装置
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
JP2008251681A (ja) * 2007-03-29 2008-10-16 Hitachi High-Technologies Corp ウエハステージ

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
JP2007258607A (ja) * 2006-03-24 2007-10-04 Ngk Insulators Ltd 静電チャック
JP2008085329A (ja) * 2006-09-25 2008-04-10 Tokyo Electron Ltd 基板処理システムに用いられる耐浸食性絶縁層を有する温度制御された基板ホルダ

Also Published As

Publication number Publication date
JP2015046632A (ja) 2015-03-12
JP2012517122A (ja) 2012-07-26
WO2010090948A1 (en) 2010-08-12
US20100193501A1 (en) 2010-08-05
TW201044491A (en) 2010-12-16
JP5705133B2 (ja) 2015-04-22
CN102308380B (zh) 2014-06-04
CN102308380A (zh) 2012-01-04
KR20110117693A (ko) 2011-10-27
TWI576951B (zh) 2017-04-01
JP6109135B2 (ja) 2017-04-05
US8405005B2 (en) 2013-03-26

Similar Documents

Publication Publication Date Title
KR101691044B1 (ko) 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법
KR102471635B1 (ko) 극도의 균일성의 가열식 기판 지지 조립체
US11282734B2 (en) Electrostatic chuck and method for manufacturing the same
US8295026B2 (en) Electrostatic chuck and substrate processing apparatus having same
US8007591B2 (en) Substrate holder having a fluid gap and method of fabricating the substrate holder
KR101919644B1 (ko) 디척 어시스트된 웨이퍼 후측 플라즈마를 갖는 정전 척
KR20160104104A (ko) 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트
KR20180087411A (ko) 정전 척 기구 및 반도체 처리 장치
JP2000174106A (ja) ワ―クピ―スを保持するための装置
US11769684B2 (en) Wafer heater with backside and integrated bevel purge
US20160204000A1 (en) Method for manufacturing semiconductor device
US11682576B2 (en) Pedestal heater for spatial multi-wafer processing tool
JP2007507104A (ja) 連絡空間を用いた効率的な温度制御のための方法と装置
TW202224092A (zh) 高溫雙極靜電卡盤
JPH0945756A (ja) 半導体製造装置および製造方法
JPH09293775A (ja) 静電チャック
JP2007258607A (ja) 静電チャック
US20230118651A1 (en) Replaceable electrostatic chuck outer ring for edge arcing mitigation
WO2024091261A1 (en) Electrostatic chuck assembly
TW202226444A (zh) 用於電漿處理設備的靜電卡盤組件
JP2023507106A (ja) マルチゾーン静電チャック

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191213

Year of fee payment: 4