TWI576951B - 用以徑向調整橫越基片表面之溫度曲線的靜電夾頭系統及製程 - Google Patents

用以徑向調整橫越基片表面之溫度曲線的靜電夾頭系統及製程 Download PDF

Info

Publication number
TWI576951B
TWI576951B TW099102763A TW99102763A TWI576951B TW I576951 B TWI576951 B TW I576951B TW 099102763 A TW099102763 A TW 099102763A TW 99102763 A TW99102763 A TW 99102763A TW I576951 B TWI576951 B TW I576951B
Authority
TW
Taiwan
Prior art keywords
heating
electrode
heating electrode
zone
electrostatic chuck
Prior art date
Application number
TW099102763A
Other languages
English (en)
Other versions
TW201044491A (en
Inventor
馬提 路克
丹尼爾 J 迪麥
理 傑 永
Original Assignee
瑪森科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 瑪森科技公司 filed Critical 瑪森科技公司
Publication of TW201044491A publication Critical patent/TW201044491A/zh
Application granted granted Critical
Publication of TWI576951B publication Critical patent/TWI576951B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N1/00Electrostatic generators or motors using a solid moving electrostatic charge carrier
    • H02N1/06Influence generators
    • H02N1/08Influence generators with conductive charge carrier, i.e. capacitor machines
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Description

用以徑向調整橫越基片表面之溫度曲線的靜電夾頭系統及製程
本發明申請案請求2009年四月二日提申之美國臨時專利申請案第61/149876號之權利,其內容在此併入以供參考。
本發明係有關一種夾頭系統,尤其是用於維持橫越基片表面之所要的溫度曲線之靜電夾頭系統。
多種處理室係已可取得,用於加工不同的工件及基片。該基片可包括,例如,玻璃板、膜、緞帶、太陽能板、鏡子、液晶顯示器、半導體晶圓、及相似之類等。許多不同的處理室已經可以取得,例如,於製造積體電路期間用於加工半導體晶圓。該處理室可用於退火晶圓,執行化學氣相沉積、電漿增強的化學氣相沉積、蝕刻方法及其他沉積方法。
該室典型地包含一基片握持器,例如靜電夾頭,在加工期間持住基片。一典型的靜電夾頭包含一或更多的夾具電極,其由介電層加以包覆。夾具電極係用於產生一靜電夾力,用於握住基片於靜電夾頭的頂表面。基片穩固地握持在夾頭上後,一處理氣體被引介至室中及形成一電漿用於加工該基片。該基片係可由CVD、PVD、蝕刻、植入、氧化、氮化及其他加工進行處理。
在許多處理中,想要控制處理期間的基片溫度。例如,如果基片的溫度係均勻的及以想要的速率上升或下降至最高或最低點,則處理可予以最佳化。在某些實施例中,想要將基片分割成為數個加熱區,其中在基片加熱期間,每一加熱區係維持於不同的溫度,以補償橫越基片表面之不同的加熱效應。例如,一基片能包含一內加熱區及一外周加熱區,其中該外周加熱區係維持在較高於該內加熱區之溫度,以補償基片外周所發生的額外的熱損失。鄰近加熱區係維持於不同溫度的情況下,較佳地係具有一介於鄰近加熱區之間的急劇或陡峭的溫度梯度,以更加合適地補償加工期間熱效應的改變。
因此,對於一允許徑向地調整或控制該橫越基片表面之溫度曲線的靜電夾頭總成,及其提供鄰近加熱區之間的急劇溫度曲線,係存有需求。
本發明之觀點及優點,將部份地敍說於以下的說明中,或其可經由該說明而成為顯著的,或經由本發明之實施而加以學習。
本發明之一示範性實施例提供一系統,用於維持橫越基片表面之溫度曲線。該系統包含一基座支承,其具有一基座熱控制系統,適用於提供一基座熱區,該基座熱區具有一基於基座熱控制系統之輸出的熱特徴。該基座熱區界定一橫越該基座支承表面之實質均勻的溫度曲線。該靜電夾頭總成進一包含一靜電夾頭,由該基座支承加以支持。該靜電夾頭包含一夾具電極及多數個加熱電極。該加熱電極包括一內加熱電極及一由縫隙距離加以隔離之外周加熱電極。該內加熱電極界定一內加熱區,其具有基於該內加熱電極輸出之熱特徴。該外周加熱電極界定一外周加熱區,其具有基於該外周加熱電極輸出之熱特徵。該靜電夾頭總成包含一控制系統,適用於藉由改變該基座熱控制系統、該內加熱電極或該外周加熱電極之至少一者的輸出,調整該橫越該基片表面之溫度曲線。
在本具體實施例之一變型中,該控制系統係適用於藉由改變該基座熱控制系統、該內加熱電極或該外周加熱電極之至少一者的輸出,而調整一介於該內加熱區及該外周加熱區之間的溫度梯度。
在本具體實施例之另一變型中,該夾具電極可為單極性電極或雙極性電極。該靜電夾頭總成基本上也能夠由低電導性陶瓷材料(如氧化鋁)加以組成。該靜電夾頭之頂表面可包含一凸出的外周密封環,及多數個凸粒(mesas),其高度大約相同於該凸出的外周密封環之高度。
在本具體實施例之另一變型中,該基座熱控制系統可包含一流體流動通道,用於循環一熱交換流體。例如,該基座熱控制系統可包含一內流體流動通道及一外周流體流動通道,此兩者係受到控制而提供該橫越基座支承表面之實質均勻的溫度曲線。基座熱區之熱特徵能夠藉由調整基座熱控制系統之輸出而加以改變,例如,藉由調整溫度、或流經該流體流動通道之流體。
在本具體實施例之另一變型中,該靜電夾頭包含一位在該內加熱電極及該外周加熱電極之間的額外的加熱電極。藉由激發該額外的加熱電極,可調整該內加熱電極及該外周加熱電極之間的縫隙距離尺寸。該控制器適用於藉由調整該縫隙距離尺寸而調整該內加熱區及該外周加熱之間的溫度梯度。
本發明之另一示範性實施例提供一系統,其用於在加工期間維持一橫越基片表面之溫度曲線。該系統包含一基座,界定有一實質均勻的溫度曲線橫越該基座表面;及一靜電夾頭,包括一夾具電極及多數個加熱電極,該加熱電極包括一界定有一內加熱區的內加熱電極及一界定有一外周加熱區的外周加熱電極。該內加熱電極及該外周加熱電極係由一尺寸足以在該外周加熱區及該內加熱區之間產生溫度梯度的縫隙距離加以隔離。該溫度梯度的數值在外周加熱區之溫度受到維持而高於該內加熱區溫度約28.5℃時,係至少約6℃/cm。例如,該溫度梯度係至少約10℃/cm。在本示範性實施例之一變型中,該縫隙距離係約15mm~35mm,例如約18mm~30mm,如約20mm,或其他任何介於該數值之間的縫隙距離或縫隙距離範圍值。
本發明之另一示範性實施例提供一用於調整該橫越基片表面之溫度曲線的方法。該方法包括:將一基片安置在一包括有一靜電夾頭的靜電夾頭總成上。該靜電夾頭包括一夾具電極、一界定有一內加熱區之內加熱電極、及一界定有一外周加熱區之外周加熱電極。該內加熱電極及該外周加熱電極係由一縫隙距離加以隔離。該方法進一步包括:藉由激發該夾具電極而將基片夾在該靜電夾頭總成上;及藉由調整該內加熱電極及該外周加熱電極之間的縫隙距離尺寸,而調整該介於該內加熱區及該外周加熱區之間的溫度梯度。
在本具體實施例之一變型中,該內加熱電極及該外周加熱電極之間的縫隙距離的尺寸,可藉由激發一位在該內加熱電極及該外周加熱電極之間的額外的加熱電極而加以調整。在本具體實施例之另一變型中,藉由改變供應至該內加熱電極或該外周加熱電極的電能、或藉由調節一流經該基座之熱轉移流體,而調整該介於該內加熱區及該外周加熱區之間的溫度梯度。
本發明這些及其他特徴、觀點及優點,在參照以下的說明及所附的申請專利範圍之後,係可更加受到瞭解。併入說明書中而作為說明書之一部份之所附的圖式、說明性的本發明實施例及連同該說明書,只用於解釋本發明之原則而已。
現在以本發明實施例進行詳細描述,其一或更多的例子是圖解於圖式中。每一例子只供解釋本發明而不限制本發明。事實上,一般精於本項技藝人士均可輕易地在不離開本發明範圍之下完成許多改變及變型。例如,作為一實施例之部份的所圖解的或所描述的特徵,係可用於其他實施例而產生一進一步的實施例。因此,本發明打算包含這類落入後附申請專利範圍內及其等價者之修改及變型。
一般而言,本發明係揭示一靜電夾頭系統,及一加工期間用於支持一基片、及維持所想要的橫越基片表面之溫度曲線的方法。一示範性溫度曲線係圖示於第八圖,其係與基片外周緣之距離的函數。溫度曲線亦得圖解為與基片中心之距離的函數。
本示範性實施例的靜電夾頭總成能包含一基座支承,其具有一基座熱控制系統,適用於提供一基座熱區。該基座熱區界定一橫越基座支承表面之實質均勻的溫度曲線。該基座熱區具有基於該基座熱控制系統輸出之熱特徵。
靜電夾頭總成進一步包含一靜電夾頭,其受到該基座支承之支持。靜電夾頭能具有一夾具電極及多數個獨立控制的加熱電極。獨立控制的加熱電極包含一內加熱電極及一外周加熱電極。該內加熱電極界定一內加熱區,其具有一基於該內加熱電極輸出之熱特徵。該外周加熱電極界定一外周加熱區,其具有一基於該外周加熱電極輸出之熱特徵。
內加熱電極及外周加熱電極係可由一縫隙距離加以隔離。在本文中,所謂的”縫隙距離”係指,受激發之具有距離之加熱電極之間的空間或縫隙。縫隙距離較佳地包括一低熱導的介電材料,如氧化鋁。該縫隙距離亦可包括一額外的尚未被激發的加熱電極,例如在加熱電極之間具有可移動介面之靜電夾頭總成之實施例。縫隙距離的尺寸係指受激發之加熱電極之間的分離距離。
在某些實施例中,靜電夾頭總成包含一控制系統,其適用於藉由改變基座熱控制系統、內加熱電極或外周加熱電極之輸出,而徑向地調控或調整該橫越基片表面之溫度曲線。該控制系統也適用於藉由改變加熱電極間縫隙距離之尺寸而徑向地調控或調整該橫越基片表面之溫度曲線。該控制系統可包含一單一的控制器或多數個控制器,用於改變基座熱控制系統、內加熱電極或外周加熱電極之輸出。
靜電夾頭之各個加熱區係可維持於不同溫度之下。例如,外周加熱區之溫度能夠維持在內加熱區溫度之上。在這些情況下,在內加熱區及外周加熱區之間,出現一溫度梯度。溫度梯度係指單位基片長度上之基片溫度差。例如,6℃/cm之溫度梯度係指在1cm長度上溫度改變6℃。
依照本發明實施例之靜電夾頭總成,允許使用者藉由改變基座熱控制系統、內加熱電極及/或外周加熱電極之至少一者之輸出,或藉由調整在內加熱區及外周加熱區之間的縫隙距離尺床,而調整在內加熱區及外周加熱區之間的溫度梯度。藉由這個方式,依照本發明實施例之靜電夾頭總成在加工期間,其取得所要之基片上溫度梯度的能力受到增強。
參照第一圖,一示範性的靜電夾頭總成100包含一金屬基座支承200及一靜電夾頭300。基座支承200具有一頂表面,用於支撐靜電夾頭300。靜電夾頭300之頂表面或夾具表面310在加工期間支撐基片400。基片400可為一半導體晶圓,其被施加電漿蝕刻加工、熱加工或其他加工。
靜電夾頭300能利用彈性膠黏劑而附著在基座支承200。該膠黏劑應為薄的,以降低在基座支承200及靜電夾頭300間,由不同熱膨脹所產生的應力。該膠黏劑亦應確保在基座支承200及靜電夾頭300間的優良熱接觸。例如,該膠黏劑層具有大約1.1 W/mK的熱傳導,及約0.28mm的厚度。
基座支承200可由金屬(如鋁)加以製成,及包含一熱控制系統,用於維持橫越基座支承200之全部頂表面上的實質均勻之溫度曲線。熱控制系統提供一基座熱區,其具有基於熱控制系統輸出之熱特徵。例如,基座熱區之熱特徵,能藉由整調流經基座之熱交換流體的流動、或藉由調整流經基座之熱交換流體的溫度,而加以改變。
在第一或第二圖中,該熱控制系統包含兩個分離的通道220、240,用於循環流經該基座之熱交換流體。這兩個分離的通道220、240係在相同溫度下操作,以提供均勻的基座熱區。多種溫度控制裝置係能夠用於維持通道220、240的溫度於相同之溫度。例如,通道220、240能由單一的或雙重的熱交換循環系統加以連接,而控制該兩個通道於相同之溫度。
在某些實施例中,使用兩個通道220、240係較佳的,因為單一的通道無法抽出足夠的熱。例如,單一的通道可能太長,造成流經單一通道之液體的熱性質,在其離開通道之前,受到實質之改變。此外,流量維持為(例如)3GPM之兩個通道,相較於流量維持為(例如)6GPM的單一通道(即較小的管線及連接),係較容易安裝的。基座支承200具有兩個入口222、242,及兩個出口224、244,用於循環流經該位在基座主體內部之兩個分離通道220、240之兩個熱控制流。該熱控制液可為水二醇混合物或其他合適的熱交換液體。
如第三圖所示,靜電夾頭300包含夾具電極320及多數個獨立控制的加熱電極330。夾具電極320用於產生一靜電夾力,以夾住基片400於靜電夾頭300之夾具表面310。加熱電極330用於界定多數個加熱區。加熱區之熱特徵係藉由控制該獨立控制的加熱電極330,而受到調整。
靜電夾頭300可由多種材料加以製成,包含陶瓷材料。較佳地,該靜電夾頭材料具有低熱導性,以維持相鄰熱區之間的陡峭溫度梯度。例如,靜電夾頭300可由約94% Al2O3及6% SiO2之組成物加以製成。
靜電夾頭300可由多層綠色的或部份燒結型陶瓷,及三或四層分佈在該陶瓷層間的薄膜金屬電極加以製成。例如,第五圖圖解一多層的陶瓷靜電夾頭300。靜電夾頭300包含位在兩個陶瓷層間的夾具電極320,及位在兩個不同陶瓷層間的加熱電極330。所有的陶瓷層體及電極,經歷最終的燒結,形成最終的靜電夾頭300。
如第四圖所示,靜電夾頭300的最終製作,可牽涉到凸出的夾具表面310之形成,其包括一凸出的外周環312,在被夾在靜電夾頭300之夾具表面310上的基片400之底表面上,將形成一近似密封。凸出的外周環312可具有一高度313,例如約5μm。靜電夾頭之其餘夾具表面310能含有多數個凸粒315,其高度相同於外周環312者。凹槽318允許熱轉移氣體(例如氦或其他熱轉移氣體),在靜電夾頭300夾具表面310以及固定在靜電夾頭300之基片400之間流動。
靜電夾頭300可為Johnsen-Rahbek靜電夾頭,或Coulombic型靜電夾頭300。Johnsen-Rahbek靜電夾頭,在靜電夾頭300夾具表面310及基片400之間,產生一靜電夾力。Coulombic型靜電夾頭在夾具電極320及基片400之間產生一靜電夾力。
夾具電極320能由金屬加以製成,例如鎢,或其他具有合適熱膨脹係數及電阻性的金屬,及能夠形成於單一陶瓷層表面之上,或在相鄰陶瓷層之間。夾具電極320能由沉積方法加以形成,例如網印、PVD、CVD、ALD或電漿或電弧噴霧。如第五圖所示,靜電夾頭300包含一單一柱連接325(single post connection 325),用於連接夾具電極至一電源。一示範性之夾具電極320的樣式係圖解於第六圖。
靜電夾頭300可依雙極性或單極性構形加以操作。例如,在單極性構形中,單一碟狀的夾具電極320係安置在兩個陶瓷層之間。在雙極性構形中,兩個或更多的夾具電極320係安置在兩個陶瓷層之間。如果兩個夾具電極係連接至具有相對極性的電壓源,則靜電夾頭300係可作為單極性靜電夾頭加以操作。如果兩個夾具電極係連接至普通的電壓源,則靜電夾頭300係可作為單極性裝置加以操作。
第三圖所示的靜電夾頭300包含多數個獨立控制的薄膜阻抗性加熱電極330,其包埋在靜電夾頭300之內。加熱電極330可由金屬製成,例如鎢或其他合適的金屬,並由習用的沉積方法加以形成,如網印、PVD、CVD、ALD或電漿或電弧噴霧。每一加熱電極330界定一加熱區,其具有基於加熱電極330輸出之熱特徵。例如,多數個獨立控制的加熱電極330包含一內加熱電極334,其界定一內加熱區,及一外周加熱電極332,其界定一外周加熱區。內加熱電極334界定一碟形的加熱區,位在靜電夾頭300之中央。外周加熱電極332界定一環形的加熱區,包圍該內加熱區。內加熱區及外周加熱區係由一縫隙距離335加以隔離。第七圖圖解一依照本發明實施例之示範性樣式的加熱電極330。
內加熱區及外周加熱區的熱特徵,係可藉由內加熱電極及外周加熱電極各自輸出之改變而加以調整。例如,內加熱區的熱特徵,可藉由調整供應至內加熱電極334之電量而加以改變。相似地,外周加熱區的熱特徵,可藉由調整供應至外周加熱電極332之電量而加以改變。內加熱區及外周加熱區的熱特徵的調整能力,允許橫越基片表面之溫度曲線受到徑向上的調整。如第五圖所示,加熱電極330能夠經由管路337、加熱匯流排336及單一柱連接338,而連接到電源。
基片的溫度曲線,係可由多種溫度測量技術加以測量。例如,基片的溫度曲線,可藉由測量靜電夾頭在靠近其頂表面之位置上的溫度曲線加以粗估。在本發明全部內容中,所謂”加熱區的溫度”係指,加熱區內靠近靜電夾頭頂表面位置上之靜電夾頭的溫度。
在一示範性實施例中,一光纖溫度感應器能加以使用而監視溫度。在本實施例中,含有磷之小圓碟發出光源,其具有可預期的、與溫度成正比的衰變速率。磷塗覆的圓碟安置在靜電夾頭300夾具表面310附近,進而該測值可作為被夾在靜電夾頭300夾具表面310上之基片400的良好預測子。光纖係以磷塗覆圓碟之固定距離加以安置,而可信賴地捕捉由磷所發射的光線。
第八圖圖解橫越基片表面之示範性的所想要的溫度曲線之圖形。如所示者,相應於外周加熱區之基片外周緣,係已受到調整而取得較高的溫度(T2),其係相比較於對應至內加熱區之基片中央部的溫度(T1)。在內加熱區及外周加熱區之間存有急劇的溫度梯度。
本發明的靜電夾頭總成,可用於調整內加熱區及外周加熱區之間的溫度梯度,進而該溫度梯度係較陡峭於習知技術已知之基片握持器所得的溫度梯度。例如,內加熱區及外周加熱區之間的溫度梯度,係可藉由改變該基座熱控制系統、內加熱電極或外周加熱電極之輸出,而加以調整。本案申請人亦已發現的是,溫度梯度可藉由改變內加熱電極及外周加熱電極之間的縫隙距離之尺寸,而加以調整。
一用於改變內加熱電極及外周加熱電極之間的縫隙距離尺寸的可移動的介面,係揭示在第九圖中。可移動介面包含額外的加熱電極336,被安置在內加熱電極334及外周加熱電極332之間。未有額外的加熱電極336受到激發時,縫隙距離335的尺寸係相同於內加熱電極334及外周加熱電極332之間的距離。然而,若有額外的加熱電極336受到激發時,縫隙距離335受到調整而變成縫隙距離335’。縫隙距離335’的尺寸係該額外加熱電極及外周或內加熱電極之間的距離,及其係小於原始縫隙距離335的尺寸。利用這個可移動介面,內加熱區及外周加熱區之間的溫度梯度,可藉由調整內加熱電極及外周加熱電極之間的縫隙距離尺寸,而加以調整。依照這個方式,可在內加熱區及外周加熱區之間維持一急劇的或陡峭的溫度梯度。
例如,第十及第十一圖提供模擬結果,解說由內加熱區及外周加熱區之間的縫隙距離尺寸之改變,而對於內加熱區及外周加熱區之間的溫度梯度所產生的效應。在執行該模擬時,外周加熱區係維持高於內加熱區約28.5℃之溫度。第十及第十一圖提供溫度差的圖表,其係依照與靜電夾頭總成之靜電夾頭中心之距離的函數加以繪製,其中該靜電夾頭總成在在內加熱電極及外周加熱電極之間的縫隙距離係已改變。如所示者,溫度梯度之急刻程度或陡峭度,係取決於內加熱電極及外周加熱電極之間的縫隙距離之尺寸。更具體而言,溫度梯度由在2mm縫隙時的6℃/2cm,改變成35mm縫隙時的10℃/cm。
在這些例子中,溫度梯度係由計算溫度差異曲線(temperature difference curve)的斜率加以測得,該曲線係依照與基片中心之距離的函數加以繪製。依照下述公式,該斜率係等於溫度差異改變值除以溫度差異曲線50%中點上的距離的改變值。
T 2 -T 1
斜率=d2-d1
其中T2=0.75T最大值;T1=0.25T最大值;d2=在T1時與中心的距離;T最大值係等於最大的溫度差異。第十及十一圖所圖解的結果指出,內加熱區及外周加熱區之間的溫度梯度,至少部份地可基於內加熱電極及外周加熱電極之間的縫隙距離尺寸而加以調整。
溫度梯度也可藉由改變供應至內或外周加熱電極之電能而加以調整。第十二圖提供一基片表面上溫度差異的代表性圖式,其係與靜電夾頭總成所用基片中心之距離的函數,該靜電夾頭總成在供應至內加熱電極之電量改變之下,具有20mm的縫隙距離。如第十二圖所示,藉由在相鄰電極間固定住一縫隙,及只有改變供應至內電極的電量,該溫度梯度在1W/cm2時約8℃/cm,增加為3W/cm2時約13.5℃/cm。
雖然本發明的主題係已藉由相關具體的示範性實施例加以詳細描述,但可理解的是,一般熟於本項技藝的人士,在對於前述內容取得瞭解之後,能夠輕易地生產這類實施例的改變、變型或等價物。因此,本發明之揭示內容的範圍只是作為例子,而非作為限制,該主要的揭示內容並未排除包含本項技藝人士可輕易完成之這類本發明主題的改變、變型及/或等價物。
100‧‧‧靜電夾頭總成
200‧‧‧基座支承
220、240‧‧‧通道
222、242‧‧‧入口
224、244‧‧‧出口
300‧‧‧靜電夾頭
310‧‧‧夾具表面
312‧‧‧凸出的外周環
313‧‧‧高度
315‧‧‧凸粒
318‧‧‧凹槽
320‧‧‧夾具電極
325‧‧‧單一柱連接
330‧‧‧加熱電極
332‧‧‧外周加熱電極
334‧‧‧內加熱電極
335‧‧‧縫隙距離
335’‧‧‧縫隙距離
336‧‧‧加熱匯流排
336‧‧‧額外的加熱電極
337‧‧‧管路
338‧‧‧單一柱連接
400‧‧‧基片
對於一般熟習本項技藝人士而言之本發明完整及可致使實施的揭示內容,包含其最佳模式,係參照以下的圖式而敍說在說明書中,其中:
第一圖係一依照本發明示範性實施例之靜電夾頭總成的側視圖:
第二圖係一依照本發明示範性實施例之基座支承的側視圖:
第三圖係一依照本發明示範性實施例之靜電夾頭總成的側視圖:
第四圖係一依照本發明示範性實施例之靜電夾頭夾具表面的示意圖:
第五圖係一依照本發明示範性實施例之多層靜電夾頭的示意圖:
第六圖係一依照本發明示範性實施例之夾具電極之示範性樣式的平面視圖:
第七圖係一依照本發明示範性實施例之加熱電極之示範性樣式的平面視圖:
第八圖係一依照本發明示範性實施例之橫越基片表面之溫度曲線的代表示意圖;
第九圖係一依照本發明示範性實施例之加熱電極構形的示意圖,其中提供位在內加熱電極及外加熱電極之間的可移動介面;
第十圖係基片溫度差異的代表示意圖,其在各種縫隙距離下,依照與基片中心之距離的函數加以繪製;
第十一圖係基片溫度差異的另一代表示意圖,其在改變縫隙距離下,依照與基片中心之距離的函數加以繪製;及
第十二圖係基片溫度差異的代表示意圖,其在改變被施加到內電極之電量下,依照與基片中心之距離的函數加以繪製。
100...靜電夾頭總成
200...基座支承
220...通道
222、242...入口
224、244...出口
300...靜電夾頭
400...基片

Claims (14)

  1. 一種用於調整橫越一基片的表面的一溫度曲線的系統,其包含:一基座支承,其包含一適於提供一基座熱區的基座熱控制系統,該基座熱區界定一橫越該基座支承的表面的實質上均勻的溫度曲線,基於該基座熱控制系統的輸出該基座熱區具有熱特徵;一靜電夾頭,其係由該基座支承支持,該靜電夾頭包含一夾具電極及複數個加熱電極,該等加熱電極包含一內加熱電極及一由一縫隙距離隔離的外周加熱電極,該縫隙距離的尺寸即為多個經致能的加熱電極之間的隔離距離,基於該內加熱電極的輸出該內加熱電極界定一具有熱特徵的內加熱區,基於該外周加熱電極的輸出該外周加熱電極界定一具有熱特徵的外周加熱區;以及一控制系統,其適於藉由改變該基座熱控制系統、該內加熱電極、或該外周加熱電極之至少一者的輸出而調整橫越該基片的表面的該溫度曲線。
  2. 如申請專利範圍第1項所述的系統,其中該控制系統係適於藉由改變該基座熱控制系統、該內加熱電極、或該外周加熱電極之至少一者的輸出而調整介於該內加熱區與該外周加熱區之間的一溫度梯度。
  3. 如申請專利範圍第1項所述的系統,其中該靜電夾頭包含氧化鋁。
  4. 如申請專利範圍第1項所述的系統,其中該基座熱控制系統包含一流體流動通道用於循環一熱交換流體。
  5. 如申請專利範圍第1項所述的系統,其中該基座熱控制系統包含一內流體流動通道及一外周流體流動通道,該內流體流動通道及該外周流體流動通道係經控制而提供橫越該基座支承的表面的實質上均勻的該溫度曲線。
  6. 如申請專利範圍第1項所述的系統,其中該靜電夾頭具有一頂表面包含一凸出的外周密封環及複數個高度大約相同於該凸出的外周密 封環的高度的凸粒(mesas)。
  7. 如申請專利範圍第1項所述的系統,其中該靜電夾頭包含至少一個位在該內加熱電極與該外周加熱電極之間的額外的加熱電極,該控制系統係適於藉由致能該至少一個該額外的加熱電極而調整該內加熱電極與該外周加熱電極之間的該縫隙距離的尺寸。
  8. 如申請專利範圍第1項所述的系統,其中該控制系統係適於藉由改變該內加熱電極與該外周加熱電極之間的該縫隙距離的尺寸而調整介於該內加熱區與該外周加熱區之間的一溫度梯度。
  9. 如申請專利範圍第1項所述的系統,其中該縫隙距離具有一尺寸足以在該內加熱區與該外周加熱區之間產生一溫度梯度,當外周加熱區的溫度被維持在約28.5℃高於該內加熱區的溫度時該溫度梯度具有一至少約6℃/cm的數值。
  10. 一種用於在處理期間維持橫越一基片的表面的一溫度曲線的系統,該系統包含:一基座,其界定一橫越該基座的表面的實質上均勻的溫度曲線;一靜電夾頭,其包含一夾具電極及複數個加熱電極,該等加熱電極包含一界定一內加熱區的內加熱電極及一界定一外周加熱區的外周加熱電極;其中該內加熱電極和該外周加熱電極係由一縫隙距離隔離,該縫隙距離具有一尺寸足以在該外周加熱區與該內加熱區之間產生一溫度梯度,該縫隙距離的尺寸即為該內加熱電極與該外周加熱電極之間的距離,當外周加熱區的溫度被維持在約28.5℃高於該內加熱區的溫度時該溫度梯度係至少約6℃/cm。
  11. 如申請專利範圍第10項所述的系統,其中該縫隙距離的尺寸係約15mm~35mm。
  12. 一種利用前述任一項所述之系統徑向地調整橫越一基片的表面的 一溫度曲線的方法,該方法包含:將該基片安置在一包含一由一基座支持的靜電夾頭的靜電夾頭總成上,該靜電夾頭包含一夾具電極及一界定一內加熱區的內加熱電極及一界定一外周加熱區的外周加熱電極,該內加熱電極和該外周加熱電極係由一縫隙距離隔離;藉由智能該夾具電極而將該基片夾在該靜電夾頭總成上;以及藉由調整該內加熱電極與該外周加熱電極之間的一縫隙距離的尺寸而調整介於該內加熱區與該外周加熱區之間的一溫度梯度,該縫隙距離的尺寸即為多個經致能的加熱電極之間的隔離距離;其中該縫隙距離的尺寸係藉由選擇性地致能一位在該內加熱電極與該外周加熱電極之間的額外的加熱電極而被調整。
  13. 如申請專利範圍第12項所述的方法,其中該方法進一步包含藉由改變供應至該內加熱電極或該外周加熱電極的電力而調整介於該內加熱區與該外周加熱區之間的該溫度梯度。
  14. 如申請專利範圍第12項所述的方法,其中該方法進一步包含藉由調節流經該基座的熱轉移流體而調整介於該內加熱區與該外周加熱區之間的該溫度梯度。
TW099102763A 2009-02-04 2010-02-01 用以徑向調整橫越基片表面之溫度曲線的靜電夾頭系統及製程 TWI576951B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14987609P 2009-02-04 2009-02-04

Publications (2)

Publication Number Publication Date
TW201044491A TW201044491A (en) 2010-12-16
TWI576951B true TWI576951B (zh) 2017-04-01

Family

ID=41718915

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099102763A TWI576951B (zh) 2009-02-04 2010-02-01 用以徑向調整橫越基片表面之溫度曲線的靜電夾頭系統及製程

Country Status (6)

Country Link
US (1) US8405005B2 (zh)
JP (2) JP5705133B2 (zh)
KR (1) KR101691044B1 (zh)
CN (1) CN102308380B (zh)
TW (1) TWI576951B (zh)
WO (1) WO2010090948A1 (zh)

Families Citing this family (408)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
EP2490073B1 (en) 2011-02-18 2015-09-23 ASML Netherlands BV Substrate holder, lithographic apparatus, and method of manufacturing a substrate holder
NL2008630A (en) 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI456688B (zh) * 2011-08-05 2014-10-11 Advanced Micro Fab Equip Inc 一種易於釋放晶片的靜電吸盤結構及方法
WO2013047555A1 (ja) * 2011-09-28 2013-04-04 住友大阪セメント株式会社 静電チャック装置
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP5717614B2 (ja) * 2011-12-08 2015-05-13 東京エレクトロン株式会社 剥離装置、剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
JP5957540B2 (ja) 2012-02-03 2016-07-27 エーエスエムエル ネザーランズ ビー.ブイ. 基板ホルダ製造方法
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
JP2014049667A (ja) * 2012-09-03 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及びこれを備えた基板処理装置
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
WO2014116392A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Electrostatic chuck with concentric cooling base
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
EP2770442A3 (en) * 2013-02-20 2014-09-17 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101574336B1 (ko) * 2013-10-21 2015-12-07 에이피시스템 주식회사 지지장치 및 이를 구비하는 기판 처리 장치와 이를 이용한 기판 처리 방법
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10006717B2 (en) * 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10000847B2 (en) * 2014-09-24 2018-06-19 Applied Materials, Inc. Graphite susceptor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10497606B2 (en) * 2015-02-09 2019-12-03 Applied Materials, Inc. Dual-zone heater for plasma processing
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
JP6124156B2 (ja) * 2015-04-21 2017-05-10 Toto株式会社 静電チャックおよびウェーハ処理装置
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10586718B2 (en) * 2015-11-11 2020-03-10 Applied Materials, Inc. Cooling base with spiral channels for ESC
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN108701581B (zh) * 2015-12-10 2023-12-19 艾尼尔有限公司 用于确定加工操作的参数的装置和方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR101878268B1 (ko) * 2016-09-23 2018-08-07 주식회사 테스 박막증착장치 및 박막증착장치의 제어방법
JP6767833B2 (ja) * 2016-09-29 2020-10-14 日本特殊陶業株式会社 加熱装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
CN108010838B (zh) * 2016-10-27 2020-09-04 中微半导体设备(上海)股份有限公司 等离子体处理装置及硅片温度测量方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11251026B2 (en) 2017-03-31 2022-02-15 Mattson Technology, Inc. Material deposition prevention on a workpiece in a process chamber
CN110462781B (zh) 2017-03-31 2022-03-11 玛特森技术公司 用于等离子体处理设备的基座组件
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP7242637B2 (ja) * 2017-08-25 2023-03-20 ワトロー エレクトリック マニュファクチャリング カンパニー 複数の電極を備えた半導体基板支持体及びその製造方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
KR102613392B1 (ko) 2017-11-21 2023-12-14 와틀로 일렉트릭 매뉴팩츄어링 컴파니 비아를 구비하지 않는 다중-구역 받침대 히터
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102519544B1 (ko) 2017-12-07 2023-04-07 삼성전자주식회사 웨이퍼 로딩 장치 및 막 형성 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108682635B (zh) * 2018-05-03 2021-08-06 拓荆科技股份有限公司 具有加热机制的晶圆座及包含该晶圆座的反应腔体
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN111052343B (zh) * 2018-07-04 2023-10-03 日本碍子株式会社 晶圆支撑台
KR20210019573A (ko) 2018-07-05 2021-02-22 램 리써치 코포레이션 기판 프로세싱 시스템에서 기판 지지부의 동적 온도 제어
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11367645B2 (en) 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
JP6918042B2 (ja) * 2019-03-26 2021-08-11 日本碍子株式会社 ウエハ載置装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
DE102019005093A1 (de) 2019-07-22 2021-01-28 Att Advanced Temperature Test Systems Gmbh Verfahren zur temperatursteuerung bzw. -regelung eines chucks für einen wafer, eine temperiereinrichtung zum temperieren eines chucks sowie ein wafertestsystem zum testen eines wafers
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN110819961B (zh) * 2020-01-09 2020-04-17 上海陛通半导体能源科技股份有限公司 改善薄膜均匀性的物理气相沉积设备
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
CN111430236B (zh) * 2020-05-06 2021-05-14 合肥晶合集成电路股份有限公司 一种晶圆的退火方法
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
DE102020007791A1 (de) * 2020-12-18 2022-06-23 Att Advanced Temperature Test Systems Gmbh Modulares Wafer-Chuck-System
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022146667A1 (en) 2020-12-29 2022-07-07 Mattson Technology, Inc. Electrostatic chuck assembly for plasma processing apparatus
JP2022165477A (ja) * 2021-04-20 2022-11-01 日新イオン機器株式会社 ウエハ支持装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
TWI829156B (zh) * 2021-05-25 2024-01-11 大陸商北京屹唐半導體科技股份有限公司 電漿源陣列、電漿處理設備、電漿處理系統以及用於在電漿處理設備中加工工件的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5754391A (en) * 1996-05-17 1998-05-19 Saphikon Inc. Electrostatic chuck
US20020113056A1 (en) * 1997-04-02 2002-08-22 Hitachi, Ltd. Substrate temperature control system and method for controlling temperature of substrate
US20070007276A1 (en) * 2001-04-30 2007-01-11 Steger Robert J Electrostatic chuck having radial temperature control capability
US20070139856A1 (en) * 2004-10-07 2007-06-21 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1443215A (en) 1973-11-07 1976-07-21 Mullard Ltd Electrostatically clamping a semiconductor wafer during device manufacture
JPS6131636U (ja) 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
US5059770A (en) 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5556204A (en) 1990-07-02 1996-09-17 Hitachi, Ltd. Method and apparatus for detecting the temperature of a sample
JP3238925B2 (ja) 1990-11-17 2001-12-17 株式会社東芝 静電チャック
JPH04196528A (ja) 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
EP0493089B1 (en) 1990-12-25 1998-09-16 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
EP0506537A1 (en) 1991-03-28 1992-09-30 Shin-Etsu Chemical Co., Ltd. Electrostatic chuck
US5155652A (en) 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5294778A (en) 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JPH05326112A (ja) 1992-05-21 1993-12-10 Shin Etsu Chem Co Ltd 複層セラミックスヒーター
JP2749759B2 (ja) 1993-06-23 1998-05-13 信越化学工業株式会社 静電チャック付セラミックスヒーター
JPH07297268A (ja) 1993-12-27 1995-11-10 Shin Etsu Chem Co Ltd 静電チャック付セラミックスヒーター
JPH07307377A (ja) 1993-12-27 1995-11-21 Shin Etsu Chem Co Ltd 静電チャック付セラミックスヒーター
US5595241A (en) 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
JPH08227933A (ja) 1995-02-20 1996-09-03 Shin Etsu Chem Co Ltd 静電吸着機能を有するウエハ加熱装置
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
JP3457477B2 (ja) * 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US5730803A (en) 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
JPH09260474A (ja) 1996-03-22 1997-10-03 Sony Corp 静電チャックおよびウエハステージ
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
JP3374033B2 (ja) 1997-02-05 2003-02-04 東京エレクトロン株式会社 真空処理装置
JP3477062B2 (ja) 1997-12-26 2003-12-10 京セラ株式会社 ウエハ加熱装置
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
JP2001118664A (ja) 1999-08-09 2001-04-27 Ibiden Co Ltd セラミックヒータ
US6835916B2 (en) 1999-08-09 2004-12-28 Ibiden, Co., Ltd Ceramic heater
US6717116B1 (en) 1999-08-10 2004-04-06 Ibiden Co., Ltd. Semiconductor production device ceramic plate
ATE301917T1 (de) 1999-09-07 2005-08-15 Ibiden Co Ltd Keramisches heizelement
US6632512B1 (en) 1999-11-10 2003-10-14 Ibiden Co., Ltd. Ceramic substrate
WO2001041508A1 (fr) 1999-11-30 2001-06-07 Ibiden Co., Ltd. Appareil chauffant en ceramique
JP2001203257A (ja) 2000-01-20 2001-07-27 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体
JP2002057207A (ja) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
US6891263B2 (en) 2000-02-07 2005-05-10 Ibiden Co., Ltd. Ceramic substrate for a semiconductor production/inspection device
WO2001059833A1 (fr) 2000-02-08 2001-08-16 Ibiden Co., Ltd. Carte en ceramique destinee a la production de semi-conducteurs et a des dispositifs de controle
EP1191002A4 (en) 2000-02-24 2005-01-26 Ibiden Co Ltd SINTERED ALUMINUM NITRIDE PIECE, CERAMIC SUBSTRATE, CERAMIC HEATING BODY, AND ELECTROSTATIC CHUCK
JP2001244320A (ja) 2000-02-25 2001-09-07 Ibiden Co Ltd セラミック基板およびその製造方法
WO2001078456A1 (fr) 2000-04-07 2001-10-18 Ibiden Co., Ltd. Element ceramique chauffant
JP3729785B2 (ja) 2000-04-14 2005-12-21 イビデン株式会社 セラミックヒータ
JP2001302330A (ja) 2000-04-24 2001-10-31 Ibiden Co Ltd セラミック基板
WO2001084886A1 (fr) 2000-05-02 2001-11-08 Ibiden Co., Ltd. Dispositif de chauffage en ceramique
US6897414B2 (en) 2000-07-03 2005-05-24 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing/testing apparatus
EP1248293A1 (en) 2000-07-25 2002-10-09 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacture/inspection apparatus, ceramic heater, electrostatic clampless holder, and substrate for wafer prober
JP3817414B2 (ja) * 2000-08-23 2006-09-06 株式会社日立製作所 試料台ユニットおよびプラズマ処理装置
US6878906B2 (en) 2000-08-30 2005-04-12 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing and inspecting equipment
JP2002076102A (ja) 2000-08-31 2002-03-15 Ibiden Co Ltd セラミック基板
JPWO2002043441A1 (ja) 2000-11-24 2004-04-02 イビデン株式会社 セラミックヒータ、および、セラミックヒータの製造方法
WO2002047129A1 (fr) 2000-12-05 2002-06-13 Ibiden Co., Ltd. Substrat ceramique pour dispositifs de production et de controle de semi-conducteurs et procede de production dudit substrat ceramique
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
AU2002240261A1 (en) 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
JP4549022B2 (ja) 2001-04-30 2010-09-22 ラム リサーチ コーポレイション ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6535372B2 (en) 2001-06-20 2003-03-18 Applied Materials, Inc. Controlled resistivity boron nitride electrostatic chuck apparatus for retaining a semiconductor wafer and method of fabricating the same
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US6490145B1 (en) 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
JP3897563B2 (ja) 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
JP3742349B2 (ja) * 2002-02-15 2006-02-01 株式会社日立製作所 プラズマ処理装置
JP3881908B2 (ja) * 2002-02-26 2007-02-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US6664738B2 (en) 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US6897403B2 (en) 2003-03-05 2005-05-24 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
JP4607865B2 (ja) 2003-03-28 2011-01-05 東京エレクトロン株式会社 基板の温度コントロールのための方法とシステム
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
JP2006049357A (ja) * 2004-07-30 2006-02-16 Toto Ltd 静電チャックおよび静電チャックを搭載した装置
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
JP4942364B2 (ja) * 2005-02-24 2012-05-30 京セラ株式会社 静電チャックおよびウェハ保持部材並びにウェハ処理方法
US7815740B2 (en) 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US7126091B1 (en) 2005-03-23 2006-10-24 Eclipse Energy Systems, Inc. Workpiece holder for vacuum processing
US8525418B2 (en) 2005-03-31 2013-09-03 Ngk Spark Plug Co., Ltd. Electrostatic chuck
US20060238954A1 (en) 2005-04-21 2006-10-26 Applied Materials, Inc., A Delaware Corporation Electrostatic chuck for track thermal plates
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8709162B2 (en) 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
JP2007224375A (ja) * 2006-02-24 2007-09-06 Nuflare Technology Inc 気相成長装置
JP4495687B2 (ja) * 2006-03-24 2010-07-07 日本碍子株式会社 静電チャック
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
JP2008251681A (ja) * 2007-03-29 2008-10-16 Hitachi High-Technologies Corp ウエハステージ

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5754391A (en) * 1996-05-17 1998-05-19 Saphikon Inc. Electrostatic chuck
US20020113056A1 (en) * 1997-04-02 2002-08-22 Hitachi, Ltd. Substrate temperature control system and method for controlling temperature of substrate
US20070007276A1 (en) * 2001-04-30 2007-01-11 Steger Robert J Electrostatic chuck having radial temperature control capability
US20070139856A1 (en) * 2004-10-07 2007-06-21 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate

Also Published As

Publication number Publication date
CN102308380B (zh) 2014-06-04
WO2010090948A1 (en) 2010-08-12
JP2015046632A (ja) 2015-03-12
US20100193501A1 (en) 2010-08-05
US8405005B2 (en) 2013-03-26
TW201044491A (en) 2010-12-16
JP2012517122A (ja) 2012-07-26
KR101691044B1 (ko) 2016-12-29
JP6109135B2 (ja) 2017-04-05
CN102308380A (zh) 2012-01-04
KR20110117693A (ko) 2011-10-27
JP5705133B2 (ja) 2015-04-22

Similar Documents

Publication Publication Date Title
TWI576951B (zh) 用以徑向調整橫越基片表面之溫度曲線的靜電夾頭系統及製程
TWI702685B (zh) 極端均勻加熱基板支撐組件
TWI780597B (zh) 具有獨立隔離的加熱器區域的晶圓載體
TWI688038B (zh) 局部加熱之多區域基材支撐座
US8295026B2 (en) Electrostatic chuck and substrate processing apparatus having same
KR101465849B1 (ko) 정전 척 장치
KR101769062B1 (ko) 정전 척 장치
KR20180087411A (ko) 정전 척 기구 및 반도체 처리 장치
JP2017507484A (ja) 静電チャックおよびその作製方法
KR20060127387A (ko) 유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법
JP2022552237A (ja) 背面パージが設けられ斜面パージが組み込まれたウエハヒータ
JP2021184461A (ja) 静電チャック及びその製造方法と基板処理装置
JP5504924B2 (ja) 静電チャック装置
US20030121898A1 (en) Heated vacuum support apparatus
JP2793499B2 (ja) 被保持体の保持構造
JP2007258607A (ja) 静電チャック