KR102641440B1 - 온도 튜닝가능 다중-구역 정전 척 - Google Patents

온도 튜닝가능 다중-구역 정전 척 Download PDF

Info

Publication number
KR102641440B1
KR102641440B1 KR1020217031379A KR20217031379A KR102641440B1 KR 102641440 B1 KR102641440 B1 KR 102641440B1 KR 1020217031379 A KR1020217031379 A KR 1020217031379A KR 20217031379 A KR20217031379 A KR 20217031379A KR 102641440 B1 KR102641440 B1 KR 102641440B1
Authority
KR
South Korea
Prior art keywords
support assembly
temperature
substrate support
heaters
spatially tunable
Prior art date
Application number
KR1020217031379A
Other languages
English (en)
Other versions
KR20210122911A (ko
Inventor
필립 크리미널
지치앙 구오
앤드류 뮬레스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237027244A priority Critical patent/KR20230122184A/ko
Publication of KR20210122911A publication Critical patent/KR20210122911A/ko
Application granted granted Critical
Publication of KR102641440B1 publication Critical patent/KR102641440B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/404Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for compensation, e.g. for backlash, overshoot, tool offset, tool wear, temperature, machine construction errors, load, inertia
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0202Switches
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/49Nc machine tool, till multiple
    • G05B2219/49057Controlling temperature of workpiece, tool, probe holder

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Control Of Resistance Heating (AREA)

Abstract

본원에서 설명되는 구현들은, 기판 지지 조립체의 온도 프로파일의 이산적 튜닝을 가능하게 하는, 기판 지지 조립체의 온도를 교정하기 위한 방법을 제공한다. 일 실시예에서, 시스템은, 메모리 ― 메모리는 기판 지지 조립체에 대해 동작을 수행하도록 구성된 애플리케이션 프로그램을 포함함 ―, 및 기판 지지 조립체에 배치된 제어 보드를 포함하며, 제어 보드는, 무선 인터페이스를 갖는 프로세서, PWM(pulse width modification) 가열기 제어기 ― 프로세서는 동작 시에 메모리로부터 애플리케이션 프로그램을 판독하고 그리고 애플리케이션 프로그램에 액세스하기 위해 메모리와 연결됨 ―, 및 PWM(pulse width modification) 가열기 제어기에 커플링된 가열 엘리먼트를 포함하며, 가열 엘리먼트는 PWM(pulse width modification) 가열기 제어기에 의해 개별적으로 튜닝가능한 복수의 공간적 튜닝가능 가열기들을 포함한다.

Description

온도 튜닝가능 다중-구역 정전 척
[0001] 본원에서 설명되는 구현들은 일반적으로 반도체 제조에 관한 것으로, 더 구체적으로는, 정전 척(electrostatic chuck)의 온도 제어 또는 온도 교정 및 이를 사용하는 방법에 관한 것이다.
[0002] 디바이스 패턴들의 피처 사이즈(feature size)가 더 작아짐에 따라, 이러한 피처들의 임계 치수(CD; critical dimension) 요건들은, 안정적이고 반복가능한 디바이스 성능을 위한 더 중요한 기준이 되었다. 프로세싱 챔버 내에서 프로세싱되는 기판에 걸친 허용가능한 CD 변동은, 챔버 및 기판 온도 편차들, 유동 컨덕턴스(flow conductance), 및 RF 필드(field)들과 같은 어려운 챔버 프로세스 파라미터 제어들로 인해, 달성하기가 어렵다.
[0003] 정전 척을 활용하는 프로세스들에서, 기판의 표면에 걸친 온도 제어의 균일성은 기판 아래의 척의 비-균질(non-homogeneous) 구성으로 인해 훨씬 더 난제가 되고 있다. 예컨대, 정전 척의 일부 구역들은 가스 홀들을 갖는 한편, 다른 구역들은 가스 홀들로부터 측방향으로 오프셋된 리프트 핀 홀(lift pin hole)들을 갖는다. 또 다른 구역들은 척킹 전극들을 갖는 한편, 다른 구역들은 척킹 전극들로부터 측방향으로 오프셋된 가열기 전극들을 갖는다. 정전 척의 구조가 측방향으로뿐만 아니라 방위방향으로(azimuthally)도 변화할 수 있기 때문에, 척과 기판 사이의 열 전달의 균일성은 복잡해지고 획득하기가 매우 어려워서 척 표면에 걸친 국부적 고온(hot) 및 저온(cold) 스폿들을 초래하게 되며, 이는 결과적으로 기판의 표면을 따라 프로세싱 결과들의 불-균일성을 초래한다.
[0004] 추가적으로, 각각의 챔버 유지보수 또는 서비스 사이에, 정전 척의 온도 프로파일이 변화할 수 있다. 따라서, 정전 척에 걸쳐 분포된 온도 프로파일은, 온도 변동들이 척 표면의 고온 및 저온 스폿들에 걸쳐 발생할 수 있기 때문에, 교정 또는 제어하기가 어렵다.
[0005] 따라서, 간단한 온도 교정을 제공할 수 있는 개선된 기판 지지 조립체가 필요하다.
[0006] 본원에서 설명되는 구현들은, 기판 지지 조립체의 온도 프로파일의 이산적(discrete) 튜닝을 가능하게 하는, 기판 지지 조립체의 온도를 교정하기 위한 방법을 제공한다. 일 실시예에서, 시스템은, 메모리 ― 메모리는 기판 지지 조립체에 대해 동작을 수행하도록 구성된 애플리케이션 프로그램을 포함함 ―, 및 기판 지지 조립체에 배치된 제어 보드를 포함하며, 제어 보드는, 무선 인터페이스를 갖는 프로세서, PWM(pulse width modification) 가열기 제어기 ― 프로세서는 동작 시에 메모리로부터 애플리케이션 프로그램을 판독하고 그리고 애플리케이션 프로그램에 액세스하기 위해 메모리와 연결됨 ―를 포함하고, 시스템은 PWM(pulse width modification) 가열기 제어기에 커플링된 가열 엘리먼트를 포함하며, 가열 엘리먼트는 PWM(pulse width modification) 가열기 제어기에 의해 개별적으로 튜닝가능한 복수의 공간적 튜닝가능 가열기(spatially tunable heater)들을 포함한다.
[0007] 다른 실시예에서, 기판 지지 조립체에 대해 프로세스를 수행하는 방법은, 기판 지지 조립체 상에 배치된 기판에 대한 초기 온도 프로파일을 획득하기 위해 기판 지지 조립체에 대해 미리 결정된 프로세스를 수행하는 단계 ― 지지 조립체는 1차 가열기들 및 공간적 튜닝가능 가열기들을 가짐 ―, 미리 결정된 프로세스를 수행한 결과로부터 편차 온도 프로파일을 결정하는 단계, 기판 지지 조립체에 배치된 프로세서에 의해 튜닝 파라미터들을 결정하는 단계, 편차 온도 프로파일에 대한 응답으로 튜닝 파라미터들을 사용하여 기판 지지 조립체에 대해 초기 온도 프로파일을 타겟 온도 프로파일로 조정하는 단계 ― 타겟 온도 프로파일로 조정하는 단계는 공간적 튜닝가능 가열기들 중 하나 이상에 제공되는 전력을 증분시키는 단계를 포함함 ―, 및 기판 지지 조립체에 배치된 메모리에 튜닝 파라미터들 및 편차 온도 프로파일을 저장하는 단계를 포함한다.
[0008] 또 다른 실시예에서, 기판 지지 조립체에 대해 프로세스를 수행하는 방법은, 기판 지지 조립체 상에 배치된 기판에 대해 프로세스를 수행할 때 기판 지지 조립체의 제1 온도 프로파일을 측정하는 단계, 기판 지지 조립체에 배치된 프로세서에 의해 제1 온도 프로파일을 타겟 온도 프로파일과 비교함으로써 온도 오프셋 맵을 결정하는 단계, 기판 지지 조립체에 대해 제1 온도 프로파일을 타겟 온도 프로파일로 교정하기 위해 프로세서에 의해 튜닝 파라미터들을 결정하는 단계, 및 기판 지지 조립체에 배치된 메모리에 튜닝 파라미터들을 저장하는 단계를 포함한다.
[0009] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 발명의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 구현들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이, 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0010] 도 1은 적어도 하나의 프로세싱 챔버를 갖는 다중-챔버 진공 프로세싱 시스템의 개략적인 평면도이고;
[0011] 도 2는 공간적 튜닝가능 가열기들을 갖는 기판 지지 조립체의 부분들을 상세히 도시하는 개략적인 부분 측단면도이고;
[0012] 도 3은 본 개시내용의 실시예들이 구현될 수 있는 소프트웨어 루틴들을 저장 및 실행하기에 적절한 시스템의 제어 아키텍처의 블록도이고;
[0013] 도 4는 공간적 튜닝가능 가열기들에 대한 예시적인 레이아웃들을 예시하는 기판 지지 조립체의 평면도이고;
[0014] 도 5는 일 실시예에 따른, 공간적 튜닝가능 가열기들에 대한 온도 교정 프로세스를 수행하기 위한 흐름도이고; 그리고
[0015] 도 6a - 도 6b는 도 5의 온도 교정 프로세스 이전 및 이후의 기판 온도 프로파일들이다.
[0016] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 구현에 개시되는 엘리먼트들이, 구체적인 언급 없이 다른 구현들에서 유익하게 사용될 수 있다는 점이 고려된다.
[0017] 본원에서 설명되는 구현들은, 기판 지지 조립체의 온도 프로파일의 이산적 튜닝을 가능하게 하는, 공간적 튜닝가능 가열기들을 교정하기 위한 방법을 제공한다. 교정 프로세스는 정전 척(ESC; electrostatic chuck)과 기판 사이의 열 전달을 튜닝 또는 교정하는 것을 포함한다. 방법은 ESC의 사전-교정된 온도 프로파일을 획득하기 위해 복수의 튜닝가능 가열기들이 내부에 배치되어 있는 ESC 상에 배치된 기판을 프로세싱하는 단계를 포함한다. 사전-교정된 온도 프로파일을 획득하기 위해 활용되는 기판은 더미 기판 또는 교정 기판일 수 있다. 기판을 프로세싱한 결과(예컨대, 사전-교정된 온도 프로파일의 결과)를 타겟 결과 프로파일과 비교함으로써, 온도 편차 프로파일이 결정된다. 그런 다음, ESC 내의 가열기들에 공급되는 전력은, 편차 프로파일에 기반하여 ESC의 사전-교정된 온도 프로파일을 타겟 결과 프로파일에 매칭시키도록 교정 및 조정된다. 타겟 결과 프로파일에 대한 조정은 편차 프로파일에 대응하는 하나 이상의 이산적 위치들에 있는 하나 이상의 튜닝가능 가열기들에 대한 전력을 증분시키는 것을 포함한다. 그런 다음, 조정/교정을 위한 튜닝 파라미터들 및 편차 프로파일은 ESC에 매립된 메모리에 저장된다.
[0018] 공간적 튜닝가능 가열기들을 갖는 기판 지지 조립체가 에칭 프로세싱 챔버에 있는 것으로 아래에서 설명되지만, 기판 지지 조립체는, 다른 타입들의 프로세싱 챔버들, 이를테면, 특히 물리 기상 증착 챔버들, 화학 기상 증착 챔버들, 이온 주입 챔버들, 및 측방향 온도 프로파일의 방위방향 튜닝이 바람직한 다른 시스템들에서 활용될 수 있다. 또한, 공간적 튜닝가능 가열기들이 반도체 프로세싱에 사용되지 않는 것들을 포함한 다른 표면들의 온도를 제어하는 데 또한 활용될 수 있음이 고려된다.
[0019] 당업자에 의해 인식될 바와 같이, 본 개시내용의 양상들은 시스템, 방법 또는 컴퓨터 프로그램 제품으로 구현될 수 있다. 따라서, 본 개시내용의 구현들은 전적으로 하드웨어 실시예, 전적으로 소프트웨어 실시예(펌웨어, 상주 소프트웨어, 마이크로-코드 등을 포함함) 또는 소프트웨어 및 하드웨어 양상들을 조합한 실시예의 형태를 취할 수 있으며, 이러한 실시예들은 본원에서 "회로", "모듈" 또는 "시스템"으로 지칭될 수 있다. 또한, 본 개시내용의 구현들은 컴퓨터 판독가능 프로그램 코드가 구현되어 있는 하나 이상의 컴퓨터 판독가능 매체(들)로 구현된 컴퓨터 프로그램 제품의 형태를 취할 수 있다.
[0020] 실행되는 경우 예방적 유지보수 이벤트를 스케줄링하기 위한 방법을 수행하도록 구성되는 프로그램 제품을 저장하기 위해, 하나 이상의 컴퓨터 판독가능 매체(들)의 임의의 조합이 활용될 수 있다. 컴퓨터 판독가능 매체는 컴퓨터 판독가능 신호 매체 또는 컴퓨터 판독가능 저장 매체일 수 있다. 컴퓨터 판독가능 저장 매체는, 예컨대, 전자, 자기, 광학, 전자기, 적외선 또는 반도체 시스템, 장치 또는 디바이스, 또는 전술한 것들의 임의의 적절한 조합일 수 있다(그러나 이에 제한되지 않음). 컴퓨터 판독가능 저장 매체의 더 구체적인 예들(총망라하지는 않은 목록)은: 휴대용 컴퓨터 디스켓, 하드 디스크, 비-휘발성 메모리, RAM(random access memory), ROM(read-only memory), 소거가능 프로그램가능 판독 전용 메모리(EPROM 또는 플래시 메모리), 광섬유, 휴대용 CD-ROM(compact disc read-only memory), 광학 저장 디바이스, 자기 저장 디바이스, 또는 전술한 것들의 임의의 적절한 조합을 포함할 것이다. 본 문서의 문맥에서, 컴퓨터 판독가능 저장 매체는 명령 실행 시스템, 장치, 또는 디바이스에 의해 또는 이와 관련하여 사용하기 위한 프로그램을 포함 또는 저장할 수 있는 임의의 유형적인(tangible) 매체일 수 있다.
[0021] 컴퓨터 판독가능 신호 매체는, 예컨대, 기저대역에서 또는 캐리어 파의 일부로서, 컴퓨터 판독가능 프로그램 코드가 내부에 구현되는, 전파된 데이터 신호를 포함할 수 있다. 그러한 전파된 신호는, 전자기, 광학, 라디오, 또는 이들의 임의의 적절한 조합을 포함하는(그러나 이에 제한되지 않음) 다양한 형태들 중 임의의 형태를 취할 수 있다. 컴퓨터 판독가능 신호 매체는, 컴퓨터 판독가능 저장 매체가 아니며 명령 실행 시스템, 장치, 또는 디바이스에 의해 또는 이들과 관련하여 사용하기 위한 프로그램을 통신하거나, 전파하거나, 또는 전송할 수 있는 임의의 컴퓨터 판독가능 매체일 수 있다.
[0022] 컴퓨터 판독가능 매체 상에 구현된 프로그램 코드는 무선, 유선, 광섬유 케이블, RF 등, 또는 전술한 것들의 임의의 적절한 조합을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 매체를 사용하여 송신될 수 있다.
[0023] 본 발명의 양상들에 대한 동작들을 수행하기 위한 컴퓨터 프로그램 코드는, 객체 지향 프로그래밍 언어, 이를테면, JAVA ™, SMALLTALK ™, C++ 등, 및 종래의 절차적 프로그래밍 언어들, 이를테면, "C" 프로그래밍 언어 또는 유사한 프로그래밍 언어들을 포함하는 하나 이상의 프로그래밍 언어들의 임의의 조합으로 작성될 수 있다. 프로그램 코드는, 전적으로 사용자의 컴퓨터 상에서, 부분적으로 사용자의 컴퓨터 상에서 독립형 소프트웨어 패키지로서, 부분적으로는 사용자의 컴퓨터 상에서 그리고 부분적으로는 원격 컴퓨터 상에서, 또는 전적으로 원격 컴퓨터 또는 서버 상에서 실행될 수 있다. 후자의 시나리오에서, 원격 컴퓨터는, LAN(local area network) 또는 WAN(wide area network)을 포함하는 임의의 타입의 네트워크를 통해 사용자의 컴퓨터에 연결될 수 있거나, 또는 외부 컴퓨터에 대해 (예컨대, 인터넷 서비스 제공자(Internet Service Provider)를 이용한 인터넷을 통해) 연결이 이루어질 수 있다.
[0024] 컴퓨터 프로그램 명령들은 또한, 일련의 동작 단계들로 하여금 컴퓨터 구현 프로세스를 생성하도록, 컴퓨터, 다른 프로그램가능 장치 또는 다른 디바이스들 상에서 수행되게 하기 위해, 컴퓨터, 다른 프로그램가능 데이터 프로세싱 장치 또는 다른 디바이스들 상에 로딩될 수 있고, 그에 따라, 컴퓨터 또는 다른 프로그램가능 장치 상에서 실행되는 명령들은 흐름도 및/또는 블록도 블록 또는 블록들에서 특정된 기능들/작용들을 구현하기 위한 프로세스들을 제공한다.
[0025] 본 개시내용의 실시예들은 클라우드 컴퓨팅 인프라구조를 통해 최종 사용자 설비에 제공될 수 있다. 클라우드 컴퓨팅은 네트워크를 통한 서비스로서 스케일러블 컴퓨팅 자원들의 프로비전을 지칭한다. 더 공식적으로, 클라우드 컴퓨팅은, 컴퓨팅 자원과 이러한 컴퓨팅 자원의 기본 기술 아키텍처(예컨대, 서버들, 저장소, 네트워크들) 사이의 추상화(abstraction)를 제공하여서, 최소의 관리 노력 또는 서비스 제공자 상호작용으로 신속하게 프로비저닝 및 릴리즈될 수 있는 구성가능한 컴퓨팅 자원들의 공유 풀(pool)로의 편리한 온디맨드(on-demand) 네트워크 액세스를 가능하게 하는 컴퓨팅 능력으로서 정의될 수 있다. 따라서, 클라우드 컴퓨팅은, 컴퓨팅 자원들을 제공하기 위해 사용되는 기본 물리적 시스템들(또는 그러한 시스템들의 위치들)에 관계 없이, 사용자가 "클라우드"에 있는 가상 컴퓨팅 자원들(예컨대, 저장소, 데이터, 애플리케이션들 그리고 훨씬 완전한 가상화된 컴퓨팅 시스템들)에 액세스할 수 있게 한다.
[0026] 전형적으로, 클라우드 컴퓨팅 자원들은 사용량에 따른 요금부과(pay-per-use) 기반으로 사용자에게 제공되고, 여기서, 사용자들은 실제로 사용한 컴퓨팅 자원들(예컨대, 사용자가 소비한 저장 공간의 양 또는 사용자에 의해 인스턴스화된(instantiated) 가상화된 시스템들의 수)에 대해서만 과금된다. 사용자는, 인터넷을 통해 어디에서든지 그리고 언제든지 클라우드 내에 상주하는 자원들 중 임의의 자원에 액세스할 수 있다. 본 발명의 문맥에서, 사용자는 클라우드에서 이용가능한 소프트웨어 루틴들(예컨대, 접지 스트랩(grounding strap)들 중 하나 이상의 접지 스트랩의 손상을 검출하기 위한 방법) 또는 관련된 데이터에 액세스할 수 있다. 예컨대, 소프트웨어 루틴들은 클라우드 내의 컴퓨팅 시스템 상에서 실행될 수 있다. 그러한 경우에서, 소프트웨어 루틴들은 클라우드의 저장 위치에서 공간적 및 비-공간적 데이터를 유지할 수 있다. 그렇게 하는 것은, 사용자가, 클라우드에 연결된 네트워크(예컨대, 인터넷)에 부착된 임의의 컴퓨팅 시스템으로부터 이러한 정보에 액세스할 수 있게 한다.
[0027] 도 1은 적어도 하나의 프로세싱 챔버(120)를 갖는 다중-챔버 진공 프로세싱 시스템(100)의 개략적인 평면도이다. 다중-챔버 진공 프로세싱 시스템(100)은 또한, 시스템 제어기(160), 진공-기밀(vacuum-tight) 프로세싱 플랫폼(110) 및 팩토리 인터페이스(factory interface)(140)를 포함한다. 다중-챔버 진공 프로세싱 시스템(100)은 추가적으로, APC(advance process controller)(180)에 부착될 수 있다. APC(180)는 데이터베이스(182) 및 컴퓨팅 플랫폼(184)을 가질 수 있다. APC(180)는 선택적으로, 시스템 제어기(160)에 추가하여 사용될 수 있으며, 제조 설비에서 복수의 프로세스 툴들(102)을 통합한다. APC(180)는 내부에서 제작되고 있는 기판들에 대한 동작들을 추적하고 메트릭들을 저장할 수 있다.
[0028] 팩토리 인터페이스(FI)(140)는 복수의 FOUP(front opening universal pod)들(144) 및 적어도 하나의 FI 로봇(142)을 가질 수 있다. FI(140)는 또한, 추가적인 스테이션들, 이를테면, 계측(metrology) 스테이션(150)을 가질 수 있다. 계측 스테이션(150)은 대안적으로, FOUP들(144)에 인접하게 위치될 수 있다. FI 로봇(142)은 레일들 및 이동가능한 엔드 이펙터(end effector)를 가질 수 있으며, 엔드 이펙터는, 블레이드(blade), 복수의 핑거(finger)들, 파지기(gripper), 또는 그 위에서 기판(118)을 전달하기 위한 다른 적절한 장치일 수 있다. FI 로봇(142)은 대기(atmospheric) 조건들에서 동작가능하며, 프로세싱 시스템(100)의 FOUP들(144), 계측 스테이션(150) 및 하나 이상의 로드 록(load lock) 챔버들(134, 132) 사이에서, 이동가능한 엔드 이펙터 상에 배치된 기판들(118)을 전달하기에 충분한 모션 범위를 갖도록 구성된다. FOUP들(144)은, 기판들(118)을 다중-챔버 진공 프로세싱 시스템(100)으로 또는 다중-챔버 진공 프로세싱 시스템(100)로부터 전달하기 위해 복수의 기판들(118)을 홀딩할 수 있다. 예컨대, FOUP들(144)은, 다중-챔버 진공 프로세싱 시스템(100) 상에서 프로세싱되는 기판들(118)을 별개의 계측 스테이션, 화학 연마 스테이션 또는 추가의 프로세싱을 위한 다른 장치로 이동시킬 수 있다.
[0029] 로드 록 챔버들(134, 132)은, 팩토리 인터페이스(140)에서 유지되는 실질적인 주변 환경과 진공-기밀 프로세싱 플랫폼(110)에서 유지되는 진공 환경 사이에서의 기판(118) 전달들을 가능하게 하기 위해, 팩토리 인터페이스(140)와 진공-기밀 프로세싱 플랫폼(110) 사이에 배치된다. 로드 록 챔버들(134, 132)은 하나 이상의 입구/출구 슬롯들(도시되지 않음)을 가지며, 이들을 통해, 기판(118)이 FI(140)로부터 로드 록 챔버들(134, 132) 안팎으로 전달될 수 있다. 마찬가지로, 로드 록 챔버들(134, 132)은 동일한 수의 입구/출구 슬롯들을 가지며, 이들을 통해, 기판(118)이 진공-기밀 프로세싱 플랫폼(110)과 로드 록 챔버들(134, 132)의 내부 사이에서 전달될 수 있다. 로드 록 챔버들(134, 132)의 입구/출구 슬롯들 각각은, 로드 록 챔버들(134, 132)의 내부를 FI(140) 또는 진공-기밀 프로세싱 플랫폼(110) 중 어느 하나의 내부들로부터 격리시키기 위해, 슬릿 밸브(slit valve)(도시되지 않음)에 의해 선택적으로 밀봉된다.
[0030] 진공-기밀 프로세싱 플랫폼(110)은, 로드 록 챔버들(134, 132)에 추가하여 전달 챔버(130) 주위에 배치된 복수의 부착된 챔버들(120)을 갖는다. 전달 챔버(130)는, 진공-기밀 프로세싱 플랫폼(110)에서 감소된 대기 조건을 제공하기 위해 진공 시스템(도시되지 않음)에 커플링된다. 전달 챔버(130)는 적어도 하나의 전달 챔버 로봇(114)을 하우징(house)한다. 전달 챔버 로봇(114)은, 기판(118)을 전달하기 위해 챔버들(120) 중 임의의 챔버에 대해 회전할 수 있다. 부착된 챔버들(120) 중 하나 이상은, 기판(118)을 프로세싱하기 위한 기판 지지 조립체(200)(도 2에 도시됨)를 상부에 갖는, 에칭 챔버들 또는 증착 챔버들, 이를테면, 화학 기상 증착 챔버들, 물리 기상 증착 챔버들, 또는 원자층 증착 챔버들을 포함할 수 있다. 추가적으로, 부착된 챔버들(120) 중 하나는, 기판(118)의 속성을 측정하기 위한 계측 장비를 갖는 계측 챔버(152), 배향 챔버, 디-가스(de-gas) 챔버 또는 기판(118)을 프로세싱하기 위한 다른 적절한 챔버일 수 있다. 일부 실시예들에서, 하나의 챔버(120)가 기판(118)을 에칭할 뿐만 아니라 측정할 수 있다. 예컨대, 기판(118)의 속성을 측정하기 위한 계측 장비가 챔버(120)에 통합될 수 있다. 대안적으로, 기판(118)의 속성을 측정하기 위한 계측 장비는 전달 챔버(130)와 함께, FI(140)와 함께 또는 다른 편리한 위치에 위치될 수 있다.
[0031] 시스템 제어기(160)는, 다중-챔버 진공 프로세싱 시스템(100)의 각각의 챔버(120) 및/또는 모듈(들)에 커플링되고 그들을 제어한다. 일반적으로, 시스템 제어기(160)는, 프로세싱 시스템(100)의 챔버들 및 장치의 직접 제어를 사용하거나, 대안적으로는 이들 챔버들 및 장치와 연관된 컴퓨터들을 제어함으로써 프로세싱 시스템(100) 동작의 모든 양상들을 제어할 수 있다. 게다가, 시스템 제어기(160)는 또한, APC(180)를 통해 전달 챔버 로봇(114)과 연관된 제어 유닛 및 다른 제어기들과 통신하도록 구성될 수 있다. 예컨대, 전달 챔버 로봇(114)의 이동들, 프로세싱 챔버들(120)에 그리고 프로세싱 챔버들(120)로부터 기판(118)을 전달하는 것 및 프로세스 시퀀스들을 수행하는 것, 다중-챔버 진공 프로세싱 시스템(100)의 다양한 컴포넌트들의 동작들을 조정하는 것 등이 시스템 제어기(160)에 의해 제어될 수 있다. 추가적으로, 시스템 제어기(160)는 프로세싱 챔버(120)에서의 프로세스 레시피들을 제어할 수 있다. 예컨대, 시스템 제어기는, 진공, 챔버 온도, 기판 지지 표면 온도 프로파일, 가스 유량 및 프로세스 레시피의 다양한 다른 프로세싱 파라미터들을 제어할 수 있다. 동작 시에, 시스템 제어기(160)는, 기판 스루풋을 최적화하기 위해, 개개의 챔버들 및 장치로부터의 피드백을 가능하게 한다.
[0032] 시스템 제어기(160)는 도 4와 관련하여 아래에서 논의된다. 시스템 제어기(160)는, 챔버(120)에서 프로세싱을 겪는 기판(118)에 대한 프로세스 레시피를 변경할 수 있다. 시스템 제어기(160)는, 프로세싱 레시피의 변경들을 결정하기 위해 계측 장비로부터의 피드백을 사용할 수 있다. 계측 장비는, 국부적인 프로세싱을 변경하기 위해, 기판(118)에 걸쳐 임계 치수들을 측정하고, 프로세스 파라미터들, 이를테면, 기판 지지 조립체에 걸친 국부적인 온도(localized temperature)들을 변경할 수 있다.
[0033] 도 2는, 기판 지지 조립체에 걸친 온도 프로파일의 튜닝을 제공하도록 구성된 기판 지지 조립체(200)의 부분들을 상세히 도시하는 개략적인 부분 측단면도이다. 기판 지지 조립체(200)에 걸친 온도 프로파일의 튜닝은, 제어기(160) 상에서 실행되는 소프트웨어 루틴들에 의해 제어될 수 있다. 기판 지지 조립체(200)는 다중-챔버 진공 프로세싱 시스템(100)의 챔버(120) 중 임의의 챔버에 배치될 수 있다. 소프트웨어 루틴들은 또한, 또는 대안적으로, 다중-챔버 진공 프로세싱 시스템(100)으로부터 원격으로, 이를테면, 프로세싱 챔버(120) 또는 APC(180)에 위치되는 제2 제어기(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다.
[0034] 기판 지지 조립체(200)는 일반적으로, 적어도 기판 지지부(210)를 포함한다. 기판 지지부(210)는 진공 척, 정전 척, 서셉터(susceptor), 또는 다른 워크-피스 지지 표면(work-piece support surface)일 수 있다. 일 실시예에서, 기판 지지부(210)는 정전 척이고, 이후 정전 척(210)으로서 설명될 것이다. 기판 지지 조립체(200)는 또한, 냉각 베이스(260)를 포함할 수 있다. 냉각 베이스(260)는 대안적으로, 기판 지지 조립체(200)로부터 분리될 수 있다. 기판 지지 조립체(200)는 지지 페디스털(205)에 제거가능하게 커플링될 수 있다. 지지 페디스털(205)은 페디스털 베이스(244)를 포함할 수 있다. 기판 지지 조립체(200)는, 기판 지지 조립체(200)의 하나 이상의 컴포넌트들의 재정비(refurbishment)를 가능하게 하기 위해 지지 페디스털(205)로부터 주기적으로 제거될 수 있다.
[0035] 정전 척(210)은, 장착 표면(203), 및 장착 표면(203)에 대향하는 워크-피스 지지 표면(202)을 가지며, 여기서, 기판(118)은 워크-피스 지지 표면(202) 상에 제거가능하게 배치된다. 정전 척(210)은 일반적으로 유전체 바디(208)에 매립된 척킹 전극(207)을 포함한다. 척킹 전극(207)이 정전 척(210)의 장착 표면(203)에 근접하게 도시되지만, 척킹 전극(207)은, 워크-피스 지지 표면(202)의 바로 아래와 같이 정전 척(210)의 다른 부분들에 매립될 수 있다. 척킹 전극(207)은 단극성 또는 양극성 전극, 또는 다른 적절한 어레인지먼트로서 구성될 수 있다. 척킹 전극(207)은 RF 필터(204)를 통해 척킹 전력 소스(206)에 커플링되며, 척킹 전력 소스(206)는, 기판(118)을 정전 척(210)의 워크-피스 지지 표면(202)에 정전기적으로 고정시키기 위해 RF 또는 DC 전력을 제공한다. RF 필터(204)는 프로세싱 챔버(120) 내에 플라즈마 형성하는 데 활용되는 RF 전력이 전기 장비를 손상시키거나 챔버 외부에 전기적 위험(electrical hazard)을 주는 것을 방지한다.
[0036] 정전 척(210)의 유전체 바디(208)는 세라믹 재료, 이를테면, AlN 또는 Al2O3으로 제작될 수 있다. 대안적으로, 유전체 바디(208)는, 폴리머, 이를테면, 폴리이미드, 폴리에테르에테르케톤, 폴리아릴에테르케톤 등으로 제작될 수 있다. 가열기들은 유전체 바디(208) 내에 매립될 수 있다. 유전체 바디(208)는, 하나 이상의 메인 저항성 가열기들(212) 및/또는 복수의 공간적 튜닝가능 가열기들(214)을 포함할 수 있다. 메인 저항성 가열기들(212)은 기판 지지 조립체(200)의 온도를, 기판(118)을 프로세싱하는 것 및/또는 프로세싱 챔버(120)의 내부를 세정하는 것과 같은 챔버 프로세스들을 수행하기 위한 온도로 상승시키기 위해 제공될 수 있다. 메인 저항성 가열기(212)는, 임의의 하나 이상의 측방향으로 분리된 가열 구역들, 예컨대 복수의 동심 링-형상 구역들을 제공하도록 구성될 수 있다. 공간적 튜닝가능 가열기들(214)은 메인 저항성 가열기들(212)과 상보적이고, 메인 저항성 가열기들(212)에 의해 정의되는 복수의 측방향으로 분리된 가열 구역들 중 임의의 하나 이상의 가열 구역들 내의 복수의 이산적 위치들에서 정전 척(210)의 국부적인 온도를 조정하도록 구성된다. 예컨대, 공간적 튜닝가능 가열기들(214)은 극성 어레이, 열들 및 행들의 데카르트 그리드(Cartesian grid), 육각형 그리드, 또는 다른 적절한 매트릭스로 배열될 수 있다. 따라서, 공간적 튜닝가능 가열기들(214)은, 기판 지지 조립체(200) 상에 배치된 기판(118)의 온도 프로파일에 대한 국부적인 조정들을 제공한다. 따라서, 메인 저항성 가열기들(212)은 전역적인 거시적 스케일(globalized macro scale)로 워크-피스 지지 표면(202)의 온도 프로파일을 유지하도록 동작하는 한편, 공간적 튜닝가능 가열기들(214)은 국부적인 미시적 스케일로 워크-피스 지지 표면(202)의 온도 프로파일의 이산적 위치들에서의 온도를 조정하도록 동작한다.
[0037] 메인 저항성 가열기들(212) 및 공간적 튜닝가능 가열기들(214)은 RF 필터(218)를 통해 가열기 전력 소스(222)에 커플링된다. 가열기 전력 소스(222)는 900 와트 이상의 전력을 개별적인 가열기들(212, 214)에 제공할 수 있다. 제어기(160)는 가열기 전력 소스(222)의 동작을 제어할 수 있으며, 가열기 전력 소스(222)는 일반적으로, 미리 정의된 온도 프로파일로 기판(118)을 가열하기 위한 전력을 개별적인 가열기들(212, 214)에 제공하도록 설정된다. 일 실시예에서, 메인 저항성 가열기들(212)은 측방향으로 분리된 가열 구역들을 포함하며, 제어기(160)는, 메인 저항성 가열기들(212)의 하나의 구역 또는 심지어 단일의 공간적 튜닝가능 가열기들(214)이 인접한 개별적인 가열기들(212, 214)에 비해 우선적으로 가열될 수 있게 한다. 일부 실시예들에서, 각각의 공간적 튜닝가능 가열기(214)는, 공간적 튜닝가능 가열기들(214) 중 다른 공간적 튜닝가능 가열기의 온도와 상이한 온도를 제공하도록 독립적으로 제어될 수 있다. 일부 실시예들에서, 복수(이를테면, 적어도 2개 내지 전부와 같은 많은 개수)의 공간적 튜닝가능 가열기들(214)은, 안정적인 프로파일링된 온도를 제공하도록 독립적으로 그리고/또는 동시에 전력을 공급받으며, 이는 원하는 국부적인 온도 설정들로 안정되고 용이하게 제어되는 온도 프로파일을 유발하고, 이는 기판 프로세싱 결과들의 균일성 및 예측가능성을 향상시키는 데 기여한다.
[0038] 정전 척(210)은 하나 이상의 온도 센서들(254)을 포함할 수 있다. 온도 센서들(254)은 워크-피스 지지 표면(202) 상의 복수의 이산적 위치들에서 온도를 측정할 수 있다. 온도 센서들(254)은, 가열기 전력 소스(222)에 의해 메인 저항성 가열기들(212) 및 공간적 튜닝가능 가열기들(214)에 인가되는 전력을 제어하기 위해, 온도 피드백 정보를 제어기(160)에 제공할 수 있다. 추가적으로, 피드백 정보는, 냉각 베이스(260)의 동작들을 제어하는 데 사용될 수 있다.
[0039] 정전 척(210)은 온도 제어형 냉각 베이스(260) 상에 배치될 수 있다. 온도 제어형 냉각 베이스(260)는 열 전달 유체 소스(262)에 커플링된다. 열 전달 유체 소스(262)는 열 전달 유체, 이를테면, 액체, 가스 또는 이들의 조합을 제공하며, 열 전달 유체는 냉각 베이스(260)에 배치된 하나 이상의 도관들(290)을 통해 순환된다. 제어기(160)는, 격리된 이웃하는 도관들(290)을 통해 유동하는 유체를 제어하여 냉각 베이스(260)의 상이한 구역들과 정전 척(210) 사이의 열 전달의 국부적 제어를 가능하게 할 수 있으며, 이는, 기판(118)의 측방향 온도 프로파일을 제어하는 것을 보조한다.
[0040] 페디스털 베이스(244)는, 냉각 베이스(260) 아래에 배치되고, 복수의 리프팅 핀(lifting pin)들을 상승 및 하강시키도록 구성되는 복수의 구동 메커니즘들을 수용하도록 구성된다. 추가적으로, 페디스털 베이스(244)는, 정전 척(210) 및 냉각 베이스(260)로부터의 복수의 유체 연결들을 수용하도록 구성된다. 페디스털 베이스(244)는 또한, 정전 척(210)으로부터의 복수의 전기 연결들을 수용하도록 구성된다. 예컨대 유체들, 전기 신호들, 데이터 신호들의 무수한 연결들이 기판 지지 조립체(200)의 외부로 또는 내부로 이어질 수 있다.
[0041] 시스템 제어기(160)는, 페디스털 베이스(244)에 배치되는 제어 보드(250)를 포함할 수 있다. 대안적으로, 제어 보드(250)는, 기판 지지 조립체(200)의 내부 또는 외부의 다른 곳에 배치될 수 있다. 제어 보드(250)는, PWM(pulse width modification) 가열기 제어기(216)를 가질 수 있다. 제어 보드(250)는 또한, 온도 센서 제어기(252)를 선택적으로 가질 수 있다.
[0042] 온도 센서 제어기(252)는, 기판(118) 온도를 측정하기 위한 온도 센서들(254)에 통신가능하게 커플링될 수 있다. 온도 센서 제어기(252)는, 온도 센서들(254)로부터의 신호들을, 워크-피스 지지 표면(202)에 관한 이산적 위치들에서의 실제 온도 판독치들로 변환할 수 있다. 그런 다음, 실제 온도 판독치들의 데이터는 PWM 가열기 제어기(216)에 그리고 추가로, 분석 및 계산을 위해 시스템 제어기(160)에 송신된다.
[0043] PWM 가열기 제어기(216)는 개별적인 가열기들(212, 214)에 직접적으로 또는 간접적으로 연결될 수 있다. PWM 가열기 제어기(216)는, 가열기 전력 소스(222)에 의해 개별적인 가열기들(212, 214)에 제공되는 전력을 수정할 수 있다. PWM 가열기 제어기(216)는, 각각의 공간적 튜닝가능 가열기(214)에서의 온도를 측정함으로써 프로그래밍 및 교정될 수 있다. 즉, 각각의 공간적 튜닝가능 가열기(214)는 자체의 독립적인 PWM 제어를 갖는다. PWM 가열기 제어기(216)는, 개별적인 공간적 튜닝가능 가열기들(214)에 대한 전력 파라미터들을 조정함으로써 온도를 제어할 수 있다. 예컨대, 공간적 튜닝가능 가열기(214) 중 하나에 대한 100 퍼센트의 전력은, 공간적 튜닝가능 가열기(214) 위의 표면 위치에 대한 메인 가열기에 의해 설정된 온도를 증가시키기 위한 약 5℃의 열 출력을 유발할 수 있다. 추가적으로, 공간적 튜닝가능 가열기들(214) 중 하나 이상에 대한 20 퍼센트의 전력은, 공간적 튜닝가능 가열기들(214) 위의 표면 위치에 대한 메인 가열기에 의해 설정된 온도를 증가시키기 위한 약 1℃의 열 출력을 유발할 수 있다. 전력은, 공간적 튜닝가능 가열기들(214) 중 몇몇에 대해 20%까지 감소될 수 있고, 프로세싱 동작 전체에 걸쳐 그 레벨로 유지될 수 있다. 추가적으로, PWM 가열기 제어기(216)는 제1 전력 레벨, 예컨대 80 퍼센트로 하나 이상의 공간적 튜닝가능 가열기들(214)을 동작시키면서, 동시에, 제2 전력 레벨, 예컨대 약 20 퍼센트로 하나 이상의 별개의 공간적 튜닝가능 가열기들(214)을 동작시킬 수 있다. 일 실시예에서, 온도는, 공간적 튜닝가능 가열기들(214)에 대한 증분적 전력 증가들로 조절될 수 있다. 예컨대, 온도 상승은, 프로세스의 과정 동안, 공간적 튜닝가능 가열기들(214)에 공급되는 전력에서의 퍼센티지 증가, 예컨대 9% 증가로 획득될 수 있다. 다른 실시예에서, 온도는, 다른 튜닝가능 가열기들(214)이 또한 동위상(in-phase)으로 또는 역위상(out of phase)으로, 온(on) 및 오프(off)로 사이클링되는 동안, 공간적 튜닝가능 가열기(214) 중 하나 이상을 온 및 오프로 사이클링시킴으로써 조절될 수 있다. 또 다른 실시예에서, 온도는, 원하는 온도 프로파일을 유지하는 데 요구되는 바에 따라, 공간적 튜닝가능 가열기들(214) 중 하나 이상에 대한 전력 레벨들을 조정하는 것, 공간적 튜닝가능 가열기들(214) 중 하나 이상을 사이클링시키는 것 및 공간적 튜닝가능 가열기들(214) 중 하나 이상에 대한 전력을 증분적으로 조정하는 것의 조합에 의해 조절될 수 있다. 또 다른 예에서, 정전 척(210)에 걸쳐 공간적 튜닝가능 가열기들(214) 사이에 동일한 비례 전력 분포를 유지하면서, 공간적 튜닝가능 개별 가열기들(212, 214) 모두에 전력을 제공함으로써, 정전 척(210)에 걸친 온도가 동시에 상승될 수 있다. 예컨대, 공간적 튜닝가능 가열기들(214) 중 하나에 의해 제어되는 이산적 영역은 이웃하는 이산적 영역보다 약 0.5% 온도 바이어스 더 높은 온도 범위를 가질 수 있다. 따라서, 정전 척(210)에 걸쳐 모든 공간적 튜닝가능 개별 가열기들(212, 214)에 전력을 공급하는 동안, 이산적 영역의 그러한 정전 척(210)은 그 이웃의 이산적 영역까지 여전히 유지된다. 따라서, 공간적 튜닝가능 가열기들(214) 각각의 개별적인 제어에 의해, 그러한 온도 바이어스는 정전 척(210)에 걸쳐 비교적 더 균일한 온도 분포를 제공하기 위해 제어 및 조정될 수 있다.
[0044] 단일 인스턴스(instance)에 걸쳐 각각의 개별적인 공간적 튜닝 가열기(214)의 열 출력을 변화시킴으로써, 온도 프로파일(예컨대, 온도 맵)이 획득될 수 있다. 측정된 온도 프로파일은 기판 온도 프로파일을 각각의 공간적 튜닝가능 가열기(214)에 대한 전력 분포 곡선에 상관시킬 수 있다. 따라서, 공간적 튜닝가능 가열기(214)는, 개별적인 공간적 튜닝가능 가열기들(214)에 대한 전력 설정들을 조절하는 프로그램에 기반하여 기판 상에 온도 프로파일을 생성하는 데 사용될 수 있다. 로직은 직접적으로, PWM 가열기 제어기(216), 메모리(302)(도 3에 도시된 바와 같음), 또는 페디스털 베이스(244)에 배치된 PWM 가열기 제어기(216)에 연결된 다른 메모리 디바이스(도시되지 않음)에 배치될 수 있거나, 또는 외부에 연결된 제어기, 이를테면, 제어기(160)에 배치될 수 있다. 따라서, PWM 가열기 제어기(216)는, 복수의 공간적 튜닝가능 가열기들(214) 중 각각의 공간적 튜닝가능 가열기(214)의 출력을, 메인 저항성 가열기들(212)뿐만 아니라 다른 복수의 공간적 튜닝가능 가열기들(214) 각각에 대해 독립적으로 그리고 별도로 제어하도록 구성된다.
[0045] 프로세싱 챔버(120) 내의 기판(118)에 대한 표면 온도는, 펌프에 의한 프로세스 가스들의 진공배기, 슬릿 밸브 도어, 플라즈마 및 다른 요인들에 의해 영향을 받을 수 있다. 제어기(160)는, 고품질의 프로세싱 결과들을 획득하기 위해, 기판(118)에 대한 온도 프로파일 맵을 가질 수 있다. 제어기(160)는, 기판(118)의 프로세스 결과들에서의 편차 또는 부정적인 경향들을 정정하기 위해, 계측 장비 또는 다른 프로세싱 장비로부터 입력을 수신할 수 있다. 예컨대, 기판(118)의 일 영역이 기판(118)의 다른 영역보다 더 빠르게 프로세싱될 수 있다. 제어기(160)는, 교정 프로세스를 수행하는 것과 같이, 프로세싱 레이트에서 벗어나는 영역에서 기판(118)의 표면 온도를 조정하도록, 공간적 튜닝가능 가열기들(214)에 시그널링할 수 있다. 제어기(160)는, 상이한 프로세싱 레이트를 갖는 영역의 형상에 있어서 유사한 패턴으로, 공간적 튜닝가능 가열기들(214)을 조정할 수 있다. 공간적 튜닝가능 가열기들(214)은, 온도 프로파일의 변동들을 약 +/- 0.3℃로 감소시킴으로써, 메인 저항성 가열기들(212)에 의해 생성되는 기판(118)의 표면의 온도 프로파일을 개선하도록 조정될 수 있다. 온도 프로파일은, 원하는 결과들을 획득하기 위해, 공간적 튜닝가능 가열기들(214)의 사용을 통해, 균일하도록 만들어질 수 있거나 또는 기판(118)의 구역들에 걸쳐 미리 결정된 방식으로 정밀하게 변화하도록 만들어질 수 있다. 메인 저항성 가열기들(212)에 의해 생성되는 온도 프로파일을 평활화하거나 정정하기 위해 독립적으로 제어가능한 공간적 튜닝가능 가열기들(214)을 사용하는 것은, 기판에 걸친 국부적 온도 균일성을 매우 작은 허용오차들로 제어하는 것을 가능하게 하며, 그에 따라, 기판(118)을 프로세싱할 때 정전 척(210)에 걸친 정밀한 프로세스를 가능하게 한다. 추가적으로, 메인 저항성 가열기들(212)에 비해 공간적 튜닝가능 가열기들(214)의 작은 사이즈 및 높은 밀도는, 이웃하는 영역들의 온도에 실질적으로 영향을 미치지 않으면서, 정전 척(210) 상의 특정 위치들에서의 온도 제어를 가능하게 하며, 그에 따라, 국부적 고온 및 저온 스폿들이 보상되어, 국부적인 온도 제어를 향상시킬 뿐만 아니라 원하는 온도 프로파일들을 달성하는 것을 가능하게 한다.
[0046] 300 mm 기판과 함께 사용하도록 구성된 기판 지지 조립체(200)의 주어진 실시예에서 약 100개 내지 약 400개, 또는 훨씬 더 많은 공간적 튜닝가능 가열기들(214)이 존재할 수 있음이 고려된다. 450 mm 이상의 기판들에 대해 훨씬 더 많은 공간적 튜닝가능 가열기들(214)이 고려된다. 공간적 튜닝가능 가열기들(214)의 예시적인 분포는 도 4를 참조하여 아래에 추가로 설명된다. 일 예에서, 도 1 - 도 2에 도시된 예에서 약 150개 내지 약 300개의 공간적 튜닝가능 가열기들(214)이 존재한다.
[0047] 도 3은 파선으로 표시된 바와 같은 RF 환경(351)에서 페디스털 베이스(244)에 배치된 제어 보드(250)의 블록도를 도시한다. 위에서 설명된 바와 같이, 제어 보드(250)는 내부에 배치된 PWM 가열기 제어기(216)를 포함한다. PWM 가열기 제어기(216)는 무선으로 제어될 수 있는 프로세서(304)에 커플링된다. 프로세서(304)는 PWM 가열기 제어기(216)에 커맨드 또는 신호를 전송할 수 있다. 커맨드 또는 신호는 개별적인 가열기들(212, 214)을 추가로 제어하기 위해 PWM 가열기 제어기(216)에 전송된 설정점들을 제어하도록 미리 설정될 수 있다. 프로세서(304)는 무선으로 제어될 수 있거나, 또는 직접적으로 또는 프로세서(304)에 전기적으로 연결된 외부 제어기(338)를 통해 제어기(160)와 통신할 수 있다. 외부 제어기(338)는 임의의 적절한 방식으로 제어기(160)와 추가로 통신할 수 있다.
[0048] PWM 가열기 제어기(216)는 아이솔레이터(308)에 커플링된다. 아이솔레이터(308)는 PWM 가열기 제어기(216)로부터 PWM 신호들을 수신한다. 아이솔레이터(308)는 광학-아이솔레이터(opto-isolator)일 수 있다. 아이솔레이터(308)는 스위칭 디바이스(306)에 추가로 커플링된다. PWM 가열기 제어기(216)는 가열 엘리먼트(311)에 추가로 커플링된 스위칭 디바이스(306) 중 하나 이상을 턴 온 또는 턴 오프시킬 수 있다. 가열 엘리먼트(311)는 공간적 튜닝가능 가열기들(214) 및 메인 저항성 가열기들(212)의 각각의 가열기이다. 정전 척(210)에 배치된 공간적 튜닝가능 가열기들(214) 및 메인 저항성 가열기들(212)은 개별적으로 제어된다. PWM 가열기 제어기(216)는 스위칭 디바이스(306)를 통해 가열 엘리먼트(311)에 인가되는 전력의 듀티 사이클, 전압, 전류, 또는 지속기간 중 적어도 하나 이상을 제어할 수 있다. 일 실시예에서, PWM 가열기 제어기(216)는 제어기(160)로부터 그리고/또는 프로세서(304)로부터 핀(pin) 또는 출력의 듀티 사이클을 설정하는 커맨드를 수신한다. 그런 다음, PWM 가열기 제어기(216)는 설정된 듀티 사이클에 따라 스위칭 디바이스(306)를 턴 온 및 턴 오프시킨다. 듀티 사이클을 증가 및 감소시킴으로써, PWM 가열기 제어기(216)는 스위칭 디바이스(306)가 턴 온되는 시간량 대(versus) 스위칭 디바이스(306)가 턴 오프되는 시간량을 제어할 수 있다. 스위칭 디바이스(306)는 턴 온될 때 가열 엘리먼트(311)에 전력 및 제어를 제공한다. 스위칭 디바이스(306)의 듀티 사이클을 제어함으로써, 가열 엘리먼트(311)에 전달되는 전력량이 높은 정확도로 제어될 수 있다. PWM 가열기 제어기(216)는 가열 엘리먼트(311)에 커플링된 개별적인 공간적 튜닝가능 가열기들(214) 및 메인 저항성 가열기들(212)에 대한 듀티 사이클을 제어하거나 또는 온/오프 상태를 토글링(toggle)할 수 있다. 대안적으로 또는 추가적으로, PWM 가열기 제어기(216)는 가열 엘리먼트(311)에 커플링된 개별적인 가열기들(212, 214)에 전달되는 전력량을 제어할 수 있다. 제어 보드(250)는 다수의 PWM 가열기 제어기(216)를 포함할 수 있고, 각각의 PWM 가열기 제어기(216)는 다수의 스위칭 디바이스들(306)(예컨대, 트랜지스터들, 사이리스터(thyristor)들, 트라이액(triac)들 등) 및 그러한 스위칭 디바이스들(306)에 커플링된 엘리먼트들을 제어할 수 있다는 것이 주목된다.
[0049] PWM 가열기 제어기(216)는 개별적인 가열기들(212, 214)에 공급되는 전력 파라미터들을 조정함으로써 개별적인 가열기들(212, 214)의 온도를 제어할 수 있다. 개별적인 가열기들(212, 214)의 온도를 제어하기 위해 PWM 가열기 제어기(216)에 입력될 입력 커맨드는, 제어기(160)에 의해 추가로 무선으로 제어되거나 통신될 수 있는 프로세서(304)로부터의 사용자 입력으로부터 비롯될 수 있다. 일 실시예에서, 온도는, 개별적인 가열기들(212, 214)에 대한 증분적 전력 증가들로 조절될 수 있다. 예컨대, 가열 엘리먼트에 공급되는 전력의 퍼센티지 증가, 예컨대 9% 증가로 온도 상승이 획득될 수 있다. 다른 실시예에서, 온도는 가열 엘리먼트를 온 및 오프로 사이클링시킴으로써 조절될 수 있다. 또 다른 실시예에서, 온도는 각각의 가열 엘리먼트에 대한 전력을 사이클링시키는 것과 증분적으로 조정하는 것의 조합에 의해 조절될 수 있다. 이 방법을 사용하여 온도 프로파일(예컨대, 맵)이 획득될 수 있다. 온도 프로파일은 온도를 각각의 개별적인 가열 엘리먼트에 대한 전력 분배 곡선에 상관시킬 수 있다. 그런 다음, 그러한 온도 프로파일은 PWM 가열기 제어기(216)에 그리고 추가로 프로세서(304)에 송신된다. 따라서, 개별적인 가열 엘리먼트는 PWM 가열기 제어기(216)로부터 그리고 추가로 프로세서(304)로의 개별적인 가열 엘리먼트들에 대한 전력 설정들을 조절하는 프로그램에 기반하여 기판 상의 온도 프로파일을 생성하는 데 사용될 수 있다. 로직은 직접적으로, PWM 가열기 제어기(216), 프로세서(304), 또는 제어 보드(250)에 포함된 메모리(302)와 같은 다른 프로세싱 디바이스에 배치될 수 있다. 측정 및 교정된 온도 프로파일은 메모리(302)에 저장될 수 있으며, 메모리(302)는 반복적인 사용을 위해 프로세서(304)와 통신하거나 또는 미래의 교정을 위해 데이터베이스와 통신할 수 있음이 주목된다.
[0050] 일부 예들에서, 프로세서(304)는 미리 정의된 임계치를 초과하는 전력의 인가 시에 메모리(302)에 저장된 명령들을 자동으로 실행하도록 동작가능하여, 그에 따라, 온도 교정 프로세스는 필요에 따라 자동으로 트리거링될 수 있다.
[0051] 제어 보드(250)는 추가적으로, 하나 이상의 센서들, 이를테면, 제1 센서(314) 및 제2 센서(316)를 포함한다. 제1 센서(314) 및 제2 센서(316)는 아날로그 센서들일 수 있고, 제1 센서(314) 및 제2 센서(316)로부터 아날로그 측정 신호들을 수신할 수 있는 아날로그 신호 수신기(312)에 연결될 수 있다. 그런 다음, 아날로그 신호 수신기(312)로부터의 수신된 아날로그 측정 신호들은, 아날로그 측정 신호들을 디지털 측정 신호들로 변환하기 위해, 프로세서(304)에 송신된다. 그런 다음, 변환된 디지털 전기 측정 신호들은 디지털 신호 출력(310)으로의 디지털 광학 측정 신호들 또는 다른 측정 신호들로 변환되며, 프로세서(304)는 가열 엘리먼트 제어를 위해 그러한 신호들을 PWM 가열기 제어기(216)에 추가로 송신할 수 있다. 대안적으로, 제1 센서(314) 및/또는 제2 센서(316)는, PWM 가열기 제어기(216)에 추가로 송신될 수 있는 디지털 측정 신호들을 프로세서(304)에 출력하는 디지털 센서들일 수 있다.
[0052] 외부 제어기(338)는 프로세서(304)에 전기적으로 연결된다. RF 필터(204)는 프로세서(304)와 외부 제어기(338) 사이에 배치된다. 외부 제어기(338)는 외부 제어기(338)의 컴포넌트들에 전력을 공급하는 제1 전력 공급부(336) 및 제어 보드(250)에 배치된 프로세서(304)에 전력을 공급하는 제2 전력 공급부(330)를 포함한다. 제1 전력 공급부(336)는 전력 소스(344)에 커플링되고, 제2 전력 공급부(330)는 또한 전력 소스(344)에 커플링된다. 제2 전력 공급부(330)는 AC 접촉기(355)를 통해 전력 소스(344)에 커플링된다. 제1 전력 공급부(336)는, 제1 전력 공급부(336)의 전압 레벨을 측정 또는 모니터링할 수 있는 전류/전압 클램프, 이를테면, 외부 제어기(338) 내의 단일 전류/전압 클램프를 포함할 수 있다. RF 필터(204)는 제2 전력 공급부(330)를 제어 보드(250)로부터 분리시킨다. 위에서 논의된 바와 같이, RF 필터(204)는 외부 제어기(338)를 보호하기 위해 RF 환경(351)에 의해 전력 라인에 도입된 RF 잡음을 필터링한다. 일 예에서, 제2 전력 공급부(330)는 약 9 볼트 내지 40 볼트 범위의 전압 전력을 프로세서(304)에 제공한다.
[0053] 외부 제어기(338)는 제어기(160)와 통신할 수 있는 프로세싱 디바이스(334)를 더 포함한다. 프로세싱 디바이스(334)는 제1 전력 공급부(336)에 의해 전력을 공급받을 수 있다. 프로세싱 디바이스(334)는 ECAT(예컨대, EtherCAT 또는 Ether Control Automation Technology) 입력 포트(340) 및 ECAT 출력 포트(342)로부터의 또는 이더넷 TCP-IP로부터의 신호들을 수신할 수 있는 간단한 디지털 입력, 디지털 출력, 아날로그 입력 및 아날로그 출력 인터페이스를 포함한다. 프로세싱 디바이스(334)는 프로세싱 디바이스(334)에 커맨드 및 신호들을 제공하기 위해 제어기(160)와 통신한다. 제어 보드(250)뿐만 아니라 RF 환경(351) 외부에 있는 ECAT 입력 포트(340) 및 ECAT 출력 포트(342)는 필요에 따라 프로세싱 디바이스(334)에 신호 또는 커맨드를 추가로 송신할 수 있다. 제어기(160)는 커맨드들을 생성하고, 사용자 입력에 따라 커맨드들을 프로세싱 디바이스(334)에 전송한다. 예컨대, 사용자는, 프로세스 레시피를 선택하고 프로세스 레시피를 실행하기 위한 커맨드를 발행하는 입력을 제공할 수 있다. 프로세싱 디바이스(334)는 제어기(160)로부터 수신된 커맨드에 기반하여 하나 이상의 추가적인 커맨드들을 생성할 수 있다. 예컨대, 제어기(160)는, 프로세싱 디바이스(334)로 하여금 ECAT 입력 포트(340) 및 ECAT 출력 포트(342)에 대한 명령들 및 필요에 따라 제어 보드(250)에 대한 다른 명령을 생성하게 하는 커맨드를 프로세싱 디바이스(334)에 전송할 수 있다. 명령들은 아날로그 신호 또는 디지털 신호일 수 있다. 따라서, 프로세싱 디바이스(334)는 RF 환경(351) 내부뿐만 아니라 RF 환경(351) 외부에 있는 다수의 상이한 타입들의 디지털 및 아날로그 디바이스들을 제어하기 위한 커맨드들을 생성할 수 있다.
[0054] 따라서, 외부 제어기(338) 내의 제1 및 제2 전력 공급부(336, 330)는 대부분, 정전 척(210) 내의 개별적인 가열기들(212, 214)에 대해 온도 교정 프로세스를 수행하기 위해 프로세싱 디바이스(334) 및 제어 보드(250)에 전력을 공급하는 데 활용된다. 따라서, 외부 제어기(338)의 비교적 콤팩트한 아키텍트가 활용된다. 종래의 제어기에서 대개 발견되는 PLC(programmable logic controller) 또는 프로그래밍 프로세서는, 공간을 절약하고 외부 제어기(338)의 풋프린트를 감소시키기 위해 제거될 수 있다. 제1 및 제2 전력 공급부(336, 330)로부터의 비교적 예측가능한 낮은 레벨의 전력은 PWM 가열기 제어기(216)를 통해 가열 엘리먼트(311)에 전력을 공급하는 데 활용될 수 있다. 따라서, 제2 전력 공급부(330)(또는 제1 전력 공급부(336)) 내의 하나의 단일 전류 클램프는 일반적으로, 제어 보드(250) 내의 가열 엘리먼트(311)에 전력을 공급하기 위해 외부 제어기(338)에서 활용되는 전압 및 전류 레벨을 제어하기에 충분하다.
[0055] 실시예에서, 정전 척이 파워 오프될 때, 필요에 따라 정보(예컨대, 데이터 또는 온도 분포 맵)에 액세스하기 위해 제2 전력 공급부(330)로부터 프로세서(304)를 통해 메모리(302)로 전력이 추가로 공급될 수 있다. 예컨대, 온도 분포 맵 및/또는 가열기 전력 조정 분포 맵은 외부 제어기(338) 내의 제2 전력 공급부(330)의 제어를 활용함으로써 필요에 따라 오프-라인 액세스될 수 있다. 따라서, 정전 척의 메모리(302)에 저장된 정보는 심지어 프로세싱 챔버 또는 정전 척이 오프라인이고 동작 중이지 않더라도 쉽게 액세스될 수 있다.
[0056] 도 4는 공간적 튜닝가능 가열기들(214)을 내부에 갖는 셀들(410, 412)의 예시적인 레이아웃들을 예시하는 정전 척(210)의 평면도이다. 셀들(410, 412)은 인접한 셀들(410, 412) 사이에서 열 초크(thermal choke)(411)로서 작용하는 재료(또는 갭들)를 가질 수 있다. 열 초크들(411)은 인접한 셀들(410, 412) 사이를 분리시키고 인접한 셀들(410, 412) 사이의 전도를 감소시킨다. 따라서, 각각의 셀(410, 412) 내의 각각의 공간적 튜닝가능 가열기들(214)에 제공되는 전력을 개별적으로 그리고 독립적으로 제어함으로써, 기판(118)의 특정 지점들이 가열 또는 냉각될 수 있게 하는 온도를 제어하기 위한 국부적인 접근법이 달성되며, 그에 따라, 기판(118)의 표면의 정확하게(truly) 어드레싱가능한 측방향 온도 프로파일 튜닝 및 제어를 가능하게 한다.
[0057] 열 초크(411)는 각각의 이웃 셀(410, 412) 사이에 배치된다. 각각의 셀(410, 412)은 공간적 튜닝가능 가열기들(214) 중 적어도 하나와 연관된다. 도시된 셀들(410, 412)의 수는 단지 예시를 위한 것이며, 실시예들 중 임의의 수의 실시예들은 상당히 더 많은(또는 더 적은) 셀들(410, 412)을 가질 수 있다. 공간적 튜닝가능 가열기들(214)의 수는 메인 저항성 가열기들(212)의 수보다 적어도 10배 더 많을 수 있다. 기판 지지 조립체(200)에 걸쳐 위치된 공간적 튜닝가능 가열기들(214)의 수는 쉽게 300개를 초과할 수 있는데, 이를테면, 500개를 초과할 수 있다.
[0058] 공간적 튜닝가능 가열기들(214)은 정전 척(210)의 표면을 따라 열 프로파일을 효율적으로 생성하기 위한 패턴으로 구성될 수 있다. 패턴은 정전 척(210)의 중심점(401)을 중심으로 대칭적 또는 비대칭적일 수 있다. 각각의 공간적 튜닝가능 가열기(214)는 프로세서(304)로부터의 무선 제어를 통해 PWM 가열기 제어기(216)를 통해 제어기(160)에 의해 제어될 수 있다. PWM 가열기 제어기(216)는 국부적 영역을 정의하는 단일의 공간적 튜닝가능 가열기(214)를 턴 온 시킬 수 있거나; 또는 상이한 동심 구역들 또는 데카르트 그리드, 이를테면, 상이한 동심 구역들(402, 404, 406, 408), 또는 원하는 온도 프로파일을 결정하기 위한 비-연속적인 구성들을 포함하는 다른 원하는 기하학적 구성들을 정의하도록 그룹화된 복수의 공간적 튜닝가능 가열기들(214)을 턴 온 시킬 수 있다. 이러한 방식으로, 온도는 정전 척(210)의 표면을 따라 독립적인 위치들에서 정밀하게 제어될 수 있는데, 그러한 독립적인 위치들은 동심 링들 또는 당해 기술 분야에 알려진 다른 중심 대 에지 구성들로 제한되지 않는다.
[0059] 도 4에 도시된 예에서, 공간적 튜닝가능 가열기들(214)은 다수의 동심 구역들(402, 404, 406, 408)에 정의된 동심 채널들에 배열되고, 그에 따라, 개별적인 온도 제어가능 셀들(410, 412)의 극성을 정의한다. 다수의 동심 구역들(402, 404, 406, 408)은 정전 척(210)의 중심점(401) 주위에 정의된다. 각각의 동심 구역(402, 404, 406, 408) 내의 공간적 튜닝가능 가열기들(214)의 동심 채널 패턴은 선택적으로 열 초크들(411)에 의해 분리될 수 있다. 공간적 튜닝가능 가열기들(214) 및 셀들(410, 412)은 다른 배향들로 배열될 수 있음이 고려된다. 위에서 논의된 바와 같이, 공간적 튜닝가능 가열기들(214)은 단일 구역 내의 독립적인 제어를 포함하여, 그룹들로 또는 단독으로 활성화될 수 있다는 것이 인지되어야 한다.
[0060] 공간적 튜닝가능 가열기들(214)의 개수 및 밀도는, 기판에 걸친 온도 균일성을 매우 작은 허용오차들로 제어하는 능력에 기여하고, 이는 기판(118)을 프로세싱할 때 정밀한 프로세스를 가능하게 한다. 추가적으로, 다른 공간적 튜닝가능 가열기(214)에 대한, 공간적 튜닝가능 가열기들(214) 중 하나에 대한 개별적인 제어는, 표면의 이웃하는 또는 인접한 영역들의 온도에 실질적으로 영향을 미치지 않으면서 기판 지지 조립체(200)의 표면을 따르는 이산적 위치들에서의 온도 제어를 가능하게 한다. 이러한 특징은 국부적 고온 및 저온 스폿들이 보상될 수 있게 한다. 공간적 튜닝가능 가열기들(214)은, 온도 상승을 약 0.1℃의 증분들로 제어하는 능력과 함께, 약 0.0℃ 내지 약 50.0℃의 개별적인 온도 범위를 가질 수 있다. 일 실시예에서, 기판 지지 조립체(200) 내의 복수의 공간적 튜닝가능 가열기들(214)은 메인 저항성 가열기(212)와 함께, 기판 지지 조립체(200) 상에서 프로세싱되는 기판(118)의 온도 균일성을 약 ± 0.3℃ 미만으로 제어하는 능력을 입증하였다. 따라서, 공간적 튜닝가능 가열기들(214)은, 기판 지지 조립체(200) 상에서 프로세싱되는 기판(118)의 측방향 온도 프로파일의 측방향 및 방위방향 튜닝 둘 모두를 가능하게 한다.
[0061] 개별적인 가열기들(212, 214)은 소프트웨어 루틴에 의해 제어될 수 있다. 소프트웨어는 프로세스 챔버(120) 내의 기판(118)에 대한 온도 프로파일을 튜닝하기 위해 현재 기판 온도 프로파일 맵 및 교정 방법들을 통합할 수 있다.
[0062] 도 5는 개별적인 제어가능 개별 가열기들(212, 214)을 활용하여 기판 지지부 온도를 교정하기 위해 활용될 수 있는 프로세스(500)를 도시한다. 프로세스(500)는 제어 보드(250) 내의 메모리(302) 또는 제어기(160)에 저장될 수 있고, 그에 따라, 교정 프로세스는 필요에 따라 고객 현장(또는 제조 현장 이외의 다른 위치들)에서의 예방적 유지보수 또는 다른 서비스들 후에 교정을 수행하기 위해 용이하게 액세스가능하다. 흐름도는 컴퓨팅 디바이스 상의 소프트웨어 루틴으로서 구현될 수 있다. 공간적 튜닝가능 가열기들은 독립적으로 제어가능하며, 공간적 튜닝가능 가열기들 상에서 프로세싱되는 기판들의 온도 프로파일을 결정한다. 제어기는, 다른 공간적 튜닝가능 가열기에 대하여 각각의 개별적인 공간적 튜닝가능 가열기에 별개로 인가되는 전력의 듀티 사이클, 전압, 전류, 지속기간 중 적어도 하나 이상을 제어함으로써, 다른 공간적 튜닝가능 가열기에 대하여 하나의 공간적 튜닝가능 가열기에 의해 생성되는 열을 변경할 수 있다. 공간적 튜닝가능 가열기들에 공급되는 전력은 또한, 위에 설명된 바와 같이 복수의 가열기들 간에 동시에 제공되거나, 대안적으로, 개별적인 공간적 튜닝가능 가열기들에 걸쳐 순차적으로 스캐닝될 수 있다. 기판(118)과 같은 워크-피스는, 기판 지지 조립체(200)에 대한 온도 프로파일을 수집하고 교정하는 것을 돕기 위해 기판 지지 조립체 상에서 프로세싱될 수 있다. 예컨대, 기판은, 예컨대 플라즈마 프로세스를 사용하여 진공 챔버에서 프로세싱될 수 있다. 프로세싱 챔버 내의 플라즈마의 존재 하에서 선택적으로 수행될 수 있는 진공 프로세스는, 에칭, 화학 기상 증착, 물리 기상 증착, 이온 주입, 플라즈마 처리, 어닐링, 산화물 제거, 저감(abatement) 또는 다른 플라즈마 프로세스 중 하나일 수 있다. 워크-피스는, 다른 애플리케이션들에 대해 다른 환경들에서, 예컨대 대기 조건들에서 온도 제어된 표면 상에서 프로세싱될 수 있음이 고려된다.
[0063] 프로세스(500)는 동작(502)에서, 기판, 이를테면, 기판 지지 조립체(200) 상에 배치된 기판(118)에 대해 미리 결정된 프로세스를 수행함으로써 시작된다. 기판(118)은 필요에 따라 정규 생산 웨이퍼, 교정 웨이퍼 또는 더미 웨이퍼일 수 있다는 것이 주목된다. 미리 결정된 프로세스는 기판 지지 조립체(200)에 걸쳐 분포된 온도 프로파일을 획득하기 위해 활용될 프로세스일 수 있다.
[0064] 동작(504)에서, 동작(502)에서 수행된 미리 결정된 프로세스에 기반하여, 사전-교정된 기판 온도 프로파일이 획득된다. 온도 프로파일은 (도 2에 표시된 바와 같이) 온도 센서들(254)에 의해 측정될 수 있고, 그런 다음 추가의 프로세싱 및/또는 분석을 위해 제어기(160)에 송신될 수 있다.
[0065] 동작(506)에서, 사전-교정된 기판 온도 프로파일이 분석되고 제어기(160) 및/또는 메모리(302)에 저장된 타겟 온도 프로파일과 비교된 후에, 온도 오프셋(예컨대, 온도 편차) 맵이 결정된다. 온도 오프셋(예컨대, 온도 편차) 맵은 온도 튜닝 파라미터들을 추가로 결정할 수 있다. 온도 오프셋은, 튜닝 맵 또는 튜닝 가열 프로파일을 결정하기 위해, 온도 튜너, 이를테면, PWM 가열기 제어기(216) 또는 프로세서(304)에 대한 피드백이었다. 예컨대, 튜닝 맵은 각각의 셀들(410, 412)에 대해 얼마나 많은 온도 증가 또는 감소가 필요한지를 결정할 수 있다. 따라서, 공간적 튜닝가능 가열기들(214) 및/또는 메인 저항성 가열기들(212) 각각에 공급되는 전력은 상이한 레벨/양의 전압 레벨을 공간적 튜닝가능 가열기들(214) 및/또는 메인 저항성 가열기들(212) 각각에 제공하도록 조정될 수 있으며, 이는 공간적 튜닝가능 가열기들(214) 및/또는 메인 저항성 가열기들(212)에 의해 생성되는 열 에너지에 영향을 미칠 수 있다. 그 결과, ESC에 걸쳐 원하는 균일한 온도 프로파일을 제공하기 위해, 국부적인 온도 조정 및 오프셋이 튜닝 맵에 기반하여 튜닝될 수 있다.
[0066] 동작(508)에서, ESC의 튜닝 맵은 지정된 구역들에 위치된 미리 결정된 이산적 개별 가열기들(212, 214)을 교정하기 위해 온도 튜닝 파라미터들을 결정하는 데 사용된다. 따라서, 교정 프로세스는 온도 오프셋(예컨대, 온도 편차) 맵에 기반하여 튜닝 맵으로부터 결정된 온도 튜닝 파라미터들에 기반하여 수행된다. 각각의 공간적 튜닝가능 가열기(214)에 대한 제어는 정전 척(210)에서 동시에 수행될 수 있어서, 공간적 튜닝가능 가열기들(214)의 임의의 선택이 특정 온도 프로파일/맵을 신속하게 생성할 수 있게 한다. 제2 전력 공급부(330)로부터 개별적인 공간적 튜닝가능 가열기들(214)로의 전력의 제어/공급은 외부 제어기(338)를 통해 제공될 수 있다. PWM 가열기 제어기(216)는, 원하는 온도 프로파일을 달성하기 위해 ESC의 온도 설정을 국부적으로 제어하기 위해 공간적 튜닝가능 가열기(214)로부터 상이한 열 에너지가 제공될 수 있도록, 각각의 개별적인 공간적 튜닝가능 가열기(214)에 공급되는 전력을 조정한다. 하나의 공간적 튜닝가능 가열기(214)에 대한 전력이 조정되는 동안, 다른 공간적 튜닝가능 가열기들(214)은 턴 오프되거나 또는 주어진 출력으로 홀딩될 수 있다. 대안적으로, 공간적 튜닝가능 가열기(214) 중 복수, 이를테면, 2개, 그 초과 또는 전부에 대한 전력은 동시에 조정될 수 있다. 150개 이상의 공간적 튜닝가능 가열기들(214)이 있을 수 있으며, 각각의 공간적 튜닝가능 가열기(214)는 맞춤화가능한 열 출력을 획득하기 위해 개별적으로 제어된다. 예컨대, 프로세서(304)는, 하나의 공간적 튜닝가능 가열기, 예컨대, 공간적 튜닝가능 가열기x(heaterx)에 20 퍼센트의 전력을 제공하여 그 가열기에 인접한 제1 위치에서 약 1℃의 온도 증가를 달성하도록, PWM 가열기 제어기(216)에 표시할 수 있다. 프로세서(304)는, 제2 공간적 튜닝가능 가열기, 예컨대, 공간적 튜닝가능 가열기y(heatery)에 80 퍼센트의 전력을 제공하여 그 가열기에 인접한 제2 위치에서 약 4℃의 증가를 달성하도록, PWM 가열기 제어기(216)에 동시에 명령할 수 있다. 이러한 방식으로, 고유한 온도 프로파일을 생성하기 위해, 공간적 튜닝가능 가열기들의 최대 100 퍼센트가 동시에 개별적으로 제어될 수 있다.
[0067] 종래에는, 가열기들을 튜닝하기 위한 튜닝 파라미터들을 결정하기 위해 신호들을 송신하는 것을 보조하기 위하여, 일부 광학 통신들, 이를테면, 광섬유 연결들 또는 광학 피드 스루가 활용될 수 있다. 그러나, 그러한 광학 통신들은 대개, 기판 지지 조립체(200)에 배치된 추가적인 광학 디바이스들을 필요로 하며, 이는 제조 비용 또는 측정 복잡성을 불리하게 증가시킬 수 있다. 이에 반해, 본 개시내용에서, 온도 센서들(254)로부터의 피드백 제어 후에 PWM 가열기 제어기(216), 프로세서(304) 및 제2 전력 공급부(330) 사이의 방향 통신은 그러한 광학 디바이스들의 사용을 제거할 수 있다. 따라서, 데이터 통신을 향상시키고 튜닝 프로세스 제어를 단순화하기 위해, 제어 보드(250)의 단순한 설계뿐만 아니라 기판 지지 조립체(200)의 콤팩트한 구조가 획득될 수 있다. 게다가, 프로세서(304)로부터의 무선 인터페이스는 또한, 더 즉각적이고 효율적이며 동적인 방식으로 온도의 튜닝을 제어하는 것을 보조할 수 있다. 제2 전력 공급부(330)는 PWM 가열기 제어기(216)를 통해 하나의 공간적 튜닝가능 가열기(214)에 전력을 동시에 제공할 수 있는 한편, 다른 공간적 튜닝가능 가열기(214)를 사이클링시키고 또 다른 공간적 튜닝가능 가열기들(214)을 상이한 중첩 시간 간격들로 사이클링시킬 수 있다. 이러한 방식으로, 공간적 제어가능 가열기들(214)은 튜닝 맵으로부터 설정된 온도 튜닝 파라미터들과 매칭하도록 만들어진, 기판 지지부에 걸친 온도 프로파일을 가질 수 있다.
[0068] 동작(510)에서, 교정 프로세스가 완료된 후, 튜닝 맵뿐만 아니라 다른 정보, 이를테면, 온도 편차 또는 오프셋 맵, 제2 전력 공급부(330)로부터 공급되는 전력들, PWM 가열기 제어기(216)로부터의 커맨드 및 결정된 튜닝 파라미터들은, 제어기(160) 및/또는 프로세서(304)에 의해 용이하게 액세스가능하고 판독가능할 수 있는 메모리(302)에 저장될 수 있다. 튜닝 맵뿐만 아니라, 메모리(302)에 저장된 결정된 튜닝 파라미터들 및 온도 오프셋 및 편차 프로파일은, 예방적 유지보수가 수행된 후에 또는 교정 프로세스를 필요로 하는 임의의 적절한 상황들에서 기판 지지 조립체(200)의 온도 프로파일을 교정하기 위해 반복적으로 참조될 수 있다.
[0069] 도 6a 및 도 6b는 온도 교정 프로세스 이전 및 이후의 기판(118)의 온도 프로파일들이다. 동작(504)에서의 온도 측정 후에, 도 6a에 도시된 바와 같이, 사전-교정된 기판 온도 프로파일 또는 맵(602)이 획득될 수 있다. 도 6a의 예에서, 사전-교정된 기판 온도 프로파일(602)은 대략 3개의 상이한 온도 구역들(610, 612, 613)을 갖는 비대칭 구성일 수 있다. 제1 구역(612)은 중심으로부터 약간 떨어져 형성되고, 제2 구역(613) 및 제3 구역(610)보다 더 높은 온도를 갖는다. 제1 구역(612)에서의 비교적 더 높은 온도는 제2 및 제3 구역들(613, 610)과 상이한 레이트로 기판을 부정적으로 프로세싱할 수 있다. 동작(508)에서의 온도 교정 프로세스가 완료된 후, 제2 및 제3 구역들(613, 610)에 위치된 가열기들(214)에 공급되는 전력을 증가시킴으로써, 제2 및 제3 구역(613, 610) 내의 온도가 증가될 수 있다. 대안적으로, 제1 구역(612) 내의 온도는 제1 구역(612)에 위치된 가열기들(214)에 공급되는 전력을 감소시킴으로써 낮아질 수 있다. 따라서, 온도 교정 후에, 교정된 온도 프로파일(예컨대, 온도 맵)(650)은 도 6b에 도시된 바와 같이, 기판에 걸쳐 실질적으로 더 균일하며, 중심 구역(652)은 원하는 온도 범위를 갖는 한편 작은 에지 구역(654)은 중심 구역(652)보다 약간 더 낮거나 더 높은 온도를 갖는다. 따라서, 기판 지지 조립체(200)에 걸친 균일한 온도 프로파일이 획득된다.
[0070] 유리하게, 교정 프로세스는 기판 프로세싱 프로세스 동안 기판 지지 조립체에 걸친 온도 균일성을 제공 및 제어할 수 있다. 개별적인 공간적 튜닝가능 가열기들은 기판 지지 조립체에 걸친 온도 균일성을 향상시키기 위해 국부적인 온도 제어 및 보상을 촉진하는 데 사용될 수 있다. 공간적 튜닝가능 가열기들로부터 생성된 열을 조정하기 위해 추가로 활용할 수 있는, PWM 가열기 제어기(216)에 공급되는 전력으로부터의 직접 통신의 사용은, 측정을 위해 종래의 광학 디바이스들을 사용하지 않고서도 온도 프로파일의 효율적이고 간단한 제어를 제공할 수 있다. 따라서, 공간적 튜닝가능 가열기들은 시간의 경과에 따른 변동을 보상하도록 온도 프로파일을 튜닝한다.
[0071] 전술한 바가 본 발명의 구현들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 구현들이, 본 발명의 기본 범위를 벗어나지 않으면서 안출될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 지지 조립체에 대해 프로세스를 수행하는 방법으로서, 순차적으로,
    상기 기판 지지 조립체 상에 배치된 기판에 대한 초기 온도 프로파일을 획득하기 위해 상기 기판 지지 조립체에 대해 미리 결정된 프로세스를 수행하는 단계 ― 상기 기판 지지 조립체는 1차 가열기들 및 공간적 튜닝가능 가열기들을 가짐 ― ;
    상기 미리 결정된 프로세스를 수행한 결과로부터 편차 온도 프로파일을 결정 및 저장하는 단계;
    상기 기판 지지 조립체에 배치된 프로세서에 의해 튜닝 파라미터들을 결정 및 저장하는 단계; 및
    상기 편차 온도 프로파일에 대한 응답으로 상기 튜닝 파라미터들을 사용하여 상기 기판 지지 조립체에 대해 상기 초기 온도 프로파일을 타겟 온도 프로파일로 조정하는 단계 ― 상기 타겟 온도 프로파일로 조정하는 단계는 상기 공간적 튜닝가능 가열기들 중 하나 이상에 제공되는 전력을 증분시키는 단계를 포함함 ― 를 포함하고,
    상기 튜닝 파라미터들 및 상기 편차 온도 프로파일은 상기 기판 지지 조립체에 매립된 제어 보드에 배치된 메모리에 저장되고,
    상기 제어 보드는,
    무선 인터페이스를 갖는 상기 프로세서; 및
    PWM(pulse width modification) 가열기 제어기 ― 상기 프로세서는 동작 시에 상기 메모리로부터 애플리케이션 프로그램을 판독하고 그리고 상기 애플리케이션 프로그램에 액세스하기 위해 상기 메모리와 연결됨 ― 를 포함하고,
    가열 엘리먼트가 상기 PWM(pulse width modification) 가열기 제어기에 커플링되고, 상기 가열 엘리먼트는 상기 PWM(pulse width modification) 가열기 제어기에 의해 개별적으로 튜닝가능한 복수의 공간적 튜닝가능 가열기들을 포함하는,
    기판 지지 조립체에 대해 프로세스를 수행하는 방법.
  2. 제1 항에 있어서,
    상기 프로세서는 무선으로 제어가능한,
    기판 지지 조립체에 대해 프로세스를 수행하는 방법.
  3. 제1 항에 있어서,
    증분 전력은 상기 기판 지지 조립체로부터 외부에 배치된 외부 제어기에 배치된 전력 공급부로부터 공급되는,
    기판 지지 조립체에 대해 프로세스를 수행하는 방법.
  4. 제3 항에 있어서,
    상기 외부 제어기는 단일 전류 클램프를 포함하는,
    기판 지지 조립체에 대해 프로세스를 수행하는 방법.
  5. 제1 항에 있어서,
    상기 프로세서는 상기 메모리에 저장된 상기 튜닝 파라미터들 및 상기 편차 온도 프로파일을 판독하기 위해 상기 메모리와 통신가능한,
    기판 지지 조립체에 대해 프로세스를 수행하는 방법.
  6. 제1 항에 있어서,
    상기 공간적 튜닝가능 가열기들은 동시에 전력을 공급받고, 그리고 상기 공간적 튜닝가능 가열기들 중 적어도 2개는 상이한 퍼센트 전력 출력들을 갖는,
    기판 지지 조립체에 대해 프로세스를 수행하는 방법.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020217031379A 2019-03-13 2020-01-27 온도 튜닝가능 다중-구역 정전 척 KR102641440B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237027244A KR20230122184A (ko) 2019-03-13 2020-01-27 온도 튜닝가능 다중-구역 정전 척

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/352,349 US11367645B2 (en) 2019-03-13 2019-03-13 Temperature tunable multi-zone electrostatic chuck
US16/352,349 2019-03-13
PCT/US2020/015238 WO2020185314A1 (en) 2019-03-13 2020-01-27 Temperature tunable multi-zone electrostatic chuck

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237027244A Division KR20230122184A (ko) 2019-03-13 2020-01-27 온도 튜닝가능 다중-구역 정전 척

Publications (2)

Publication Number Publication Date
KR20210122911A KR20210122911A (ko) 2021-10-12
KR102641440B1 true KR102641440B1 (ko) 2024-02-27

Family

ID=72424703

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237027244A KR20230122184A (ko) 2019-03-13 2020-01-27 온도 튜닝가능 다중-구역 정전 척
KR1020217031379A KR102641440B1 (ko) 2019-03-13 2020-01-27 온도 튜닝가능 다중-구역 정전 척

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237027244A KR20230122184A (ko) 2019-03-13 2020-01-27 온도 튜닝가능 다중-구역 정전 척

Country Status (5)

Country Link
US (2) US11367645B2 (ko)
JP (2) JP7369201B2 (ko)
KR (2) KR20230122184A (ko)
CN (1) CN113383410A (ko)
WO (1) WO2020185314A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7429126B2 (ja) * 2020-01-31 2024-02-07 新光電気工業株式会社 基板固定装置
US11749542B2 (en) * 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US20220301914A1 (en) * 2021-03-22 2022-09-22 Tokyo Electron Limited Electrostatic chuck for a plasma processing apparatus
US20230158573A1 (en) * 2021-11-19 2023-05-25 Xerox Corporation Metal drop ejecting three-dimensional (3d) object printer having an improved heated build platform
CN114489177B (zh) * 2021-12-30 2023-05-09 重庆阿泰可科技股份有限公司 一种温度控制方法
CN118092547A (zh) * 2024-04-23 2024-05-28 北京智芯微电子科技有限公司 静电卡盘加热控制装置及静电卡盘

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011529273A (ja) 2008-07-23 2011-12-01 アプライド マテリアルズ インコーポレイテッド プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート
JP2014112672A (ja) * 2012-11-30 2014-06-19 Lam Research Corporation 温度制御素子アレイを備えるesc用の電力切替システム
WO2018034896A1 (en) * 2016-08-19 2018-02-22 Applied Materials, Inc. Substrate carrier with array of independently controllable heater elements

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US20080228308A1 (en) 2007-03-13 2008-09-18 Tokyo Electron Limited Critical dimension uniformity optimization
JP5705133B2 (ja) 2009-02-04 2015-04-22 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 静電チャックシステムおよび基板表面に亘って温度プロファイルを半径方向に調整するための方法
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5641709B2 (ja) * 2009-04-23 2014-12-17 キヤノン株式会社 デバイス製造装置およびデバイス製造方法
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
KR20180011119A (ko) * 2015-05-22 2018-01-31 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US9779974B2 (en) * 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
KR102435888B1 (ko) 2017-07-04 2022-08-25 삼성전자주식회사 정전 척, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011529273A (ja) 2008-07-23 2011-12-01 アプライド マテリアルズ インコーポレイテッド プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート
JP2014112672A (ja) * 2012-11-30 2014-06-19 Lam Research Corporation 温度制御素子アレイを備えるesc用の電力切替システム
WO2018034896A1 (en) * 2016-08-19 2018-02-22 Applied Materials, Inc. Substrate carrier with array of independently controllable heater elements

Also Published As

Publication number Publication date
JP7369201B2 (ja) 2023-10-25
TW202034447A (zh) 2020-09-16
KR20230122184A (ko) 2023-08-22
US20200294836A1 (en) 2020-09-17
US20220277982A1 (en) 2022-09-01
JP2022525107A (ja) 2022-05-11
US11367645B2 (en) 2022-06-21
WO2020185314A1 (en) 2020-09-17
CN113383410A (zh) 2021-09-10
KR20210122911A (ko) 2021-10-12
JP2023145472A (ja) 2023-10-11

Similar Documents

Publication Publication Date Title
KR102641440B1 (ko) 온도 튜닝가능 다중-구역 정전 척
US11622419B2 (en) Azimuthally tunable multi-zone electrostatic chuck
JP7444842B2 (ja) ピクセル型温度制御式基板支持アセンブリ
JP7483854B2 (ja) 半導体製造におけるマルチゾーンヒータのモデルベース制御
KR102159781B1 (ko) 플라즈마 프로세싱 챔버 내의 웨이퍼 캐리어에 대한 진보된 온도 제어
KR20170007130A (ko) 플라스마 처리 장치
TWI837299B (zh) 可調溫式多區域靜電卡盤
CN115885371A (zh) 用于对基板的温度控制的方法和系统
US11929240B2 (en) Substrate support, substrate processing apparatus, and substrate processing method
KR20070025305A (ko) 전력이 조절되는 스테이지 히터를 갖는 반도체 제조 장치

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant