JP2011529273A - プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート - Google Patents

プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート Download PDF

Info

Publication number
JP2011529273A
JP2011529273A JP2011520089A JP2011520089A JP2011529273A JP 2011529273 A JP2011529273 A JP 2011529273A JP 2011520089 A JP2011520089 A JP 2011520089A JP 2011520089 A JP2011520089 A JP 2011520089A JP 2011529273 A JP2011529273 A JP 2011529273A
Authority
JP
Japan
Prior art keywords
process kit
workpiece
electrode
collar
pack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011520089A
Other languages
English (en)
Other versions
JP2011529273A5 (ja
JP5898955B2 (ja
Inventor
ケネス エス コリンズ
ダグラス エー ジュニア ブッフバーガー
カーティク ラマスワミィ
シャヒド ラウフ
広二 塙
ジェニファー ワイ サン
アンドリュー ヌグエン
トステン ビー リル
メイファ シェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011529273A publication Critical patent/JP2011529273A/ja
Publication of JP2011529273A5 publication Critical patent/JP2011529273A5/ja
Application granted granted Critical
Publication of JP5898955B2 publication Critical patent/JP5898955B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

静電チャックでは、RFバイアス電力は、ワークピースに、及びワークピースを囲むプロセスキットカラーに、別々に印加される。システムコントローラによって制御される少なくとも1つの可変インピーダンス要素は、例えば、変化するプラズマ条件の下で最適な電場均一性のために、ワークピースの末端エッジでプラズマシース電場の動的調整を可能にする、ワークピースとプロセスキットカラー間のRFバイアス電力の配分を調整する。

Description

背景
半導体ウェハなどのワークピースのプラズマ処理において、均一性の要求は、ワークピースエッジの5mm又は3mm以内にまで広げられ、最近では、エッジの2mm又は1mm以内にさえ広げられている。ワークピースは典型的には静電チャック(ESC)を含むワークピースサポートに静電的にクランプ(固定)され、静電チャックは、RFバイアス電力、電圧又は電流を、ワークピースを介してプラズマに結合する、及び/又は、異なる電極からプラズマに結合されたRF電流の接地帰還(グラウンドリターン)経路を提供するなどの様々な機能を実行するために使用される。また、ESCは、通常ワークピースを加熱又は冷却するために、又はワークピースの温度を制御するためにも使用される。エッチングプロセスにおいて、ESCは通常、プラズマからESCを覆い保護するために、ワークピースよりもサイズが小さい。さもなければ、プラズマは、ESCを破損又は浸食する可能性があり、ESCからスパッタリング又はエッチングされた材料によってワークピース又はチャンバを汚染する可能性がある。ワークピースエッジは、通常平坦ではなく、その代わりに傾斜又は丸みを含んでおり、特にワークピースエッジにおいて、ワークピースサポートとワークピース間の事実上の接触を防いでいる。これは、ワークピース全域に亘ってエッジまで一様な処理を広げる際にいくつかの困難をもたらす。完全に導電性のワークピースを用いた場合でさえ、ワークピース全域に亘る電場がワークピースのエッジ領域近傍では残りの部分の上の電場と比較して変化するので、バイアスを掛けた又はバイアスを掛けていないワークピースの上に形成されるプラズマシース、又はプラズマシース電場は、有限の電極寸法のため均一とはならない。ワークピース又はウェハは完全な導体ではないので、エッジ近傍では更なる電場の変化がいくらか発生するかもしれない。その結果、プラズマイオンフラックス及びイオンエネルギー又はイオン角エネルギー分布は、ワークピースエッジでワークピースの残り部分と比べて異なるかもしれない。ワークピースエッジへのプラズマのラジカルフラックスさえも、ワークピースの残りの部分へのラジカルフラックスと異なるかもしれない。プラズマエッチングプロセスにおいて、このようなエッジ効果は、例えば、ウェハエッジ近傍における高アスペクト比の開口部のエッチングプロファイルが傾く又はねじれるように現れる可能性のある不均一な処理結果をウェハエッジで発生させる。クリティカルディメンジョン(CD)バイアス、エッチング速度、スパッタリング、堆積速度、エッチングの選択性、エッチングのマイクロローディング等が含まれる他のプラズマプロセスパラメータは、ウェハエッジで鋭く異なるかもしれない。
エッジ効果を減少させる従来の方法は、(1)導体、半導体、又は誘電体の周辺要素(例えば、ワークピースが円形の半導体ウェハである場合は、リング又はカラー)、又は(2)ワークピースエッジをワークピースの残りの部分と異なる温度に制御可能なエッジ温度帯、又は(3)異なるガス混合物又は異なるガス混合比をエッジ領域に導入可能にするエッジガス導入帯、又は(4)ワークピースエッジから副生成物を除去する速度を低下させるか、又はワークピースエッジ近傍のプラズマ種の再結合率を増加させるワークピースエッジ近傍のプラズマ遮蔽リング、又は(5)例えば、エッジ近傍のプラズマ種を増加させる又は減少させるために(堆積を引き起こすためにある種を減少させる、周辺要素のエッチングを引き起こすためにある種を減少させる、又は副生成物種を形成するために周辺要素をエッチングすることによってある種を増加させる等)、局所的プラズマ条件を変えるために選択された温度に温度制御するエッジ周辺要素が含まれてもよい。方法(2)、(3)、(4)、(5)は、不均一なシース電場の問題に直接的には対処しないので、エッジ処理を変えるために他の技術を用いる。方法(1)は直接的に問題に対処するが、異なるプラズマ条件が選択されるとき、ワークピースエッジの上でシース電場の制御ができないので、せいぜい広範囲のプラズマ条件に対して妥協することである。必要なのは、(このように、例えば、異なるプラズマ条件が選択されるとき、チャンバ条件が変化するとき、又は異なるワークピース構造が処理されるときに制御可能なCDの均一性(クリティカルディメンジョン)、形状(傾斜)、エッチング速度(又は選択性)などのワークピースの構造パラメータを制御するために)、イオンエネルギー、イオン角エネルギー分布、又はイオン密度又はフラックス(束)などのワークピースエッジのプラズマシース条件を制御するための方法である。
概要
プラズマリアクタチャンバで使用するRFバイアスワークピースサポートシステムが提供される。誘電性パックは、ワークピースを支持するワークピースサポート面を有する。ワークピース電極はパック内に埋設されている。ワークピース電極は、ワークピースサポート面の下に、一般にワークピースサポート面と平行にある。金属板は、誘電性パックの下にある。環状プロセスキットカラーは、ワークピースサポート面の周辺エッジを囲んでいる。プロセスキット電極要素は、プロセスキットカラーの下にある。RFプラズマバイアス電源は、ワークピース電極に、及びプロセスキット電極要素に結合している。ワークピースとプロセスキットカラーの間におけるRFバイアス電力分岐の制御は、RFプラズマ電源と、(a)ワークピース電極、(b)プロセスキット電極のうちの1つとの間に結合される可変RFインピーダンス要素によって行われる。可変RFインピーダンス要素は、可変リアクタンスを有するリアクタンス要素を含む。システムコントローラは、それによって可変RFインピーダンス要素のリアクタンス要素の可変リアクタンスを制御するために、可変RFインピーダンス要素の制御入力に接続される。
本発明の例示的実施形態を実現し詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、添付図面に示される実施形態を参照して行う。本発明を不明瞭にしないために、既知のプロセスに関しては本明細書内では議論しないことを理解すべきである。
第1実施形態に係るワークピースサポート台を含むプラズマリアクタを示す図である。 ウェハサポート台に関してある詳細を示す図1Aの部分拡大図である。 第2実施形態に係るワークピースサポート台を示す図である。 第3実施形態に係るワークピースサポート台を示す図である。 第4実施形態に係るワークピースサポート台を示す図である。 プロセスキットカラーを熱的に制御する構成が含まれる図1Bの実施形態の修正形態を示す図である。 図1〜図4の実施形態において、プロセスキットとワークピース間のRF電力を配分するために使用される可変インピーダンス要素のうちの1つとして使用可能な回路の単純化した概略図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態の要素及び構成を更なる説明なしに他の実施形態に有益に組み込んでもよいと理解される。しかしながら、添付図面は本発明の例示的な実施形態を示しているに過ぎず、従って、この範囲を制限されていると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
詳細な説明
本発明の実施形態は、RFバイアス電力がワークピースに及びワークピースを囲むプロセスキットカラーに別々に結合される静電チャックを含む。システムコントローラによって制御される少なくとも1つの可変インピーダンス要素は、ワークピースとプロセスキットカラー間のRFバイアス電力の配分を調整し、それによって、例えば、プラズマ条件の変動下での最適な電場均一性のために、ワークピースの末端エッジでプラズマシース電場の動的調整を可能にする。
図1A及び1Bを参照して、プラズマリアクタは、円筒の側壁102によって画定されるチャンバ100と、天井104と、周囲のエッジが側壁102と交わる床106とを有する。天井104は、プロセスガス供給源108からプロセスガスを受け入れるガス配分プレートであってもよい。側壁102及び床106は、金属で形成され、接地していてもよい。真空ポンプ132は、床106内のポートを通してチャンバ100を排気する。プラズマRFソース電力(プラズマRF電源電力)は、夫々のRFインピーダンス整合要素118、120を通って、夫々のRFソース電力ジェネレータ(RF電源電力発電機)114、116に接続される夫々の内側及び外側コイルアンテナ110、112から、チャンバ100内へ誘導結合してもよい。天井又はガス配分プレート104は、コイルアンテナ110、112から、天井104を通って、チャンバ100内へRF電力の誘導結合を可能にするために、非導電材料で形成されていてもよい。
その代わりに又はそれに加えて、VHFジェネレータ122及びインピーダンス整合器124からのRFプラズマソース電力は、オーバーヘッド電極126からチャンバ100内へ容量結合されてもよい。一実施形態では、オーバーヘッド電極126は、天井ガス配分プレート104から分離していてもよい。
一実施形態では、RF電力は、コイルアンテナ110、112から、ガス配分プレート104を通って、及びオーバーヘッド電極126を通って、チャンバ100内へ誘導結合してもよい。この実施形態では、オーバーヘッド電極126が外側リング導体128及び外側リング導体128から放射状に内側へ延びる複数の導電フィンガー130を有するファラデーシールドの形状であってもよいのと同時に、ガス配分プレートは誘電体又は半導体材料で形成されていてもよい。ファラデーシールド126は、以下で説明されるウェハサポート台に結合されたRF電力のための接地帰還を提供するために、グラウンド(地面)に接続されてもよい。ファラデーシールド126は、RFフィルタを通して選択された周波数に対して接地されてもよい。
コイルアンテナ110、112が無いときは、天井ガス配分プレート104は、完全に金属で形成され、インピーダンス整合器124を通してVHFジェネレータ122と結合したオーバーヘッド電極126として機能させてもよい。
ワークピースサポート台200は、半導体ウェハ、マスク、フォトマスク、ディスプレイパネル、ソーラーパネル要素等のワークピース204を保持するためにチャンバ100の内部に提供される。台200は、ワークピース204を静電気的にクランプ又はチャッキングする静電チャック(ESC)であってもよく、ワークピース204を支持するための上面202aを有する誘電体の上層又はパック202を含む。導電グリッド206は、パック202の中に、パック上面202aから短い距離(例えば、0.25mm〜2mm)の中に埋設されている。グリッド206は、以下で説明されるように直流チャッキング電圧を印加するESC電極として機能する。パック202は、RFプラズマバイアス電力を印加するかもしれない金属板又はカソード208上に支持される。パック202は、絶縁材料(例えば、1012Ohm・cmを超える抵抗率を有する)又は半導体材料(例えば、10Ohm・cmと1012Ohm・cmの間の抵抗率を有する)で形成してもよい。
様々な構成が、熱制御に対して提供される。熱伝導性ガス(例えば、ヘリウム)の供給によって、ワークピース204とパック202の間の熱伝導性を制御するように、チャネル203のアレイがパック上面202aに形成されている。ワークピース204がパック上面202aの上にクランプされているときはいつも、これらのチャネルは完全に閉じている。カソード208は、液体クーラントが循環するかもしれない内部流体流路210を含む。電気ヒーター211は、パック202の中に埋設されていてもよい。ヒーター211は、別々に制御される内側及び外側ヒーター211a及び211bの間に夫々分離していてもよい。
プロセスキットリングアセンブリ212は、パック202のエッジを囲み、パック202の環状ショルダー202b内にあるプロセスキットスペーサリング216上に重なるプロセスキットカラー214を含んでもよい。カラー214のショルダー214aは、小さな半径のギャップ218を残して、ウェハ204のエッジを囲んでいる。環状プロセスキット絶縁体220は、リングアセンブリ212、パック202、及びカソード208を囲んでいる。環状絶縁体220の底端から延びるディスク形のカソード絶縁体221は、カソード208の下にある。任意の接地ハウジング222は、環状絶縁体220を囲む外側環状部分222aと、カソード絶縁体221の下にあるディスク形状部分222bとを有する。任意の環状接地バッフル224は、接地ハウジング222の環状部分222aからチャンバ側壁102まで延びている。
RFバイアス電力ジェネレータ230、232は、RFバイアスインピーダンス整合回路234を通して、RFバイアス電力をカソード208に印加する。ジェネレータ230は、HF周波数(例えば、27MHz未満)又はVHF周波数(例えば、27MHzを上回る)を有していてもよく、一方、ジェネレータ232は、MF又はLF周波数(例えば、4MHz未満)を有していてもよい。インピーダンス整合回路234は、チャンバ床106を通って、カソード208から延びる同軸導体アセンブリ240によって、カソード208に接続してもよい。同軸導体アセンブリ240は、中心絶縁体242と、中心絶縁体242を囲む中空円筒カソード給電導体244と、カソード給電導体244を囲み、ディスク形のカソード絶縁体221と合わさった中空円筒カソード給電絶縁体246とを有する。円筒カソード給電絶縁体246は、カソード接地ハウジング222から延びる環状カソード接地帰還導体248に囲まれてもよい。
ユーティリティは、同軸給電アセンブリ240を通って延びる様々な導体及びコンジットによって台200内へ結合される。グリッド給電導体250は、中心絶縁体242を通ってグリッド206へ延びる。ESC電圧供給源252は、グリッド給電導体250を通って、直流電圧をグリッド206に供給する。RF絶縁フィルタ254は、グリッド給電導体のRF電圧に高インピーダンスを与え、RF電力が直流電源に達するのを防ぐ。ヒーター供給導体ペア256−1、256−2は、中心絶縁体242を通って、内側及び外側ヒーター211a、211bへと延びる。独立した交流電源258−1、258−2は、夫々のヒーター供給導体ペア256−1、256−2を通って、ヒーター211a、211bに結合されている。ガス供給コンジット260−1、260−2は、中心絶縁体242を通って、パック面202a内にあるチャネル203のアレイの入力および出力端(図示せず)へと延びている。熱伝導性ガス(例えば、ヘリウム)の供給源262は、ガス供給コンジット260−1、260−2に結合されている。クーラント供給コンジット264−1、264−2は、円筒カソード給電導体244を通って、カソード208内のクーラントチャネル210の入力及び出力ポート(図示せず)へと延びている。液体クーラントの供給源266は、クーラントチャネル210を通って、液体クーラントの再循環のために、クーラント供給コンジット264−1、264−2に結合される。クーラントは、外部熱交換器によって、冷却又は加熱されてもよい。
カソード208は、任意のカソードバイアス可変RFインピーダンス要素270を通って、カソードRF給電導体244を介して、バイアスRFインピーダンス整合回路234の出力に結合される。グリッド給電導体250は、ESC電圧供給源252に結合されるのに加えて、グリッドバイアス可変RFインピーダンス要素272を通って、バイアスRFインピーダンス整合回路234の出力に結合される。一実施形態では、カソードRF給電導体244及びグリッド給電導体250は、任意のカソード接地可変インピーダンス要素274を通って、RFグラウンドに結合されている。一実施形態では、カソード接地可変インピーダンス要素274は、VHF電力ジェネレータの周波数に非常に狭い通過帯域の中心を有し、RFバイアス電力ジェネレータ230、232の周波数を遮断するバンドパスフィルタである。この構成によって台200は、バイアス電力をグラウンドに短絡させることなしに、チャンバ100内へ容量結合されるVHF電力用RF接地帰還電極として機能することができる。
システムコントローラ280は、インピーダンス要素270、272、274の可変インピーダンスと、ガス供給源262の圧力と、クーラント供給源266の流体温度及び流速と、交流ヒーター電源258−1、258−2の出力電流と、ESC電源252の直流出力電圧とを制御する。
図1A及び1Bに図示された実施形態では、グリッド206に印加されたいかなるRFバイアス電力も、ウェハ204に容量結合し、プロセスキットカラー214にはそれと比較してほとんど又は全く容量結合しないように、全グリッド206は、ウェハ204の下にあり、そのいずれもプロセスキットカラー214の下には無い。カソードに印加されたRFバイアス電力の一部が、プロセスキットカラー214に容量結合するように、カソード208の環状周辺部208aは、プロセスキットカラー214の下へと延びる。この構造は、プロセスキットカラー214のRFバイアス電力(又は電圧又は電流)が、ウェハ204のRFバイアス電力(又は電流又は電圧)に対して、異なる量のRFバイアス電力をグリッド206及びカソード208に印加することによって調整してもよい。
カソード及びグリッド可変RFインピーダンス要素270、272は、カソード208とグリッド206の間のRFバイアス電力(又は電流又は電圧)の配分を決定する。この目的のために、2つの可変インピーダンス要素270、272の1つだけが必要である。(しかしながら、それらの両方の組み合わせは、調節範囲を広げる。)例えば、カソード可変インピーダンス要素270を整合回路234からカソード給電導体244まで直接電気的に接続することによって取り替えるなら、グリッド可変インピーダンス要素272のインピーダンスは、それ自体で、カソード208とグリッド206間のRF電力の配分を決定する。これは、ウェハ204とプロセスキットカラー214間のRFバイアス電力の配分を変える。この理由は、前記段落で説明したように、カソード208の外側環208aが、直接プロセスキットカラー214の下にあり、RFバイアス電力をプロセスキットカラー214へ容量結合し、一方、グリッド206は、ウェハ204の下にあり、プロセスキットカラー214の下には無く、そのRFバイアス電力のほとんどすべてをプロセスキットカラー214ではなくウェハ204へ容量結合することにある。グリッド可変インピーダンス要素272は、カソードに印加されたRF電力の割合を増加させる又は減少させるとき、プロセスキットカラーに結合したRF電力は、ウェハ204に結合した電力に対して夫々増加する又は減少する。RF電力のこの配分を操作する方法を、ここで説明する。
パック202の厚さT及びカソード208からプロセスキットカラー214までの距離Dは、ウェハ204の中心部の上においてプラズマに結合したバイアス電力密度に対して、プロセスキットカラーの上においてプラズマに結合したバイアス電力密度(又は電圧又は電流)を「過剰補償する」ために選択される。これは、プロセスキットカラー214とカソード208間の単位面積当たりのキャパシタンスを、ウェハ204とカソード208間の単位面積当たりのキャパシタンスよりも大きくなるように設計することによって行われる。もしもプロセスキットカラー214が、カソード208とカラー214間のRF結合領域よりも大きなプラズマ領域に結合しているか、又はもしもカラー214が、追加キャパシタンスによって(例えば、半径外側方向へ)接地されるならば、プロセスキットカラー214とカソード208間の単位面積当たりのキャパシタンスは、ウェハ204とカソード208間の単位面積当たりのキャパシタンスに対して、所望の過剰補償を実現するために、更に大きくする必要があるかもしれない。
カラー214の厚さは、この消耗要素のコストを低く保つために「小さく」選択可能であり、通常、約1〜4mmである。ESCパック202の熱抵抗は、そのコストと同様に、厚さに応じて増加する。そのため、パック202の総厚さは、窒化アルミニウムなどの高熱伝導性材料に対しては通常約25mm未満、又は、酸化アルミニウム又は酸化イットリウムなどの低熱伝導性材料に対しては約10mm未満である。例えば、もしも総ESCパック厚さが7mmに選択されるならば、石英、シリコン又は炭化珪素でできた厚さ2mmのプロセスキットカラー(214)が選択される。もしも半導体材料(SiC又はSiなど)が選択されるならば、カラー214はカソードベース208の領域さえも超えて、台電極の実効面積を広げるかもしれない。いくつかの場合、リアクタがSi含有材料をエッチングするのに使用されるとき、スペーサ216は石英などの材料であってもよいが、カラー214はSi又はSiCであってもよい。カソード208の直径を超えて電極実効面積を広げる他に、エッチングの副生成物は、エッチングされるウェハからのそのような副生成物により類似したものであるかもしれなく、その結果、ウェハエッジにおける局所的な副生成物の変化を最小化することによって、エッジに対するエッチング均一性を促進するかもしれない。カソード直径を超えて広がる電極実効面積は、高誘電率材料又は半導体よりも少ないかもしれないが、石英などのより低い誘電率をもつ他の材料をカラー材料に使用してもよい。酸化イットリウムなどの高誘電率材料は、例えば、スペーサ216に対して又はカラー214に対して使用してもよい。
RFグリッドバイアス可変インピーダンス要素272は、システムコントローラ280を通して選択可能なレシピ(方法)である。真空可変コンデンサがグリッド可変RFインピーダンス要素272の主要な要素として使用される場合は、最小静電容量値が低いことによって、カソード208からグリッド206までのRF電流の最小値はそれる。この場合、プロセスキットカラー214は、(上述のように)まだRFバイアス電力の配分に対して過剰補償されている。RFグリッドバイアス可変インピーダンス要素272は、カソード208とウェハ204間の台構造の比較的低いキャパシタンスをいくらかの電流が迂回できるように、より高いキャパシタンス値を選択してもよい。これは、プロセスキットカラー214を通してプラズマに結合したRF電力の過剰補償を減少させる。プロセスキットカラー214とカソード208間の単位面積当たりの実効キャパシタンスが、ウェハ204とカソード208間の単位面積当たりの実効キャパシタンスよりも小さくなる(可変インピーダンス要素の選択されたキャパシタンスと並列に配置され、同じ領域に負荷が掛かる)ように、グリッド可変インピーダンス要素272は、十分高いキャパシタンス値を選択してもよい。このような場合には、プロセスキット電力結合は補償不足となるだろう。
RFグリッドバイアス可変インピーダンス要素272の異なるキャパシタンス値の選択は、カソードベースの電圧及びRFバイアスマッチ234に提供されるカソード伝送路の駆動ポイント入力インピーダンスを実質的に変化させると同時に、バイアスRFインピーダンス整合回路234は、その内部要素(例えば、直列要素)のうちの1つのリアクタンスを変えることによって補償され、プラズマに結合した実質的に一定の電力を提供する。従って、グリッド可変インピーダンス要素272のキャパシタンスの変化は、プロセスキットカラー214に隣接するプラズマ領域対ウェハ204に隣接するプラズマ領域間の電力密度(又は電圧分布又は電流密度)の配分を変えるが、2つの領域上の正味の電力は実質的に一定のままである。
ウェハとプロセスキット領域間の相対的なバイアスRF電力密度(又は電圧密度又は電流密度)の調整又は配分(例えば、上述の過剰補償又は補償不足)は、特定の要求を満たすように、ウェハ構造又はフィーチャーCD、プロファイル角度(傾斜)、又はエッチング速度、又はエッチングの選択性を調整するために使用してもよい。また、それは、誘導結合又は容量結合したプラズマソース電力及び直流磁気遮蔽効果等から生じるプラズマパラメータ(イオンエネルギー、イオン角エネルギー分布、又はイオン密度又はフラックス等)の不均一性を補償するために使用してもよい。特に、ウェハ最端のエッジ領域では、ウェハ表面におけるRF電場ラインの傾斜は、ウェハエッジでエッチングプロファイルが先細りになる及びエッチングプロファイルがねじれることを示すような、エッチングプロファイル結果の低下を避けるために変更又は修正可能である。
関連するアプローチでは、プロセスキットカラー214の材料は、ウェハエッジ近くでプラズマの化学種含有量に影響するように選択される。例えば、カラーの材料は、ウェハエッジ近くでプロセス性能を改善するために、あるターゲット種を消費するようにプラズマと反応するかもしれない。又は、カラーの材料は、プロセス性能を改善するために、ウェハエッジ近くで所望の種をより多く生成するようにプラズマと反応するかもしれない。コントローラ280は、カラー214のプラズマへの参与率を制御するために、異なるプロセスレシピ又は同じプロセスレシピの異なるフェーズに必要とされるような異なる参与率を得るために、プロセスキットカラー214のRFバイアス電力を変えてもよい。
逆のアプローチでは、カラー214の参与率は、カラーの温度を調整することによって制御される。カラー214へのRFバイアス電力の配分は、ある特定のプロセス条件セットに対して、ワークピースエッジでプラズマバイアスシース電場をより均一にする(すなわち、ワークピースの主要部分の上のシース電場と同じにする)ために、コントローラ280によって選択される。これは、ウェハエッジでの不均一性を除去又は最小化し、これによって、ウェハエッジまで及びそれを超えてウェハ表面全域に亘って、イオン速度角度分布(又は他のプラズマ性能パラメータ)のより良好な均一性を得ることができる。コントローラ280は、例えば、バイアスRF電力レベルや、ソースRF電力レベルや、直流磁場レベルや、プロセスガス組成における変化などの異なるプロセス状態が起こるので、カラー214に結合されたRFバイアス電力を調整することによって、電場の均一性を保つのに使用してもよい。
また、プロセスキットカラー214に結合されたRFバイアス電力のコントローラ280による調整又は配分は、プロセスキットカラー214の洗浄又は表面調節(コンディショニング)のために、プロセスキットカラーとのプラズマ反応を増進させる又は減退させるのに使用してもよい。
図2は、円筒プロセスキット電極290が、カソード給電絶縁体246と、ディスク形カソード絶縁体221と、円筒プロセスキット絶縁体220とを通って軸方向上方へと延びる、図1A及び図1Bの実施形態の修正形態を示す。プロセスキット電極290は、プロセスキットカラー214にRF電気カップリングを提供する。図2の実施形態には任意に含んでもよいが、図2の実行形態では、任意のプロセスキットリング216は削除されている。図2の実施形態では、バイアスRF整合器234の出力は、グリッド給電導体250に接続されていない。その代わりに、バイアスRF整合器の出力は、グリッド可変RFインピーダンス要素272を通ってカソード給電導体244に結合されるのみならず、プロセスキット電極290の底端に結合されている。コントローラ280によって操作される任意のプロセスキット可変インピーダンス要素273は、バイアスRF整合器234の出力とプロセスキット電極290間に挿入されてもよい。図2の2つの可変インピーダンス要素272、273のうちの1つだけが必要とされる。可変インピーダンス要素272、273のどちらか1つが存在することで、コントローラ280が(電極290を通って)プロセスキットと(カソード208を通って)ウェハの間でRFバイアス電力の配分を制御することができる。この配分は、図1A及び1Bの実施形態を参照して上述と同様に動作する。
この仕様において上述したように、カラー214の選択された材料の参与率は、カラー214の温度を制御することによって独立して制御されると同時に、プロセスキットカラー214へのRF電力の配分は、ウェハエッジまで及びそれを超えたウェハ表面全域に亘って電場の均一性を最適化するのに使用してもよい。プロセスキットカラー214の独立温度制御は、プロセスキット電極290の中に1組の別々の内部クーラント流路292を提供することによって実現してもよい。プロセスキット電極290を通って軸方向に延びる1組のクーラントコンジット294は、内部クーラント流路292をプロセスキットクーラント供給源296に結合する。プロセスキットカラー214は、プロセスキットESC電圧供給源298からプロセスキット電極290に直流チャッキング電圧を印加することによって、静電的に適所にクランプされてもよい。任意のRF絶縁フィルタ299は、RF電流がESC電源298に達するのを防ぐ。プロセスキットカラー温度の精密制御は、システムコントローラ280の制御の下で、プロセスキットESC電源298の出力電圧を変えることによって実現してもよい。プロセスキットカラー214と冷却電極290の間のESCクランプ(締め付け)力を変えることによって、それらの間の熱伝導率は変わり、プロセスキットカラー214の温度の精密制御のために、コントローラ280によって正確に制御されるかもしれない。その代わりに、又はそれに追加して、プロセスキットカラー214の熱制御は、カラー214の下にあるプロセスキット電極290の上面290a内にガスチャネル310を提供することによって促進されるかもしれない。プロセスキット電極290を通って延びるガスコンジット312は、ガス供給源314から供給される熱伝導性ガス(例えば、ヘリウム)の供給を提供する。ガス供給源314のガス圧力は、システムコントローラ280によって制御される。チャネル310内の圧力は、電極からカラーへの熱伝導率に影響し、従って、カラー214の温度に影響する。温度センサ320は、プロセスキット絶縁体220の上面に提供され、プロセスキットカラー214に接触してもよい。温度センサ320の出力は、システムコントローラ280の入力に結合されてもよく、それによってシステムコントローラ280は、プロセスキットカラー214の急速で正確なクローズドループ温度制御を提供できる。そのようなクローズドループ温度制御は、例えば、ある特定のプロセスレシピの異なる段階間に必要な異なるターゲット温度に、カラー214を動かすことができる。
図3は、カソード又は金属板208が、RFバイアス電力によって駆動されるのではなく接地されている図1A及び図1Bの実施形態の別の修正形態を示す。その代わりに、RFバイアス電力は、プロセスキット212の下にあり、パック202の内部に埋設されているプロセスキットグリッド350に印加される。プロセスキットグリッド350は、ウェハグリッド206のレベルの下にあるパック202内のレベルに配置される。プロセスキットグリッド350−又は少なくともその外側環状部分−は、プロセスキットカラー214の下にあり、従って、RF電力をカラー214に容量結合可能である。ウェハグリッド206は、完全にウェハ204の下にあるので、ウェハグリッド206に印加した実質的にすべてのRF電力は、ウェハ204に容量結合する。2つのグリッド206、350は、互いに電気的に絶縁されており、従って、ウェハ204及びプロセスキットカラー214に結合するRF電力の異なるレベルを調整する方法を提供する。
プロセスキットグリッドRF給電導体352は、その先端がプロセスキットグリッド350に接触するように、中心絶縁体242を通って、及びパック202を通って延びている。プロセスキットグリッドRF給電導体352の底端は、バイアスRF整合回路234の出力に結合している。任意のプロセスキット可変インピーダンス要素271は、バイアスRF整合回路234の出力と給電導体352の間に挿入されてもよい。図3の2つの可変インピーダンス要素271、272のうちの1つだけが、2つのグリッド206、350間のRFバイアス電力を配分するのに必要であるが、それらの両方の組み合わせは、より広範囲の調整を提供する。
図3の実施形態は、プロセスキットカラー214の温度を制御するための同種の要素を更に含んでもよい。特に、熱伝導性ガスが、プロセスキットカラー214の下を循環してもよく、プロセスキットカラー214は、カラー214の温度制御のために、カラーとパックの界面での熱伝導率を変える又は制御するために可変な力によって、パック202に静電気的にクランプされてもよい。パック202のショルダー202bは、プロセスキットカラー214が位置する外側環状パック表面202cを画定する。チャネル311は、熱伝導性ガス(例えば、ヘリウム)の循環のために、外側環状パック表面202c内に形成される。カラー214がパックの外側環状表面202cにクランプされるとき、チャネル311は完全に閉じられる。カラー214は、出力が図3の実施形態でプロセスキットグリッド給電導体352に結合されるESC電圧供給源298によって、パックの外側環状表面202cに静電気的にクランプ又はチャッキングされる。任意の絶縁フィルタ299は、ESC電圧供給源298とグリッド給電導体352の間に挿入されてもよい。カラー214の温度の精密制御は、カラー214の静電クランプ力を変えることによって、カラーからパックへの熱伝導率を変えるESC電源298の出力電圧を変えるシステムコントローラ280によって実現してもよい。
図3の実施形態は、図4に示されるように、金属板208がカソード接地ハウジング222に接地するように、カソード絶縁体221を削除することによって修正されてもよい。
図3を参照してカラー214を熱的に制御する上述した構成は、図1Bの実施形態に組み込まれてもよく、図3を参照して上述した方法で操作してもよい。図1Bの実施形態のそのような増進が図5に示されている。図5の実施形態は,図3を参照して上述したある熱的制御構成が追加されていることを除いて図1Bのものと同じである。図5では、プロセスキットスペーサリング216は削除されているので(他の実行形態では、それを保有していてもよいが)、パック202は、図5に示されるように、プロセスキットカラー214の下へ延びることができる。パック202のショルダー202bは、カラー214の底面の下にあり及び接触している環状パック表面202cを画定する。ガスフローチャネル311は、環状パック表面202c内に形成され、熱伝導性ガス(例えば、ヘリウム)の独立した供給源314と結合している。外側加熱要素211bは、図5に示されるように、カラー214の直下に位置している。プロセスキットカラー214に隣接する温度センサ320は、システムコントローラ280と結合している。任意に、第2導電グリッド350が、カラー214の下のパック202に埋設され、環状パック表面202c上にカラー214を静電気的にクランプ又はチャッキングするために使用されてもよい。図5の実施形態では、第2導電グリッド350は、RF絶縁フィルタ299を通って、ESCチャッキング電圧供給源298に結合される。電源298の電圧は、カラー214のクランプ力を変えるために、その結果、カラーの温度を変えるために、システムコントローラ280によって制御される。
図1〜5の実施形態を参照して上述した可変インピーダンス要素270、271、272、273は、プロセスキットとワークピース間のRFバイアス電力を配分するために使用される。どんな適当な可変リアクタンス回路が、夫々の可変インピーダンス要素270、271、272、273を実現するのに使用されてもよいが、図6は可変インピーダンス要素270、271、272、273のうちの1つの実行形態の一例の簡素化された概略図である。図6の可変インピーダンス要素は、バイアスRFインピーダンス整合回路234の出力及び出力端子502と結合される入力端子500を含む。直列可変コンデンサ504が、入力及び出力端子500、502の間に接続される。任意に、入力並列コンデンサ506が、入力端子500とRFグラウンドの間に接続され、出力並列コンデンサ508が、出力端子502とRFグラウンドの間に接続される。コンデンサ504、506、508のすべて又はいずれかは、可変コンデンサであってもよい。代替実施形態では、コンデンサ504、506、508のいずれも、可変であるかもしれない適当なインダクタに取り替えてもよい。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. プラズマリアクタチャンバで使用されるRFバイアスワークピースサポートシステムであって、
    ワークピースを支持するためのワークピースサポート面を有するパックと、
    前記パックに埋設されたワークピース電極とを含み、前記ワークピース電極は、前記ワークピースサポート面の下にあり、及び概して前記ワークピースサポート面に平行にあり、
    前記システムは、前記パックの下にある金属板と、
    前記ワークピースサポート面の周辺エッジを囲む環状プロセスキットカラーと、
    前記プロセスキットカラーの下にあるプロセスキット電極要素と、
    前記ワークピース電極に及び前記プロセスキット電極要素に結合するRFプラズマバイアス電源と、
    可変リアクタンスを有するリアクタンス要素を含む可変RFインピーダンス要素とを更に含み、前記可変RFインピーダンス要素は、前記RFプラズマ電源と、(a)前記ワークピース電極、(b)プロセスキット電極のうちの1つとの間に結合され、
    前記システムは、前記可変RFインピーダンス要素の制御入力に接続されたシステムコントローラを更に含み、これによって、前記可変RFインピーダンス要素の前記リアクタンス要素の前記可変リアクタンスを制御するシステム。
  2. 前記金属板は、前記ワークピースサポート面の下にある中心部及び前記プロセスキットカラーの下にある外側部を含み、
    前記プロセスキット電極要素は前記金属板の前記外側部を含み、前記金属板はRF駆動カソードを含む請求項1記載のシステム。
  3. 前記パック及び前記金属板を囲む環状絶縁体層と、
    前記絶縁体層の中にあり、及び前記環状絶縁体層を通って軸方向に延びる環状プロセスキット導体とを更に含み、前記環状導体は、前記プロセスキットカラーの下にあり及び接触するキットサポート面を含み、
    前記プロセスキット電極要素は、前記環状導体を含む請求項1記載のシステム。
  4. 前記パック内に埋設され、前記ワークピース電極から分離し、概して前記ワークピース電極と平行な平面プロセスキット電極を更に含み、前記埋設されたプロセスキット電極は、前記プロセスキットカラーの下にある環状外側部を含み、
    前記プロセスキット電極要素は、前記埋設されたプロセスキット電極の前記環状外側部を含む請求項1記載のシステム。
  5. 前記金属板は接地されている請求項4記載のシステム。
  6. 前記可変RFインピーダンス要素は、前記バイアスRF電源と前記ワークピース電極の間に接続される請求項1記載のシステム。
  7. 前記バイアスRF電源と前記プロセスキット電極要素の間に接続された第2可変RFインピーダンス要素を更に含み、前記システムコントローラは、前記第2可変RFインピーダンス要素の制御入力に接続され、これによって、前記第2可変RFインピーダンス要素のインピーダンスを制御する請求項6記載のシステム。
  8. 入力端子及び接地端子を含むカソード接地可変インピーダンス要素を更に含み、前記入力端子は前記ワークピース電極に及び前記プロセスキット電極要素に結合され、前記接地端子はRF接地電位に接続される請求項1記載のシステム。
  9. 前記ワークピース電極に結合した第1静電チャック電圧供給源と、
    前記プロセスキット電極要素に結合した第2静電チャック電圧供給源とを更に含み、前記システムコントローラは、前記第1及び第2静電チャック電圧供給源に結合し、これによって、前記ワークピースサポート面の上でワークピースに及び前記プロセスキットに印加されたクランピング力を別々に制御する請求項1記載のシステム。
  10. 前記パックは、前記ワークピースサポート面の下にある中心パック部及び前記プロセスキットカラーの下にある外側パック部を含み、前記外側パック部は、前記カラーの下にあるカラーサポート面を有し、
    前記システムは、
    前記金属板内に流体クーラント流路と、
    前記カラーサポート面内にガスフローチャネルとを更に含む請求項2記載のシステム。
  11. 前記カラーの下にあるプロセスキットカラー静電チャック電極と、
    前記ワークピース電極に結合した第1静電チャック電圧供給源と、
    前記プロセスキットカラー静電チャック電極に結合した第2静電チャック電圧供給源とを更に含み、前記システムコントローラは、前記第1及び第2静電チャック電圧供給源の別々の出力電圧を制御するために接続されている請求項10記載のシステム。
  12. 前記環状プロセスキット導体内に流体クーラント流路と、
    前記環状導体の前記キットサポート面内にガスフローチャネルとを更に含む請求項3記載のシステム。
  13. 前記ワークピース電極に結合した第1静電チャック電圧供給源と、
    前記プロセスキット環状導体に結合した第2静電チャック電圧供給源とを更に含み、
    前記システムコントローラは、前記第1及び第2静電チャック電圧供給源の夫々の出力電圧を別々に制御するために接続されている請求項12記載のシステム。
  14. 前記ワークピース電極に結合した第1静電チャック電圧供給源と、
    前記埋設されたプロセスキット電極に結合した第2静電チャック電圧供給源とを更に含み、
    前記システムコントローラは、前記第1及び第2静電チャック電圧供給源の夫々の出力電圧を別々に制御するために接続されている請求項4記載のシステム。
  15. 前記パックは前記ワークピースサポート面の反対側に底面を含み、
    前記システムは、
    前記パックの対称軸に沿って前記パックの前記底面から軸方向に延び、前記金属板を通って、その底端で終了する細長い中心絶縁体と、
    前記金属板の底面から前記中心絶縁体と同軸に囲み及び延び、その底端で終了する環状カソード給電導体と、
    前記中心絶縁体を通って及び前記パックを通って延びるワークピース電極給電導体とを更に含み、前記ワークピース電極給電導体は、前記バイアスRF電源と前記ワークピース電極間の電流経路を提供するために、前記ワークピース電極に接続する上端及び前記中心絶縁体の底端を通って延びる底端とを有する請求項1記載のシステム。
JP2011520089A 2008-07-23 2009-07-13 プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート Active JP5898955B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/178,032 US20100018648A1 (en) 2008-07-23 2008-07-23 Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US12/178,032 2008-07-23
PCT/US2009/050403 WO2010011521A2 (en) 2008-07-23 2009-07-13 Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring

Publications (3)

Publication Number Publication Date
JP2011529273A true JP2011529273A (ja) 2011-12-01
JP2011529273A5 JP2011529273A5 (ja) 2012-08-30
JP5898955B2 JP5898955B2 (ja) 2016-04-06

Family

ID=41567570

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011520089A Active JP5898955B2 (ja) 2008-07-23 2009-07-13 プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート

Country Status (7)

Country Link
US (1) US20100018648A1 (ja)
JP (1) JP5898955B2 (ja)
KR (1) KR101481377B1 (ja)
CN (1) CN102106191B (ja)
SG (1) SG192540A1 (ja)
TW (1) TWI494028B (ja)
WO (1) WO2010011521A2 (ja)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013172013A (ja) * 2012-02-21 2013-09-02 Tokyo Electron Ltd 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2016046357A (ja) * 2014-08-22 2016-04-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2016225376A (ja) * 2015-05-28 2016-12-28 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP2017055100A (ja) * 2015-07-13 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation エッジに限局されたイオン軌道制御及びプラズマ動作を通じた、最端エッジにおけるシース及びウエハのプロフィール調整
JP2017509135A (ja) * 2014-03-05 2017-03-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ピクセル化された容量制御esc
JP2017530542A (ja) * 2014-07-23 2017-10-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
KR20180082626A (ko) * 2015-12-07 2018-07-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
JP2018526811A (ja) * 2015-06-17 2018-09-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 多電極基板支持アセンブリ及び位相制御システム
JP2019021803A (ja) * 2017-07-19 2019-02-07 東京エレクトロン株式会社 プラズマ処理装置
JP2019057547A (ja) * 2017-09-20 2019-04-11 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP2020518128A (ja) * 2017-04-21 2020-06-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善された電極アセンブリ
JP2020096176A (ja) * 2018-12-10 2020-06-18 東京エレクトロン株式会社 プラズマ処理装置及びエッチング方法
CN112103164A (zh) * 2019-06-18 2020-12-18 东京毅力科创株式会社 载置台和等离子体处理装置
JP2021048411A (ja) * 2020-12-04 2021-03-25 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP2021082788A (ja) * 2019-11-22 2021-05-27 東京エレクトロン株式会社 熱伝導性部材、プラズマ処理装置及び電圧制御方法
JP2021527299A (ja) * 2018-06-08 2021-10-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ化学気相堆積チャンバ内の寄生プラズマを抑制する装置
KR20210122911A (ko) * 2019-03-13 2021-10-12 어플라이드 머티어리얼스, 인코포레이티드 온도 튜닝가능 다중-구역 정전 척
JP2022510433A (ja) * 2018-12-06 2022-01-26 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
CN114144861A (zh) * 2019-07-30 2022-03-04 应用材料公司 处理配件的壳层和温度控制
JP7507842B2 (ja) 2022-07-29 2024-06-28 セメス株式会社 基板支持装置およびそれを含む基板処理装置
JP7541005B2 (ja) 2018-12-03 2024-08-27 アプライド マテリアルズ インコーポレイテッド チャックとアーク放電に関する性能が改良された静電チャック設計
KR102702944B1 (ko) * 2019-07-30 2024-09-04 어플라이드 머티어리얼스, 인코포레이티드 프로세스 키트의 시스 및 온도 제어

Families Citing this family (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140069584A1 (en) * 2008-07-23 2014-03-13 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US7977123B2 (en) * 2009-05-22 2011-07-12 Lam Research Corporation Arrangements and methods for improving bevel etch repeatability among substrates
US9299539B2 (en) * 2009-08-21 2016-03-29 Lam Research Corporation Method and apparatus for measuring wafer bias potential
JP6195519B2 (ja) * 2010-08-06 2017-09-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャック及びその使用方法
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
JP5642531B2 (ja) * 2010-12-22 2014-12-17 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR101196422B1 (ko) * 2011-02-22 2012-11-01 엘아이지에이디피 주식회사 플라즈마 처리장치
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9070536B2 (en) * 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6120527B2 (ja) * 2012-11-05 2017-04-26 東京エレクトロン株式会社 プラズマ処理方法
CN103887136B (zh) * 2012-12-20 2016-03-09 上海华虹宏力半导体制造有限公司 一种适用于金属干法刻蚀半导体设备的刻蚀腔室
JP6080571B2 (ja) * 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9865431B2 (en) 2013-03-15 2018-01-09 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
US10032608B2 (en) 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
CN104217914B (zh) * 2013-05-31 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置
US9460894B2 (en) * 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
CN104347338A (zh) * 2013-08-01 2015-02-11 中微半导体设备(上海)有限公司 等离子体处理装置的冷却液处理系统及方法
US9754765B2 (en) * 2013-09-30 2017-09-05 Applied Materials, Inc. Electrodes for etch
CN103887138B (zh) * 2014-03-31 2017-01-18 上海华力微电子有限公司 一种刻蚀设备的边缘环
CN107004507B (zh) * 2014-09-04 2021-08-03 康姆艾德公司 用于射频功率应用的可变功率电容器
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
KR102295988B1 (ko) 2014-10-17 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10879041B2 (en) 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털
US11837479B2 (en) * 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
KR101813497B1 (ko) 2016-06-24 2018-01-02 (주)제이하라 플라즈마 발생장치
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107768299A (zh) * 2016-08-16 2018-03-06 北京北方华创微电子装备有限公司 承载装置及半导体加工设备
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10665433B2 (en) * 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6698502B2 (ja) * 2016-11-21 2020-05-27 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10395896B2 (en) * 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10763150B2 (en) * 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10732615B2 (en) * 2017-10-30 2020-08-04 Varian Semiconductor Equipment Associates, Inc. System and method for minimizing backside workpiece damage
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US12057295B2 (en) 2018-08-17 2024-08-06 Lam Research Corporation RF power compensation to reduce deposition or etch rate changes in response to substrate bulk resistivity variations
WO2020050932A1 (en) 2018-09-04 2020-03-12 Applied Materials, Inc. Formulations for advanced polishing pads
US11049755B2 (en) * 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11640917B2 (en) * 2018-12-07 2023-05-02 Applied Materials, Inc. Ground electrode formed in an electrostatic chuck for a plasma processing chamber
US11562887B2 (en) * 2018-12-10 2023-01-24 Tokyo Electron Limited Plasma processing apparatus and etching method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11646183B2 (en) * 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
JP7442365B2 (ja) * 2020-03-27 2024-03-04 東京エレクトロン株式会社 基板処理装置、基板処理システム、基板処理装置の制御方法および基板処理システムの制御方法
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11699571B2 (en) * 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR102592414B1 (ko) * 2020-11-23 2023-10-20 세메스 주식회사 전극 제어 유닛을 구비하는 기판 처리 장치
CN114566415A (zh) * 2020-11-27 2022-05-31 中微半导体设备(上海)股份有限公司 等离子体处理装置
CN114664622B (zh) * 2020-12-23 2024-07-05 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及调节方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20240055228A1 (en) * 2022-08-10 2024-02-15 Mks Instruments, Inc. Plasma Process Control of Multi-Electrode Systems Equipped with Ion Energy Sensors

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08167643A (ja) * 1994-12-14 1996-06-25 Hitachi Ltd 試料保持装置及びその塵埃除去方法
JPH11144894A (ja) * 1997-08-29 1999-05-28 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2003258074A (ja) * 2002-03-07 2003-09-12 Hitachi High-Technologies Corp 高周波電源及び半導体製造装置
JP2004022822A (ja) * 2002-06-17 2004-01-22 Shibaura Mechatronics Corp プラズマ処理方法および装置
JP2004342984A (ja) * 2003-05-19 2004-12-02 Tokyo Electron Ltd 基板保持機構およびプラズマ処理装置
JP2007266342A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 載置台及び真空処理装置
JP2007273915A (ja) * 2006-03-31 2007-10-18 Tokyo Electron Ltd プラズマ処理装置と方法
JP2008053496A (ja) * 2006-08-25 2008-03-06 Sumitomo Precision Prod Co Ltd エッチング装置
JP2008117982A (ja) * 2006-11-06 2008-05-22 Tokyo Electron Ltd 載置装置、プラズマ処理装置及びプラズマ処理方法
JP2008153315A (ja) * 2006-12-15 2008-07-03 Tokyo Electron Ltd 基板載置台の製造方法
JP2009187673A (ja) * 2008-02-01 2009-08-20 Nec Electronics Corp プラズマ処理装置及び方法
JP2009231692A (ja) * 2008-03-25 2009-10-08 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3763031A (en) * 1970-10-01 1973-10-02 Cogar Corp Rf sputtering apparatus
US5668524A (en) * 1994-02-09 1997-09-16 Kyocera Corporation Ceramic resistor and electrostatic chuck having an aluminum nitride crystal phase
US5486975A (en) * 1994-01-31 1996-01-23 Applied Materials, Inc. Corrosion resistant electrostatic chuck
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6563076B1 (en) * 1999-09-30 2003-05-13 Lam Research Corporation Voltage control sensor and control interface for radio frequency power regulation in a plasma reactor
US6521292B1 (en) * 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP4819244B2 (ja) * 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
WO2004082007A1 (ja) * 2003-03-12 2004-09-23 Tokyo Electron Limited 半導体処理用の基板保持構造及びプラズマ処理装置
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4504061B2 (ja) * 2004-03-29 2010-07-14 東京エレクトロン株式会社 プラズマ処理方法
DE602005022221D1 (de) * 2004-11-12 2010-08-19 Oerlikon Trading Ag Impedanzanpassung eines kapazitiv gekoppelten hf-plasmareaktors mit eignung für grossflächige substrate
US20070283891A1 (en) * 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08167643A (ja) * 1994-12-14 1996-06-25 Hitachi Ltd 試料保持装置及びその塵埃除去方法
JPH11144894A (ja) * 1997-08-29 1999-05-28 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2003258074A (ja) * 2002-03-07 2003-09-12 Hitachi High-Technologies Corp 高周波電源及び半導体製造装置
JP2004022822A (ja) * 2002-06-17 2004-01-22 Shibaura Mechatronics Corp プラズマ処理方法および装置
JP2004342984A (ja) * 2003-05-19 2004-12-02 Tokyo Electron Ltd 基板保持機構およびプラズマ処理装置
JP2007266342A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 載置台及び真空処理装置
JP2007273915A (ja) * 2006-03-31 2007-10-18 Tokyo Electron Ltd プラズマ処理装置と方法
JP2008053496A (ja) * 2006-08-25 2008-03-06 Sumitomo Precision Prod Co Ltd エッチング装置
JP2008117982A (ja) * 2006-11-06 2008-05-22 Tokyo Electron Ltd 載置装置、プラズマ処理装置及びプラズマ処理方法
JP2008153315A (ja) * 2006-12-15 2008-07-03 Tokyo Electron Ltd 基板載置台の製造方法
JP2009187673A (ja) * 2008-02-01 2009-08-20 Nec Electronics Corp プラズマ処理装置及び方法
JP2009231692A (ja) * 2008-03-25 2009-10-08 Tokyo Electron Ltd プラズマ処理装置

Cited By (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9410753B2 (en) 2012-02-21 2016-08-09 Tokyo Electron Limited Substrate temperature adjusting method and a method of changing the temperature control range of a heater in a substrate processing apparatus
JP2013172013A (ja) * 2012-02-21 2013-09-02 Tokyo Electron Ltd 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101854373B1 (ko) 2014-03-05 2018-05-03 어플라이드 머티어리얼스, 인코포레이티드 픽셀화된 캐패시턴스 제어형 esc
KR102316425B1 (ko) 2014-03-05 2021-10-21 어플라이드 머티어리얼스, 인코포레이티드 픽셀화된 캐패시턴스 제어형 esc
JP2017509135A (ja) * 2014-03-05 2017-03-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ピクセル化された容量制御esc
KR20170060165A (ko) * 2014-03-05 2017-05-31 어플라이드 머티어리얼스, 인코포레이티드 픽셀화된 캐패시턴스 제어형 esc
JP2017143269A (ja) * 2014-03-05 2017-08-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ピクセル化された容量制御esc
KR101782981B1 (ko) 2014-03-05 2017-09-28 어플라이드 머티어리얼스, 인코포레이티드 픽셀화된 캐패시턴스 제어형 esc
JP2017530542A (ja) * 2014-07-23 2017-10-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
JP2016046357A (ja) * 2014-08-22 2016-04-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2016225376A (ja) * 2015-05-28 2016-12-28 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
TWI701705B (zh) * 2015-05-28 2020-08-11 日商日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法
JP2018526811A (ja) * 2015-06-17 2018-09-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 多電極基板支持アセンブリ及び位相制御システム
TWI810752B (zh) * 2015-07-13 2023-08-01 美商蘭姆研究公司 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整
JP2017055100A (ja) * 2015-07-13 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation エッジに限局されたイオン軌道制御及びプラズマ動作を通じた、最端エッジにおけるシース及びウエハのプロフィール調整
TWI753436B (zh) * 2015-07-13 2022-01-21 美商蘭姆研究公司 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整
JP7279222B2 (ja) 2015-12-07 2023-05-22 アプライド マテリアルズ インコーポレイテッド 静電チャックを使用した基板の固定と開放のための方法及び装置
JP2022084630A (ja) * 2015-12-07 2022-06-07 アプライド マテリアルズ インコーポレイテッド 静電チャックを使用した基板の固定と開放のための方法及び装置
CN108369921A (zh) * 2015-12-07 2018-08-03 应用材料公司 使用静电夹盘夹持及解夹持基板的方法及装置
KR20180082626A (ko) * 2015-12-07 2018-07-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
CN108369921B (zh) * 2015-12-07 2023-12-12 应用材料公司 使用静电夹盘夹持及解夹持基板的方法及装置
JP2019504481A (ja) * 2015-12-07 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャックを使用した基板の固定と開放のための方法及び装置
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
JP2020518128A (ja) * 2017-04-21 2020-06-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善された電極アセンブリ
US11908664B2 (en) 2017-07-19 2024-02-20 Tokyo Electron Limited Plasma processing apparatus
CN112885696A (zh) * 2017-07-19 2021-06-01 东京毅力科创株式会社 等离子体处理装置
US11501958B2 (en) 2017-07-19 2022-11-15 Tokyo Electron Limited Plasma processing apparatus
CN112885696B (zh) * 2017-07-19 2024-07-09 东京毅力科创株式会社 等离子体处理装置
JP2019021803A (ja) * 2017-07-19 2019-02-07 東京エレクトロン株式会社 プラズマ処理装置
JP2019057547A (ja) * 2017-09-20 2019-04-11 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP2021527299A (ja) * 2018-06-08 2021-10-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ化学気相堆積チャンバ内の寄生プラズマを抑制する装置
JP7333346B2 (ja) 2018-06-08 2023-08-24 アプライド マテリアルズ インコーポレイテッド プラズマ化学気相堆積チャンバ内の寄生プラズマを抑制する装置
JP7541005B2 (ja) 2018-12-03 2024-08-27 アプライド マテリアルズ インコーポレイテッド チャックとアーク放電に関する性能が改良された静電チャック設計
JP2022510433A (ja) * 2018-12-06 2022-01-26 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
JP7460626B2 (ja) 2018-12-06 2024-04-02 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
JP7349329B2 (ja) 2018-12-10 2023-09-22 東京エレクトロン株式会社 プラズマ処理装置及びエッチング方法
JP2020096176A (ja) * 2018-12-10 2020-06-18 東京エレクトロン株式会社 プラズマ処理装置及びエッチング方法
KR20210122911A (ko) * 2019-03-13 2021-10-12 어플라이드 머티어리얼스, 인코포레이티드 온도 튜닝가능 다중-구역 정전 척
KR102641440B1 (ko) 2019-03-13 2024-02-27 어플라이드 머티어리얼스, 인코포레이티드 온도 튜닝가능 다중-구역 정전 척
US11908666B2 (en) 2019-06-18 2024-02-20 Tokyo Electron Limited Stage and plasma processing apparatus
CN112103164A (zh) * 2019-06-18 2020-12-18 东京毅力科创株式会社 载置台和等离子体处理装置
JP2020205379A (ja) * 2019-06-18 2020-12-24 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP7271330B2 (ja) 2019-06-18 2023-05-11 東京エレクトロン株式会社 載置台及びプラズマ処理装置
CN114144861A (zh) * 2019-07-30 2022-03-04 应用材料公司 处理配件的壳层和温度控制
JP7381713B2 (ja) 2019-07-30 2023-11-15 アプライド マテリアルズ インコーポレイテッド プロセスキットのシース及び温度制御
CN114144861B (zh) * 2019-07-30 2024-06-04 应用材料公司 处理配件的壳层和温度控制
JP2022542090A (ja) * 2019-07-30 2022-09-29 アプライド マテリアルズ インコーポレイテッド プロセスキットのシース及び温度制御
KR102702944B1 (ko) * 2019-07-30 2024-09-04 어플라이드 머티어리얼스, 인코포레이티드 프로세스 키트의 시스 및 온도 제어
JP2021082788A (ja) * 2019-11-22 2021-05-27 東京エレクトロン株式会社 熱伝導性部材、プラズマ処理装置及び電圧制御方法
JP7370228B2 (ja) 2019-11-22 2023-10-27 東京エレクトロン株式会社 プラズマ処理装置
JP7071008B2 (ja) 2020-12-04 2022-05-18 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP2021048411A (ja) * 2020-12-04 2021-03-25 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP7507842B2 (ja) 2022-07-29 2024-06-28 セメス株式会社 基板支持装置およびそれを含む基板処理装置

Also Published As

Publication number Publication date
SG192540A1 (en) 2013-08-30
KR101481377B1 (ko) 2015-01-12
CN102106191B (zh) 2014-01-22
TWI494028B (zh) 2015-07-21
JP5898955B2 (ja) 2016-04-06
TW201031280A (en) 2010-08-16
CN102106191A (zh) 2011-06-22
WO2010011521A3 (en) 2010-04-22
US20100018648A1 (en) 2010-01-28
KR20110041541A (ko) 2011-04-21
WO2010011521A2 (en) 2010-01-28

Similar Documents

Publication Publication Date Title
JP5898955B2 (ja) プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート
US8734664B2 (en) Method of differential counter electrode tuning in an RF plasma reactor
US20140034239A1 (en) Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
US20140069584A1 (en) Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
US20210296144A1 (en) Substrate support pedestal having plasma confinement features
TWI771541B (zh) 具有低頻射頻功率分佈調節功能的等離子反應器
US10177050B2 (en) Methods and apparatus for controlling substrate uniformity
TWI713078B (zh) 用於控制電容耦合電漿製程設備之邊緣環的射頻振幅的基板支撐件及處理腔室
US10163610B2 (en) Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
TWI574345B (zh) 靜電夾盤
KR101958018B1 (ko) 진보된 rf 및 온도 균일성을 갖는 정전 척
US6887340B2 (en) Etch rate uniformity
CN100423196C (zh) 螺旋谐振器型等离子体处理设备
KR101083624B1 (ko) 균일성 제어를 위한 분할형 무선 주파수 전극 장치 및 방법
US20040040664A1 (en) Cathode pedestal for a plasma etch reactor
US20040027781A1 (en) Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
CN112216590A (zh) 用于改善在晶片极端边缘的特征轮廓倾斜的边缘环组件
TW201344780A (zh) 在有冷卻製程環與加熱工作件支撐表面的情況下所進行的電漿反應器靜電夾持
JP2005520337A (ja) プラズマ処理のための改良された基板ホルダ
CN108630511B (zh) 下电极装置及半导体加工设备
US20220068615A1 (en) Stage and plasma processing apparatus
WO2023034436A1 (en) Replaceable electrostatic chuck outer ring for edge arcing mitigation

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120713

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120713

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130725

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130806

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131105

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131112

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131206

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131213

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140327

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140520

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140820

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140827

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140918

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141016

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141023

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150519

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150817

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150916

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151005

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160209

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160307

R150 Certificate of patent or registration of utility model

Ref document number: 5898955

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250