JP7279222B2 - 静電チャックを使用した基板の固定と開放のための方法及び装置 - Google Patents

静電チャックを使用した基板の固定と開放のための方法及び装置 Download PDF

Info

Publication number
JP7279222B2
JP7279222B2 JP2022027437A JP2022027437A JP7279222B2 JP 7279222 B2 JP7279222 B2 JP 7279222B2 JP 2022027437 A JP2022027437 A JP 2022027437A JP 2022027437 A JP2022027437 A JP 2022027437A JP 7279222 B2 JP7279222 B2 JP 7279222B2
Authority
JP
Japan
Prior art keywords
circuit
substrate
drive
esc
capacitor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022027437A
Other languages
English (en)
Other versions
JP2022084630A (ja
Inventor
チョン ジョン イェー,
広二 塙
フアン カルロス ロチャ-アルヴァレス,
プラミット マンナ,
マイケル ウェンヤン ツィアン,
アレン コウ,
ウェンジャオ ワン,
ヨンジン リン,
パラシャント クマール クルシュレシャータ,
シンハイ ハン,
ボク ホン キム,
クァンドゥック ダグラス リー,
カルティク ティムマヴァフーラ ナラシンハ,
ツーチン トアン,
ディーネッシュ パディ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022084630A publication Critical patent/JP2022084630A/ja
Application granted granted Critical
Publication of JP7279222B2 publication Critical patent/JP7279222B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

[0001] 本明細書に記載の実施形態は概して、半導体デバイスを形成するための方法及び装置に関する。より具体的には、本書に記載の実施形態は概して、半導体デバイスの形成で使用される静電チャックに関する。
関連技術の説明
[0002] ナノメートル以下の特徴(features)を高い信頼度で製造することは、半導体デバイスの次世代の大規模集積(VLSI)及び超大規模集積(ULSI)における重要な技術課題の1つとなっている。しかしながら、回路技術の限界が更新されるたびに、VLSI及びULSIインターコネクト技術には、処理能力の向上が求められてきた。基板上に信頼度の高いゲート構造を形成することは、VLSI及びULSIの成功にとって、また、個々の基板やダイの回路密度や品質を高めるための継続的な取り組みにとっても重要なことである。
[0003] ジョンセン・ラーベック(JR)効果の力を動作原理とする静電チャック(ESC)は一般的に350°C未満で実施されるアプリケーションで使用される。製造コストを引き下げるため、集積チップ(IC)の製造は、処理されるすべてのシリコン基板に、より高いスループットとより優れたデバイス歩留まりとパフォーマンスを要求する。次世代デバイス用に研究され、現在開発中の一部の製造技術は、350°Cを大きく超える温度で処理することが必要で、これは200μmを超える基板の湾曲を引き起こすことがあり望ましくない。
[0004] このような過度の湾曲を防止するためには、多くの場合、膜堆積とデバイスの処理中に基板を平らにして、湾曲を取り除くための固定力の増強が求められる。しかしながら、基板支持アセンブリ上にあり、基板の固定に利用される従来のESCは、約300°Cで電荷漏洩を経験し、これはデバイスの歩留りと性能を低下させる。
[0005] 基板をチャッキングせずに膜堆積処理を実施すると、処理中の基板の湾曲により背面に膜堆積が現れ、汚染によるリソグラフィツールのダウンタイムが大幅に増大する。湾曲が更に問題となるのは、基板上に複数の膜層が形成されるとき、すなわち、メモリデバイスのゲートスタックに使用される、階段状膜スタックが形成されるときである。ゲートスタックの理想的な湾曲仕様は、高温下で多数の異なる材料層が堆積された後の中立的な湾曲又は中立的な応力である。一般的に、膜スタックで利用される層の数が増すと、基板の湾曲も悪化する。そのため、現在の基板支持技術は、階段状膜スタックの製造時には、基板上に形成される層の数を制限している。
[0006] したがって、300°Cを超える処理温度での使用に適した基板支持体の改良が必要となる。
[0007] 処理チャンバ内の高温での動作に適した静電チャックのための方法及び装置が開示される。
[0008] 一実施例では、基板支持アセンブリが提供される。基板支持アセンブリは、上部表面、円筒形の側壁、及び下部表面を有するほぼ円板状のセラミック体を含む。上部表面は、真空処理チャンバ内で基板を処理するため、その上に基板を支持するように構成されている。円筒形の側壁は、セラミック体の外側の直径を画定する。下部表面は、上部表面に向かい合って配設されている。電極はセラミック体の中に配設されている。回路は電極に電気的に接続されている。回路は、DCチャッキング回路、第1のRFドライブ回路、及び第2のRFドライブ回路を含む。DCチャッキング回路、第1のRFドライブ回路及び第2のRFドライブ回路は電極に電気的に連結されている。
[0009] 別の実施例では、処理チャンバが提供される。処理チャンバは、壁と内部空間を取り囲む蓋を有する本体を含む。基板支持アセンブリは内部空間に配設される。基板支持体は、上部表面、円筒形の側壁、及び下部表面を有するほぼ円板状のセラミック体を含む。上部表面は、真空処理チャンバ内で基板を処理するため、その上に基板を支持するように構成されている。円筒形の側壁は、セラミック体の外側の直径を画定する。下部表面は、上部表面に向かい合って配設されている。電極はセラミック体の中に配設されている。回路は電極に電気的に接続されている。回路は、DCチャッキング回路、第1のRFドライブ回路、及び第2のRFドライブ回路を含む。DCチャッキング回路、第1のRFドライブ回路及び第2のRFドライブ回路は電極に電気的に連結されている。
[0010] 更に別の実施例では、ESCを構築するための方法が提供される。本方法は、ESC材料内部に、ESCの基板支持体面と同程度の大きさの金属電極を、基板支持体面とほぼ平行に挿入すること、並びに、電極の位置で電荷を提供できる回路に金属電極を接続することを含み、電極からの電荷は材料を通ってESCの基板支持体面に移動し、回路は金属電極にチャッキング電圧と電荷を提供する閉ループ電気回路になっている。
[0011] 本実施形態の上述の特徴を詳細に理解しうるように、上記で簡単に要約されている本実施形態のより具体的な説明の一部は、添付の図面に示される実施形態を参照することによって行われる。しかし、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面は典型的な実施形態しか例示しておらず、従って、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
本開示の実施形態が実践されうる、基板支持アセンブリを有する例示的な真空処理チャンバの断面図である。 マルチ周波数RFドライブシステムの一実施形態を示す。 RFドライブシステム回路の第1の実施形態を示す。 RFドライブシステム回路の第2の実施形態を示す。 ESC上に配設された基板を介して形成されるチャッキング回路を示す。 ESCの絶縁変圧器を有するチャッキング回路を示す。 AlN誘電体材料の電気特性を示すグラフである。 中心周波数60Hzで35dBの減衰を実現する演算増幅器を使用するアナログノッチフィルタの一実施例である。 図2のESCによる例示的な堆積レシピ中の、フィルタ処理信号とフィルタ未処理信号との比較を示すグラフである。 基板との密な接触を形成するのに適したAlN表面パターンの実装例を示す。 基板との密な接触を形成するのに適したAlN表面パターンの実装例を示す。 基板との密な接触を形成するのに適したAlN表面パターンの実装例を示す。 チャッキング力が、ESCの形状寸法及び材料特性に関連する幾つかの重要なパラメータによって、どのように影響されうるかを示すグラフである。 ESCを構築するための方法を示す。 ESCによって基板をチャッキングするための方法を示す。
[0025] 理解を容易にするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれうると考えられている。
[0026] しかしながら、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面は本開示の典型的な実施形態しか例示しておらず、従って、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
[0027] 本書で開示の方法及び装置は、約100°Cから約700°Cまでの高い温度範囲での動作に適したジョンセン・ラーベック静電チャック(ESC)に関連している。例えば、ESCは550°Cを超える温度に保持されうる。ESCは、半導体処理中に基板が動かないようにするため、基板をESCの上面に対して保持し、安定した温度とESCに対する電気的接触を維持する。プラズマ化学気相堆積(PECVD)のアプリケーションでは、それぞれの基板の処理操作の品質は、基板処理全体にわたる温度と電圧の安定性に依存する。
[0028] PECVD処理チャンバに搬入される基板は、ESCに固定される前にはある程度の圧縮湾曲や引張湾曲を示すことが多い。処理チャンバの高い動作温度が湾曲の一因である。処理中の高温への曝露によって表面応力が誘発されるため、処理後には、基板の湾曲は搬入時の湾曲よりも悪化することがありうる。加えて、引張応力を有する膜を備える基板は、処理中に基板支持体から離れるように湾曲したエッジを有することがある。処理中に生じる引張応力を有する基板をチャッキングしないと、多くの場合、基板の背面に薄膜の堆積を許す結果となり望ましくない。反対に、チャックされた基板は多くの場合、処理後の背面の薄膜堆積が少なくなる傾向にある。
[0029] 開示された方法及び装置は、基板上に作用するようにESCが生成する十分な固定力を利用し、その結果、基板は実質的に平坦になり、処理前に基板が平坦であるか、いくぶん湾曲を示しているかにかかわらず、ESCの基板支持体面に対してほぼ平行に保持される。このように、基板のESCチャッキングは湾曲を減らすだけでなく、基板温度プロファイル、薄膜の均一性、及び膜特性の整合性を改善する。
[0030] 以下で開示される装置は、従来のESCと比較してかなり高い動作温度範囲で、すなわち、100°Cから700°Cの範囲(動作温度範囲)で動作するように構成されたESCに関連している。セラミック材料選択及び高周波(RF)フィルタ設計などのESCに関連するほとんどの態様は、チャンバのヒータ側からのRFドライブの有無にかかわらず、或いは、同じ底部電極に直流(DC)チャッキング電圧が印加されている間に、RFメッシュ(底部電極)上にどれだけのRF電圧・電流が流れるかにかかわらず、実質的に同じに留まる。チャッキング用の底部電極に存在するRF電圧及び電流のレベルの場合、RF電圧又はRF電流のいずれか、或いはその両方は、RFドライブが底部及びヒータ側ではなく上部電極(すなわち、基板支持アセンブリ)に由来するときには、これらとは異なるか、高くなりうることが認められている。したがって、同じ絶縁レベルに達するよう、保護回路は適宜変化しうる。すなわち、特定の動作周波数に対する入力インピーダンスは、上部のドライブされたRF電極のそれに対応する漏洩RF電圧又は電流の同じレベルを実現するため、高くなることがある。
[0031] 一実施形態では、基板と同等サイズの金属電極の構造物はバルクペデスタル材料内部に配設され、ペデスタル上面に対して保持される基板にほぼ平行になるように構築される。このような電極は、電荷源を提供するDC電源に接続されるように構成され、保存された電荷はこの電極から、有限の導電率を有する窒化アルミニウム(AlN)などのバルク材料を通って、ペデスタルの上面まで移動する。表面電荷は次に、等量だが反対の極性の電荷を基板の底面に誘導し、反対の電荷間のクーロン引力は、ペデスタル表面に対して基板を効果的に保持する。基板底部に誘導された表面電荷は、通常は共通の接地接続を介した、基板の表面とDC電源の他端との間の接触接続に由来する。このような接続は、基板とチャンバの接地壁との間にプラズマを打ちこみ維持することによって形成可能で、これは、電流ループを閉じるための導電性媒体として振る舞う。チャックからの基板の開放は、AlNペデスタルに含まれる電荷と共に、電極に供給される電圧を取り除き、その一方で、基板上の電荷が空になるまでプラズマの流れを保持することによって実現される。引力をより急速に消失させるため、任意選択により、ペデスタル内の電極に反対の極性の電荷を印加してもよい。
[0032] 別の実施形態では、チャックの動作温度とESCのワークピース表面全体の温度一様性を制御するため、金属ヒータの素子はESCのバルク誘電体材料に埋め込まれる。このようなヒータ素子は、特定のパターンを形成して、ESCのワークピース表面全体にわたって望ましい温度分布や温度プロファイルを導くため、1個又は複数個の抵抗ヒータフィラメントであってよい。ワークピース表面の温度プロファイルは、ある期間にわたってほぼ一定に維持されてもよく、或いは、ヒータ素子の各々への電力を動的に調整することによって、種々の望ましい温度プロファイルに変化させてもよい。
[0033] 更に別の実施形態では、電気回路のネットワークは、ペデスタル誘電体材料を介してチャッキング電極とヒータ素子に結合しうるAC及び無効(reactive)RF電圧・電流から、ESC用電源及びヒータ素子用電源を保護するように実装される。このような結合は、個別のAC及びRF負荷を処理するように設計されていないDC電源、AC電源、及びRF電源には有害になりうる。
[0034] 更に別の実施形態では、ペデスタルバルク材料、特定の接触パターンを有する又は有さない表面接触域、接触面の仕上げの粗さ、島状接触部分(contact island)の高さなどが、望ましい固定力の決定に使用される。ESC構成プロセスは、動作温度、ESC電圧・電流要件、及び基板をチャックして開放するまでの時間に応じて、1つのアプリケーション要件、又は複数のアプリケーション要件に最適なESC設計を生み出しうる。例えば、1つの構成プロセスは、最大の接触域を利用する最小のチャッキング電圧を目標としうる。別の例は、ESC電源のDCチャッキング電流を最小限に抑えることで、これにより、大きな抵抗の誘電体材料を使用するとき、及び/又は、接地に対してヒータ素子をフローティングすることでヒータ素子を通って接地に流れる電流を低減するときには、電流を減らすことができる。ヒータ素子が60Hzの交流(AC)ラインによって電源供給される場合には、ヒータ素子とACラインに絶縁変圧器が使用されてもよい。ESC電流を低減する更に別の実施例は、ペデスタルの表面上に絶縁材料の層を生成することで、これは、プラズマを介してチャンバ接地に漏れるDC電流を遮断又は大幅に低減しうる。このような絶縁層は、ペデスタルに永続的に作り込むことも、その場でチャンバに生成することもできる。小さな電源の少ないESC電圧・電流は、システム統合及びコスト低減を促進するのに有利である。
[0035] 更に別の実施形態では、温度、ESC電圧、電流などを含むESC動作パラメータの最適な組が、所望の基板上膜特性及びスループット要件に対して、ガスの化学的性質、流量、圧力、RF電力などの所望の処理パラメータと共に連携しうる方法が、生成され実行されうる。このような方法には、各パラメータ及びパラメータ間の最適なタイミング制御が含まれる。タイミング制御の一例は、ESC電圧をオンにする前にRF電力によってヘリウムプラズマを打ちこみ維持することで、これにより基板はヘリウムプラズマの衝突によって高い温度まで加熱され、結果として、チャッキングが行われる前に表面応力を低減する。チャッキング方法の更に別の例は、最適な基板の結果を得るためのレシピステップに従って、異なるESC電圧を実行することで、例えば、湾曲している基板を迅速にチャッキングして平坦にするため、チャッキングステップの開始時にはスパイク電圧が利用されてもよく、一方、固定力を維持するその後の処理ステップでは低いESC電圧が使用され、低いチャッキング電圧から基板が解放されやすくする。
[0036] 以下で詳細に説明されるように、装置、特にESCは、半導体製造プロセスのリソグラフィアプリケーションのハードマスクに使用される誘電体膜などの高度な誘電体膜の生成に特に適している。ESCは、PECVDプロセス中の大きな基板湾曲の制御に使用可能で、均一性、再現性、オーバーレイ誤差、チャンバインピーダンスを改善し、背面の堆積を最小限に抑えることなどができる。
[0037] 図1は、その上で基板118が処理される基板支持アセンブリ110を有する真空処理チャンバ100の一実施形態の概略側面図である。基板支持アセンブリ110は、基板の湾曲を低減するためのチャッキング、温度プロファイル、薄膜均一性、基板上の他の膜特性の改善をもたらすように適切に構成されたESCである。処理チャンバ100は、プラズマ化学気相堆積(PECVD)処理チャンバ、化学気相堆積(CVD)処理チャンバ、ホットワイヤ化学気相堆積(HWCVD)処理チャンバ、或いは、真空下の高温での基板処理に適した他の真空処理チャンバであってよい。
[0038] 処理チャンバ100は、上部158、チャンバ側壁140、及び接地126に結合されるチャンバ底部156を有するチャンバ本体105を含む。上部158、チャンバ側壁140、及びチャンバ底部156は、内部処理領域150を画定する。チャンバ側壁140は、処理チャンバ100の内部処理領域150の内外への基板118の移送を促進する基板移送ポート152を含みうる。基板移送ポート152は、移送チャンバ及び/又は基板処理システムの他のチャンバに連結されうる。
[0039] チャンバ本体105及び処理チャンバ100の関連構成要素の寸法は限定的なものではなく、一般的に、処理される基板118のサイズに比例して大きくなる。基板サイズの例としては、限定するものではないが、直径200mm、直径250mm、直径300mm、及び直径450mmのものが含まれる。
[0040] ポンピング装置130は、処理チャンバ100の内部処理領域150内の圧力を評価及び制御するため、処理チャンバ100の底部156に連結されている。ポンピング装置130は、従来の粗引きポンプ、ルーツ送風機、ターボポンプ、或いは、内部処理領域150の圧力を制御するように適合されている他の同様の装置であってもよい。一実施例では、処理チャンバ100の内部処理領域150の圧力レベルは約760Torr未満に維持されうる。
[0041] ガスパネル144は、ガスライン167を介して、処理ガス及びその他のガスをチャンバ本体105の内部処理領域150へ供給する。ガスパネル144は、必要であれば、一又は複数の処理ガス源、不活性ガス、非反応性ガス、及び反応性ガスを提供するように構成されうる。ガスパネル144によって供給されうる処理ガスの例には、限定するものではないが、シリコン(Si)含有ガス、炭素前駆体及び窒素含有ガスが含まれる。Si含有ガスの例には、Siリッチな又はSi不足の窒化物(Si)、及び酸化ケイ素(SiO)が含まれる。炭素前駆体の例には、プロピレン、アセチレン、エチレン、メタン、ヘキサン、イソプレン、及びブタジエンなどが含まれる。Si含有ガスの例には、シラン(SiH)、オルトケイ酸テトラエチル(TEOS)が含まれる。窒素及び/又は酸素含有ガスの例には、ピリジン、脂肪族アミン、アミン、ニトリル、亜酸化窒素、酸素、TEOS、及びアンモニアなどが含まれる。
[0042] シャワーヘッド116は、処理チャンバ100の上部158の下の内部処理領域150内に配設され、基板支持アセンブリ110の上方に離間されている。このように、シャワーヘッド116、処理のため基板支持アセンブリ110上に配置されるときには、基板118の上面104の直上に位置する。ガスパネル144から提供される一又は複数の処理ガスは、シャワーヘッド116を介して内部処理領域150内へ反応性核種を供給しうる。
[0043] シャワーヘッド116はまた、内部処理領域150内のガスに電力を結合するための上部電極としても機能しうる。上部電極については、図2を参照して以下で更に説明される。電力は、他の電極、コイル、又は他のRFアプリケータを利用して、内部処理領域150内のガスに結合されうる。
[0044] 図1に示した実施形態では、電源143は、整合回路141を介してシャワーヘッド116に結合されうる。電源からシャワーヘッド116に印加されるRFエネルギーは、処理チャンバ100内にプラズマを保持するため、内部処理領域150内に配置された処理ガスに誘導結合される。電源143に代えて、或いは電源143に追加して、内部処理領域150内にプラズマを保持するため、内部処理領域150内の処理ガスに容量結合されてもよい。電源143の動作は、処理チャンバ100内の他の構成要素の動作も制御するコントローラ(図示せず)によって、制御されうる。
[0045] 上述のように、基板支持アセンブリ110は、処理チャンバ100の底部156の上方に配設され、堆積中に基板118を保持する。基板支持アセンブリ110は、その上に配設された基板118のチャッキングのための静電チャック(図2の参照番号220によって特定される)を含む。静電チャック(ESC)220は、処理中に、基板118を基板支持アセンブリ110に固定する。ESC220は、バルク誘電体、例えば、数ある適切な材料の中でも、窒化アルミニウム(AlN)などのセラミック材料から形成されうる。ESC220は、基板118を基板支持アセンブリ110に保持するため、静電引力を利用する。
[0046] ESC220は、動作中に、電源114と底部電極106との間に配設される絶縁変圧器112を介して、電源114に接続される底部電極106を含む。絶縁変圧器112は、電源114の一部であってもよく、或いは図1に破線で示したように電源114から分離されていてもよい。電源114は、約0ボルト~約5000ボルトのRFチャッキング電圧を電極106に印加しうる。底部電極106は、RF電圧によって交互にドライブされうる。基板電圧は、処理中には、AC周波数では約0Vのピークツーピーク電圧から約5000Vのピークツーピーク電圧までの範囲内で、或いは複数のAC及びRF周波数の混合では、約0Hzから約2000MHzの正弦波電圧波形の範囲内で制御される。約0Hzは時間と共に変化しない一定電圧のDC波形を表し、約0Vのピークツーピーク電圧は、基板の電位が接地電位で保持されているか、接地されている状態を表す。
[0047] 基板上で上述のRF電圧制御を実施するための方法は、適切な周波数のバイアスRF電力、或いは複数の周波数の混合をRFジェネレータを介して基板ペデスタル、すなわち、ESC220に印加すること、並びに、RFドライブネットワークの内外の一又は複数の位置でのRF電圧、電流、及び電力にそれぞれ基づいた、幾つかの測定及びフィードバック制御の構成要素を含むネットワークを整合することによって、実現されうる。基板上での瞬間的なRF電圧、電流、及び電力の変動を反映するため、これらの測定値の幾つかは、物理的に又は電気的に基板に近い。基板に電気的に近い測定値は、基板に物理的には近くないが、それぞれの電圧、電流及び電力が基板に実質的に近いか、位置情報に基づいて適切の修正を適用した後の測定値を表すことがあり、基板の位置で行われた測定値に近づきうる。RF電圧・電流の測定値の場合、これらはそれぞれの大きさと位相の構成要素を有するベクトルで、位相間の差分は、電圧と電流の測定が共に行われる実電力損失を決定する。フィードバック又はフィードフォワード制御機構は、所望の薄膜堆積速度、均一性、応力、及び選択した他の膜特性を実現するため、一又は複数の電圧、電流、又は実電力損失の測定値のいずれかに対して実装されうる。ESC220の動作原理、並びに、設計及び開発の幾つかの実施例による実装での基本的な技術詳細を教示することが本開示の意図である。
[0048] ESC220はマルチ周波数RFドライブシステムを有しうる。マルチ周波数RFドライブシステムは、図2を参照して説明される。図2は、マルチ周波数RFドライブシステム200の一実施形態を示している。ESC220は、約100°Cから約700°Cまでの温度範囲で動作するように構成されている。ESC220は、その上に基板118を有し、シャワーヘッド116の下方に配設された状態で示されている。
[0049] ヒータ204が任意の或いは複数の周波数のRF電力で能動的にドライブされるESC220の実装が以下で説明されるが、このようなRFドライブのシナリオは、チャンバのヒータ側からのRF電力ドライブがあってもなくても、高温下でも同じであるESC220のチャッキング原理を変えることはない。
[0050] 上部電極240は、シャワーヘッド116に連結されていてよい。上部電極は、連結された第1の上部回路260を有しうる。任意選択により、上部電極は連結された第2の上部回路250を有しうる。第1の上部回路260、及び任意選択により第2の上部回路250は、プラズマ230を維持するため、上部電極240をドライブするRFエネルギーを提供する。プラズマ230は、ESC220上に配設された基板上に複数の膜層を堆積するように構成された適切なガスから形成される。
[0051] 図2に示した第1の実施形態では、第1の上部回路260と第2の上部回路250は、実質的に同様であってよい。第1の上部回路260は、上部電極240に連結されたRFジェネレータ268、第1のインダクタ262及び第1のコンデンサ263を有しうる。接地265は、第2のコンデンサ264を介して、RFジェネレータ268に連結されうる。一実施形態では、RFジェネレータ268は、上部電極240に、約27MHzでRF電圧・電流を供給する。第2の上部回路250は、上部電極240に連結されたRFジェネレータ258、第3のインダクタ252及び第3のコンデンサ253を有しうる。第2の接地255は、第4のコンデンサ254を介して、RFジェネレータ258に連結されうる。RFジェネレータ258は、上部電極240に、約400KHzでRF電圧・電流を供給する。
[0052] 第2の実施形態では、第2の上部回路250と第1の上部回路260は類似していない。第2の上部回路250は、第4のコンデンサ254及び第3のインダクタ252を介して連結された第2の接地255を有する。しかしながら、第2の上部回路250は、RFジェネレータ258又は第3のコンデンサ253を含まない。
[0053] ESC220は誘電体202を有しうる。ヒータ204は誘電体202内に配設されうる。埋めこまれたヒータ204はヒータ電力回路に連結されうる。底部電極106は誘電体202に埋め込まれ、RFドライブシステム回路300(図3及び図4を参照して詳述される)に装着するため、RFポート299に連結されうる。誘電体202は、セラミック材料又は他の適切な絶縁材料から製造されうる。例えば、誘電体202は、窒化アルミニウム(AlN)から形成されうる。ESC220は、高い絶縁破壊電圧を有する一方で、約300°Cを超える温度での動作中の電圧漏洩を大幅に低減する。ESC220は、約300°Cを超える温度での動作時に、ESC220からの電荷漏洩を抑制する誘電体膜の被覆及び/又はシーズニング(seasoning)を含みうる。適切な誘電体膜は、約3~12の誘電率を有する。電荷トラップを制御するため、また、温度上昇時に固定力/チャッキング力を修正するように、誘電率は調整されうる。一実施形態では、誘電体202は、特定のESC220動作温度範囲内で、約1E7Ωcmから約1E9Ωcmの範囲内の体積抵抗率と、約8~約10の相対誘電率を有しうる。高電圧ESC220は、数あるアプリケーションの中でも、酸化物膜とポリシリコン膜からなる多重互層、及び、酸化物膜と窒化物膜からなる多重互層を有するゲートスタック膜を形成するアプリケーションに適している。
[0054] 以下で説明される装置は、一般的には、記憶装置の誘電体材料のゲートスタックに使用される階段状膜と称される、複数の層膜堆積を生成するために使用されうる。前層の上に応力が蓄積された各層が堆積することにより、シリコン基板は処理中又は処理終了時に湾曲し、求められている湾曲仕様を満たすことができなくなることが認められている。ゲートスタックの理想的な湾曲仕様は、高温下で多数の互層が堆積された後の中立的な湾曲又は中立的な応力である。多数の層は一般的に基板の湾曲を悪化させるため、例えば、60層のゲートスタック処理では中立的な応力を実現することは困難である。このように、本発明で開示されているように、ESC220を採用する堆積装置は、処理終了時に基板の湾曲や応力が制御された状態で、処理できる層の数を増やすのに役立つ。
[0055] ESC220の以下の実装は、任意の周波数のRF電力によって能動的にドライブされるヒータを有するが、高温での種々のRFドライブシナリオは、処理チャンバのヒータ側からの能動的なRF電力ドライブを含むことが想定されている。
[0056] 図3を参照すると、図3はRFドライブシステム回路300の第1の実施形態を示している。ESC220をドライブするRFドライブシステム回路300は、約27MHzのソースRF周波数と約2MHzのバイアスRF周波数、及びドライビング電極の反対側に位置するそれぞれのRFインピーダンス負荷を使用する。
[0057] RFドライブシステム回路300は、ESC220にRF電力を供給するデュアル周波数RFドライブネットワークの例示的な実装を示しており、RF出力ポート302は、ESC220の底部電極106に給電するRFポート299に接続されている。RFドライブシステム回路300は、複数のサブ回路を含む。RFドライブシステム回路300は、DCフィルタ回路310、RFインピーダンス整合ネットワーク330、及びRF負荷回路320を含みうる。RFドライブシステム回路300は追加的に、DC源312、第1のRFドライブ362、及び一又は複数の電圧・電流センサ(VIセンサ)304、360を有する。サブ回路310、320、330は、(a)DCフィルタ回路310を介してESC220に供給されるチャッキング電圧、(b)もしあるならば、RF負荷回路320を介して、ソースRFドライブ周波数F3について特定に負荷インピーダンスを提供するLC直列共振回路からなるRF負荷、(c)バイアスRFドライブ周波数F2を提供するRFインピーダンス整合ネットワーク330、及び(d)バイアスRFドライブ周波数F1に対するRFインピーダンス整合ネットワーク410(図4)を含む、種々の機能を提供する並列な方法で接続される。
[0058] RFドライブシステム回路300は追加的に、共通の電圧となりうる複数の接地392、394、395、396、397を有する。接地392、394、397はそれぞれ、関連するコンデンサ318、384、322を有しうる。
[0059] DCフィルタ回路310は、DC源301をRFドライブシステム回路300の残りの部分から、電気的に分離しうる。DCフィルタ回路310は、複数のインダクタ316を有しうる。一実施形態では、DCフィルタ回路310は、直列又は並列に配置される7個以上のインダクタ316を有しうる。DCフィルタ回路310はまた、一又は複数の接地392、並びにそれぞれのコンデンサ318を有する。DCフィルタ回路310は、任意の関連するRFドライブ周波数での入ってくることができるRF電圧・電流に対して、DCチャッキング回路を保護するように使用されうる。
[0060] RFインピーダンス整合ネットワーク330は、インダクタユニット340を有しうる。インダクタユニットは、一又は複数のインダクタを有し、接地393及びRFドライブ362に容量接続されうる。例えば、インダクタユニット340は、互いに直列又は並列に配置された2つのインダクタを有しうる。RFインピーダンス整合ネットワーク330は追加的に、一又は複数のキャパシタ又は可変キャパシタを有しうる。RFドライブ362は、2MHz又は他の適切な周波数で動作しうる。RFドライブ362はパルス駆動又は波動駆動であってよい。
[0061] 図4は、RFドライブシステム回路400の任意選択による第2の実施形態を示している。図4は、図3に示した複数のサブ回路310、320、330を含む。図4は追加的に、バイアスRFドライブ周波数F1を提供するインピーダンス適合回路410を含む。インピーダンス適合回路410は、接地に取り付けられたRFドライブ493を含む。RFドライブ493は、RFドライブ周波数F1を提供するため、約13.56MHzで動作しうる。VIセンサ460は、RFドライブ493とハイパスフィルタ420との間に配設されうる。インピーダンス整合回路410は追加的に、一又は複数のコンデンサ441、452及び複数の接地494を有しうる。RFドライブ周波数F1は、インピーダンス整合回路410をそのままにするパススルーインダクタ432を有しうる。
[0062] ハイパスフィルタ420は、複数のコンデンサとインダクタを含みうる。ハイパスフィルタ420は追加的に、各インダクタに対して接地を有しうる。ハイパスフィルタは、カットオフ周波数よりも高い周波数を有するRFドライブ周波数F1を通し、カットオフ周波数よりも低いこれらの周波数を減衰させる。
[0063] 図3及び図4に示したRFネットワークを一緒に説明する。図3及び図4に示した電気回路は、ペデスタル誘電体材料を介してチャッキング電極及びヒータ素子に結合しうるAC及び無効RF電圧・電流に対して、ESC及びヒータ素子の電源を保護するように実装されうる。このような結合は、個別のAC及びRF負荷を処理するように設計されていないDC電源又はAC電源には有害になりうる。
[0064] 複数のRF電圧・電流のセンサ(VIセンサ304、460、360)は、F1及びF2のRFドライブ入力側のネットワークに、並びに、リアルタイムでフィードバック及びフィードフォワード制御を行う制御ユニットに対して、F1及びF2の2つのドライブ周波数で、電圧、電流及び位相差情報を提供することができるネットワークのRF出力側のネットワークに埋めこまれる。このようなフィードバック制御の1つの実施例は堆積処理中に電圧を一定に保つことで、もう1つの実施例は電流を一定に保つことで、更に別の実施例は、図3及び図4に可変コンデンサで示したように、整合ネットワークのビルトインチューニング素子を動的に調整することによって、実電力損失を一定に保つことである。実RF電力損失は、各周波数でのV(t)*I(t)積のサイクルあたりの平均によって表され、また、これはV(t)とI(t)の測定場所での結合RF電力(coupled RF power)でもある。ここで、V(t)とI(t)はそれぞれ、RF電圧とRF電流の時間領域信号である。結合電力を測定する別の等価な方法はV*I*cos(φ)である。ここで、VとIはV(t)及びI(t)のRMS(二乗平均平方根)値で、φはV(t)とI(t)との位相差である。
[0065] 上述のフィードバック及びフィードフォワード制御の方法は、整合ネットワーク内の可変コンデンサ又は可変インダクタなどのビルトイン集中回路素子に限定されるものではなく、動作周波数F1及びF2をそれぞれ変更するための他の回路を含む。周波数の変更はRFジェネレータ内で電子的に実施されるが、キャパシタンスとインダクタンスの値の変更は、これらのチューニング素子に取り付けられたステップモーターによって機械的に実施されることが注目される。メカニカルチューニングと比べると、周波数チューニングは必要なインピーダンスに到達するのが時間的に有利、すなわち、より迅速である。図4では、可変コンデンサが、F1適合ネットワーク用の周波数チューニングRFジェネレータ及びF2適合ネットワーク用の別の周波数チューニングRFジェネレータと共に動作する、メカニカルチューニング素子として機能する。必要な電圧、電流、及びプラズマに結合されたRF電力でESC220をドライブするため、0個、1個、2個、或いはそれ以上の個数のメカニカルチューニング素子が周波数チューニングと共に使用できることが認められている。
[0066] 別の実施形態では、RF負荷は、ソースRFドライブ周波数F3でゼロ又は最小限のRFインピーダンスを生成する、LC直列共振回路として設計されている。これは、容量結合されたプラズマリアクタの一部に適合する、シャワーヘッド又はRF高温ガスボックス、及び基板ペデスタルの反対側のフェースプレートスタック(すなわち、上部電極)をドライブする周波数である。このような負荷インピーダンスチューニング回路の機能は、周波数がF3であるRF電流の大部分又はすべてがペデスタルを通過し、プラズマ反応チャンバの壁を通る電流が最小限になる、或いは全くなくなるよう、RF電流に対して好ましい経路を提供することである。本書に記載の負荷インピーダンスは、膜堆積速度、均一性、並びに、限定するものではないが、屈折率や膜抗力レベルなどの制御に有利なように、所定の周波数のRF電流が、ゼロでもすべてでもなく、特定の量だけ通過するように動的に制御可能である。ソースRFドライブ周波数F3は、バイアスRFドライブ周波数F1及びF2のいずれとも同じではないことが認められている。これは、F1又はF2のいずれかが、F1及びF2でのバイアスRF電力よりもF3に大幅に近い場合には、基板ペデスタルの下流の負荷インピーダンスに電力が供給されないように、負荷で停止されうるからである。
[0067] 図4に示したように、インピーダンス適合回路410の周波数F1及びF2の任意のバイアスRF電力をESC220と一緒に使用せずに、RF構成とすることは可能である。この場合、RF電力だけが、第1の上部回路260で1つの周波数F3で、或いは、第2の上部回路250で複数のRF周波数F3及びF4で、シャワーヘッド又はガスボックス、及びプレートスタック(すなわち、上部電極)に由来する。商用アプリケーションとしてFCCに認められている商用周波数帯域をすべてカバーするため、F3は、約13.56MHz、約27MHz、約40MHz、約60MHzなどの高RF周波数又はVHF周波数になりうること、並びに、F4は、F3よりも大幅に低い周波数、例えば、約2MHz又は約400kHzであってもよいことが認められている。このような周波数構成は、応力及び屈折率を含む膜品質パラメータを制御するため、高周波数F3は主としてプラズマの高密度部分のドライブを担い、より低い周波数のF4は主として膜成長中に基板に衝突するイオンエネルギーの制御を担うという点で、薄膜成長プロセスを独立に制御する際に有利であることが認められている。
[0068] 電流のリリースに対して更に意図されているのは、一又は複数のRFドライブ電力が連続波(CW)信号ではなく、その振幅が特定の周波数とデューティサイクル(例えば、約10kHzで50%のデューティサイクル、或いは、堆積速度と膜特性の点で膜成長プロセスに有利な他の任意のパルス周波数とデューティサイクル)の矩形波によって変調されうるパルス信号となるような方法で、上述のソース及びバイアスRFドライブネットワークをESC220と共に使用することである。1つの例示的な実装では、バイアス電力(F2)はパルス波ドライブで、一方、ソース電力(F3)は連続波ドライブである。ソース電力がパルス波でバイアス電力が連続波である反対の構成もまた、ESC220に関する本発明の原理が適用されている。1つの具体的な実施例では、ソースRF電力及びバイアスRF電力は共に、パルスモードで実行される。両者の周波数は同じだが、位相の関係は同相ではなく、ある角度(90/180)だけずれている(すなわち、ランダムか非同期である)こともあれば、一致(同期)していることもありうる。これ以降、このような構成を同期パルシングと呼ぶ。同期パルシングであれ、非同期パルシングであれ、同時に別の周波数、又は複数の周波数が、ソース側から能動的にドライブされて、或いは基板ペデスタル(すなわち、バイアス側)から能動的にドライブされて、重ねられることが認められている。
[0069] 図4に示したように、インピーダンス適合回路410は、複数の誘導性素子とこれに続く、分路コンデンサ及びフィルタ間のブリッジングインダクタからなる幾つかのカスケード化されたステージのπ型ローパスフィルタからなる。更に、F1又はF2などの特定の共振周波数で高いインピーダンスを実現するため、ブリッジングインダクタは、インダクタとコンデンサの並列共振回路によって置き換え可能であることが認められている。各高調波周波数を含むすべての動作周波数で高インピーダンスを実現するため、設計された周波数で指定された高インピーダンスで、複数のこのようなπ型ローパスフィルタがカスケード化されうる。フィルタネットワークは、RF適合回路に対して、すべての動作周波数で高インピーダンスとして現れる、すなわち、高散乱パラメータS11を提示するだけでなく、DCチャッキング電源がこれらの周波数のいずれにおいてもRF電力負荷にならず、高散乱パラメータS21を示すように、これらの周波数でRF信号を大幅に減衰する。商用のDC電源の多くは、本書に記載のRF周波数のいずれにおいても負荷として働くようには設計されていないため、例えば、30dBを超える十分な減衰は有利である。加えて、例えば、RF周波数のそれぞれで7.5kΩを超える大きさのフィルタネットワークに対して十分に大きなインピーダンス(S11)は有利である。これは、このような大きな入力インピーダンスは、ESC220のDCチャッキング回路がRFドライブ機能や望ましいチューニング機能と干渉しないように、整合回路から引き出される電流を実質的にゼロ、又は最小限にするからである。
[0070] 前述の機能が、約50Hzから約60Hzの電力ライン周波数で、また、数kHzまでの高調波周波数を含み、更には、商用スイッチング電源のスイッチング周波数の周波数帯域をカバーする数十kHzレンジで実現されることは、フィルタリングネットワークの現在の実装の更なる機能である。このような機能がある理由は、DCチャッキング電源に到達して有害となりうる、或いは、電圧・電流調整機構を含む機能に干渉しうる、このような低周波数の信号をフィルタ除去するためである。このようなライン周波数フィルタ実装の一例は、特に任意のライン周波数を拒絶するために、或いは上述のライン周波数の高調波を含む広帯域のノイズ周波数を拒絶するために、ノッチフィルタ(このようなノッチフィルタを図7に示す)、或いは、幾つかのカスケード化されたノッチフィルタネットワークの帯域拒絶フィルタ(band-reject filter)を使用することである。
[0071] ESC電源とヒータ用のAC電力ラインを保護する高入力インピーダンスを有するRFフィルタ回路は、保護する負荷に流入するRF電圧・電流を低減する。また、回路構成は動作周波数に依存する。例えば、約13.56MHzで、LC並列共振回路は、高電圧側に対して高インピーダンス回路として現れ、その結果、RF周波数に対して開回路として動作するが、理想的には、他の周波数及びDC電流に対しては通過回路として動作する。複数のRF周波数が関連している場合には、各動作周波数での最小RFインピーダンス要件を満たすために、複数のフィルタステージを使用することができる。
[0072] RFフィルタ回路は、すべての動作周波数に対するインピーダンス要件を満たすため、複数のステージを有しうる。一実施形態では、フィルタはインダクタと並列のコンデンサを有する。温度レジームの上端付近で動作するESCに関連する特定のフィルタ要件がありうる。上述のように、バルク誘電体材料の抵抗は、埋めこまれたチャッキング電極とヒータ素子との間の結合を増大させうる高温で更に小さくなる。これは両者が物理的に近接しているためである。これは、主としてヒータ回路のACライン側に現われる低周波数信号がチャッキング電極に結合し、チャッキング電圧に影響を及ぼしうることを意味する。低周波数信号の例は、約50Hz又は約60Hzのライン周波数である。ヒータ電力とペデスタル温度を制御するために、あるデューティサイクルでライン周波数のオンオフを切り替える場合には、スイッチング周波数は数kHzのレンジになりうる。
[0073] 約208VのACライン信号のRMS値を有するESCバルク誘電体材料との結合の結果として、ACラインを含むチャッキング電極状で測定された信号では、DC ESC電源は、商用のDC電源の多くがAC負荷に対応するようには設計されていないため、望ましくないノイズに対しては負荷として働く。バルク誘電体材料の抵抗が相当に大きい低温では、AC結合問題が重篤になることはない。上述のフィルタなどの付加的なACラインフィルタの組み込みは、チャッキング電極に結合する低周波数ノイズを低減し、ESCサプライを保護することができる。
[0074] 複数のRF周波数と低周波数フィルタの組み込みは、各回路分枝上で必要に応じて、フィルタが直列、並列、又は任意の組み合わせになっているかどうかにかかわらず、必要となりうる。上記で説明した回路では、27MHzの高インピーダンスフィルタと直列な1つの13.56MHz高インピーダンスフィルタは、埋めこまれたヒータ素子に対して作られた各接続ライン間に挿入されてもよく、一方、RFフィルタと直列に追加される低周波数のEMIフィルタは埋め込まれたESC電極とESC電源との間に挿入されてもよい。
[0075] 様々なフィルタ接続形態が使用されうる。例えば、フィルタ入力インピーダンス値、帯域幅、カットオフ周波数、周波数応答曲線、減衰の程度などは、任意の或いはすべての適切な組み合わせで選択可能である。このようなフィルタは、チャンバ環境の内側か外側か、保護するように設計されているソースに近いか遠く離れているかにかかわらず、ESC自体に関して適切な場所に位置しうる。
[0076] 図7は、60Hzの中心周波数で35dBの減衰を実現するため、演算増幅器を使用するアナログノッチフィルタ700の例である。アナログノッチフィルタ700が、120Hzで同様のノッチフィルタの別のカスケード化されたステージと共に使用されるときには、60~120Hzレンジの周波数帯域内で、20dB程度の一般的な減衰が実現可能である。図4に示したノッチフィルタの実装では、演算増幅器400用のアナログ回路が採用される。このような演算増幅器400又は同等の部品は、複数の個別の演算増幅器ユニットを収納するシングルチップ集積回路パッケージとして形成されうる。帯域拒絶フィルタに対して、このような集積した演算増幅器チップを使用することによって、コンパクトな設計が実現されうる。図8は、図2に示したESC220による例示的な堆積レシピ中の、フィルタ処理済み信号とフィルタ未処理信号の比較を示すグラフである。
[0077] 体積抵抗率が1E7~1E10Ωcmの範囲内にあり、相対誘電率が8~10の範囲内にある窒化アルミニウム(AlN)がESC220のバルク誘電体材料である場合に、特定の高い動作温度レジーム(例えば、最大で700°C)でESCのジョンセン・ラーベック(JR)効果を利用することが、図5Aを参照して議論される。密度及び熱伝導率などを含む、材料の機械的特性は、以下の表で指定される。
[0078] 図5Aは、ESC220の上に配設される基板540を介して形成されるチャッキング回路を示している。チャッキング回路500では、Siから形成される基板540は、ESC表面520と部分的に接触し、(接点間隙)コンデンサ512を形成する接点間隙(contact gap)221を形成する。AlN材料並びに基板の形状寸法、間隙高(gap height)521、有効接触域、表面粗さ、及び抵抗はすべてチャッキング回路500に寄与している。
[0079] 複数のノードを介してチャッキング回路500の説明を行う。第1の端部501では、抵抗出力(resistor out)は、第1のノード591を介して接地504に接続されてよく、また、第2のノード592に接続されてもよい。第2の端部502では、ESC供給電圧552は接地554と第6のノードとの間に配設されうる。複数のサブ回路はチャッキング回路500に寄与しうる。例えば、基板回路573、間隙回路575、及び支持回路574は、第1端部の第2のノード592とチャッキング回路500の第2の端部502の第6のノード596との間に配設されうる。
[0080] 基板回路573は第2のノード592と仮想ノード599との間に形成される。第3のノード593と第4のノード594は、チャッキング回路500を記述する目的で、仮想ノード599として電気的に並列とみなしてもよい。第1の抵抗544は、チャッキング回路500の第2のノード592とチャッキング回路500の第3のノード593との間に配設される。第1のコンデンサ541は、第1の抵抗544と並列に配置され、第2のノード592と第4のノード594の間に配設されうる。第2のノード592と第3のノード593及び第4のノード594との間の基板回路573、すなわち、第1の抵抗544と第1のコンデンサ542は、基板に配設され、その間の第1の電圧581を有しうる。
[0081] 間隙回路575は、仮想ノード599と第5のノード595との間に形成される。間隙回路575は、仮想ノード599と第5のノード595との間に、第2のコンデンサ514、第3のコンデンサ512、及び第2の抵抗器515をすべて並列に有する。間隙電圧582は、仮想ノード599と第5のノード595との間で測定されうる。
[0082] 支持回路574は、第5のノード595と第6のノード596との間に形成されうる。支持回路5754は第4のコンデンサ564と第3の抵抗563を有する。第4のコンデンサ564と第3の抵抗563は、第5のノード595と第6のノード596の間で並列である。支持電圧584は、第5のノード595と第6のノード596との間で測定されうる。
[0083] 電荷及び接点間隙コンデンサ、すなわち、第2のコンデンサ514と第3のコンデンサ512上の電荷の分布は、チャッキング回路500によって影響され、その結果、支持電圧584のかなりの部分が、チャッキング力を効果的に生成する接点間隙221に印加される。接点間隙コンデンサの充電及び放電の時間はまた、基板540を完全にチャックし、その後ESC220から基板を解放する時間を決定する。ESC電源電流(ESC供給電圧552で供給される)は、基板540の処理全体にわたって、或いは必要に応じて、処理レシピの特定のステップで、一定のチャッキング電圧を維持するように構成されている。
[0084] 以下に示した表1及び表2では、ESC220に使用することができる、幾つかの特定のグレードの窒化アルミニウム材料の例を示した。表1は、AlN誘電体材料の組成を示す。表2は、ESC220で使用されるAlN誘電体材料の機械的特性を示す。図6は、AlN誘電体材料の電気特性を示す。第1、第2、第3及び第4の材料について、温度に対する体積抵抗率がプロットされている。AlN材料の例は、HA-50、HA-12、HA38、HA38L、HA-37、HA37L、HA37V、HA-35、HA40、HA20、HA45或いは他の同様な適切な材料になりうる。材料は、Y軸上で約1E+00Ωcmから約1E+18Ωcmの範囲の体積抵抗率と、X軸上で-10°Cから約1200°Cの温度範囲を有しうる。例示的な一実装では、およそ600°Cでチャッキング性能を最適化しうるHA12グレード材料を使用することができる。
表1
Figure 0007279222000001
表2
Figure 0007279222000002
[0085] PECVDアプリケーションの観点から、高い温度は、特に特定の動作温度レジームで、薄膜品質に有利になる。ESC220の場合、熱伝導率が170W/m・KであるグレードHA12のAlNは、約650°Cの動作温度で約5°Cの温度範囲、すなわち変動をもたらす。
[0086] 適切なチャッキング力とは、最小限の時間内に、すなわち数秒以内に基板540を固定し、解放されるまで固定力を維持することができる力のことである。適切なチャッキング電圧、又は実際の時系列に対する電圧は方法に由来し、レシピごとに、或いはアプリケーションごとに異なりうる。AlNの体積抵抗率はまた、チャッキング力及びDCチャッキング電源電流に影響する。図10は、チャッキング力が、ESCの形状寸法及び材料特性に関連する幾つかの重要なパラメータによって、どのように影響されうるかを示すグラフである。このグラフは、数ある種々のESC材料のうち、関連する3種類の設計について示している。例えば、AlNの体積抵抗率、接点間隙高、及び接触域の割合に対するチャッキング力の変動は、図6の回路モデルからの計算に基づいている。
[0087] 図10に示したAlNの体積抵抗率に対するチャッキング力の変動は、図5Aに対して上記で示されたチャッキング回路500に基づく接点間隙高、接触域の割合に依存することを理解されたい。接点間隙電圧の理想的な波形は、最小限の立ち上がり時間と立ち下り時間、及びその間に実質的に平坦な部分を必要とし、その値は印加されたESC供給電圧552の重要な部分に近づかなければならないことが注目される。同じグレードの材料を使用する場合には、このような要件は一般的に、動作温度のレジーム全体にわたって満たされない。これは、誘電体材料の温度依存特性による。図6は、室温から最大750°Cまで数桁にわたって変化する、あるグレードのAlN材料の体積抵抗率を示している。具体的には、このデータは、動作温度が直線的に上昇するときに、抵抗率がほぼ指数関数的に低下することを示している。したがって、構成が異なると、特定の動作温度レジームに対して適切なグレードの材料を選択することが必要になりうる。
[0088] 図5A,と共に図2を参照すると、ESC220の上面に蓄積される表面電荷は、半導体材料の有限な導電率による電荷の移動の結果である。上面に蓄積される表面電荷は、反対の極性の電荷を近くに引き寄せ、効果的に接点間隙221を狭める。静電チャッキング力は、接点間隙電圧582の二乗に比例し、接点間隙高521の二乗に反比例する。したがって、接点間隙221を横断する電荷の移動は、所定のESC供給電圧552でのチャッキング力を高めるのに役立つ。言い換えるならば、より高い導電率を有するESC220の材料は、低い導電率を有する従来のチャックと比較して、より高いチャッキング力を示しうる。電荷移動のこの現象は、ジョンセンとラーベックによって説明されたため、しばしば、J-R効果と称される。最大700°Cの高い温度レジームでは、J-R効果のあるチャックのカテゴリの実装に開示されたESC220を配置すると、AlN誘電体材料は高い導電率、すなわち低い抵抗率を示す。J-Rカテゴリとは対照的なのが、クーロン効果によるチャックであり、このチャックでは誘電体材料の導電率がかなり低いか、誘電体材料が導電性でなく、同等のチャッキング力に到達するのに、より高いESC供給電圧552を必要とする。
[0089] 図9A~図9Cは、基板との密な接触を形成するのに適したAlN表面パターンの実装の例を示している。図9Aは、約64%の密な接触、すなわち、大きな接触域を形成するAlN表面パターンの例である。図9Bは、約30%の密な接触、すなわち、中程度の接点領域を形成するAlN表面パターンの例である。図9Cは、約0.3%の密な接触、すなわち、小さな接触域を形成するAlN表面パターンの例である。図9A~図9Cに示したAlN表面パターンは、直径300mmの基板、並びに直径450mmの基板に適している。図9A~図9Cは、処理アプリケーションの特定のタイプに対して、幾つかの最適化された表面接点の例を示している。
[0090] 図9Aでは、基板の背面領域に約64%の接点を一様に作るため、特定の表面粗さを有する四角形の島が使用されるが、一方、第2の実施例では、一様でない疎らな接点が使用される。全体のチャッキング力は、所定の固定圧力に対する有効な接触域に比例するが、接触域だけが設計検討の対象になるわけではない。所望の温度一様性を実現するためには、ESC220の温度特性の検討も行わなければならない。
[0091] 図9Bでは、4個の突起物、すなわち、タブの組が基板エッジのすぐ外側に配置されており、これらのタブは、基板がチャックされる前に移動した場合には、基板をタブ内に留めるように設計されている。ESC表面に対するこのような基板の動きは、熱ショックと称される現象、すなわち、異なる温度で、或いは相当な高温でESC表面に接触したときの基板の瞬間的な熱膨張によって起こりうる。基板寸法の瞬間的かつ部分的な力学的膨張は、相当な基板変形をもたらすことがあり、ESCペデスタルに対して基板がずれる結果となりうる。堆積処理が基板の上で進行中に、基板がずれたままであると、この膨張は望ましくなく、不整合なプロセスの結果、最悪の場合、基板の破損に至る。
[0092] ESC表面温度と同じかほぼ近い温度まで基板を予熱することにより、熱ショックは最小限に抑えることができる。開示した基板を予熱する方法は、処理チャンバに移送する前の予熱、及び、熱伝導源として適切なプラズマ照射を用いたインシトゥ加熱処理を含む。インシトゥ予熱の例示的な一実施例は、低RF電力及び高圧の不活性ガスを用いた堆積ステップの前に、このような処理ステップを設けることである。このような不活性ガス核種には、He、Ar、Xeなどに加えて、低密度プラズマを維持するための数百ワットほどの各電力レベルが含まれる。このような一又は複数の予熱ステップの詳細は、予熱後の基板温度がESCペデスタルの温度に到達するか、温度差が十分に小さくなり、その結果、熱ショックが除去されるか、最小化されうる効果が得られるまで、ガス核種、RF電力、及び予熱時間の組み合わせを含むように最適化されうる。
[0093] 基板をESC動作温度まで予熱する代替的な方法は、同じ効果を実現する、接触熱伝導又は放射熱伝導による適切な加熱方法が採用されうる、分離型チャンバを使用してもよい。このような予熱チャンバは、加熱機構が実装される基板移送用の既存のロードロックチャンバであってよい。予熱チャンバの設計及び実装は、当業者にとっては自明とみなし、本明細書中では、実際の実装の詳細については正確に記述されないことがある。
[0094] 接触面の選択は、基板に非常に近い、或いは基板に接しているESC220の領域に対応し、チャッキング力及びタイミングの性能に影響する。パラメータは、任意の所定のアプリケーションに対して、望ましいチャッキング力となるように選択されうる。このようなパラメータには、バルクESC材料の特性、表面接触域、図9A~図9Cに示したような任意の具体的な接触パターンが含まれる。この接触パターンには、しばしばメサアイランド(mesa islands)と称される同一形状の、或いは同一形状でない島状接触部分が含まれる。さらに、パラメータには、各メサアイランドの形状と高さ、ESC表面の一部又は全体に対して一様な又は一様でない数密度となるESC表面全体にわたる集合的な分布、並びに、完成した上部接触表面の粗さRaなどが含まれる。
[0095] 接触表面最適化プロセスは、動作温度、ESC電圧、ESC電流、チャッキング又は解放の時間に応じて、1つのアプリケーション要件に対して最適なESC設計を、或いは、広範囲にわたるアプリケーション要件に対する設計を生み出しうる。例えば、1つの最適化プロセスは、最大の接触域を利用して最小のチャッキング電圧を目標とするが、一方、別の最適化プロセスは、ESC電源上のDCチャックング電流の最小化を要求しうる。電源パッケージングの観点からチャッキング電流低減の要件が望ましいが、これは、電源パッケージングがESCアセンブリに組み込むことが容易な小さなフォームファクタのESC電源を要求するためである。低チャッキング電流を維持するもう1つの利点は、チャッキングに関連したDC抵抗加熱が、ESC220表面の全体的な温度分布に影響を及ぼす要因とみなされていない場合には、チャッキング中に過剰な抵抗加熱を低減するように、ESCバルク材料に課される過剰なDC電力を最小化することである。言い換えるならば、印加されたDCチャッキング電力のあるなしにかかわらず、ESC表面温度の平均及び分布は変化し、基板温度の変動をもたらす。
[0096] ESC電流のすべて又は大部分が基板を通って接地に流れるとき、過剰なESC電流は、基板上に存在するデバイス構造に電気的な損傷を引き起こしうる閾値を超える可能性がある。このような電気的損傷には、帯電損傷(charging damage)及び/又は絶縁層破壊が含まれうる。起こりうる損傷を最小限に抑えるため、高い動作温度下でESC電流を最適化する幾つかの方法の中の1つは、より高い抵抗率を有する誘電体材料を使用することである。
[0097] ESC220用のHA-50グレードのバルクAlN誘電体材料は、1E8W-cmとなるHA-12グレードの誘電体材料と比較して、650°Cで1E10W-cmの体積抵抗率を有する。したがって、HA-50は、HA-12よりも小さなESC電流を示す。HA-12グレード材料の全ESC電流は、バルク材料からヒータ素子までプラズマ帰還経路を通ることなく、接地まで直接進みうる。HA-50グレードのバルクAlN誘電体材料などの高いAlN抵抗率では、ESC電流はプラズマを経由して接地まで進む傾向がある。
[0098] ヒータ素子を経由して接地まで進むESC電流を低減する別の方法は、接地電位に対してヒータ素子をフロートすることである。この方法は、バルク誘電体材料の抵抗率にかかわらず、接地電流の一部を完全に除去することができる。このようなDC絶縁を実装する例を図5Bに示す。図5Bは、ESC220用の絶縁変圧器206を有するチャッキング回路を示している。
[0099] ESCは、チャッキング電極の接地経路上に、コンデンサ622とともにバイポーラ電源620を有してもよい。温度コントローラ474は、コントローラ474とESC220との間で制御信号を光学的にやりとりすることを可能にする光学リンク610によって、ESC220に連結されうる。温度プローブ472は、温度を検出するため、ESC220内又はその周囲に配設されうる。
[00100] ヒータ204は、ヒータ204とACラインL1との間に挿入された絶縁変圧器206を介して、50Hz又は60HzのACラインによって電力供給される。ESC220のヒータ204は、約650°Cの動作温度を提供するように構成されている。温度コントローラ474は、ESC220の温度を温度コントローラ474に提供するプローブ472に応答して、光学リンク610を介して、ESC220のヒータ204を制御しうる。
[00101] DC電流漏洩は、AC電力ラインL1用の絶縁変圧器206によって低減されうる。加えて、接地経路は、光学リンク610によって温度コントローラ474から遮断されうる。したがって、イオン電流はプラズマ中の電子電流よりもはるかに小さいため、プラズマへの漏れ電流は負のチャッキング極性を使用することによって低減可能である。
[00102] 図5Bは、ESC用の絶縁変圧器を有するチャッキング回路を示す。変圧器は絶縁方法を提供し、壊れることなく最大ESC電圧に耐え、DC電流が一次変圧器コイル巻線と二次変圧器コイル巻線を超えないように設計されている。しかしながら、現時点では、50Hz又は60HzのAC電流は、変圧器の一次巻線と二次巻線との間を自由に通過しうる。複数のゾーンからなるヒータ素子の場合、複数の一次巻線及び/又は二次巻線を有する一又は複数の変圧器は、ヒータ素子と接地との間にDC絶縁を維持することが必要となりうる。
[00103] ESC電流を低減する別の例は、ESCペデスタル表面上に、プラズマを介したチャンバ接地へのDC電流漏洩を遮断又は大幅に低減する、高抵抗材料又は絶縁材料の層を生成することである。このような絶縁層は、高い動作温度で、バルク誘電体材料と比較して高い抵抗率を示し、動作温度下でバルク誘電体材料への良好な接着性を有し、更には、任意の起こりうる熱サイクルに耐え、接地へのDC電流経路になりうる空洞やピンホールをなくすことが必要になる。このような絶縁層は、高い周波数の電圧、例えば、一又は複数のRF周波数のACライン電圧及びRF電圧との重ね合わせがある状態、又はない状態で、最大DCチャッキング電圧に曝されるときに、同一の絶縁状態又は十分な絶縁状態を維持しなければならない。このような絶縁層は、正規の被覆プロセスによって、永続的にペデスタルに加工されてもよく、或いは、堆積処理が始まる前に、チャンバ環境内で一度だけ、又は繰り返し、その場で生成されてもよい。DC絶縁層のインシトゥ堆積の場合には、このような層が長い間に摩耗又は劣化しうるとしても、厚み、カバレージの領域、及び膜組成は、適切な期間にわたって十分な絶縁を実現するように制御されうる。典型的な膜組成には、窒化ケイ素、酸化ケイ素、並びに、同じ絶縁要件を満たすことができる他の同様な、或いは異なる特性が含まれる。
[00104] ここで図11に戻ると、図11はESC220を構築する方法を示している。第1の動作1110では、ESC材料内部に、ESCの基板支持体面と同程度の大きさの金属電極が挿入され、基板支持体面とほぼ平行になっている。第2の動作1120では、金属電極は回路を介して、電極に電荷を供給するDC電源に接続され、電極の電荷は材料を通ってESCの基板支持体面まで移動し、回路は金属電極にチャッキング電圧と電荷を供給する閉ループ電気回路になっている。
[00105] 金属ヒータ素子は、動作温度、並びにチャック及び基板全体にわたる温度の均一性を制御するため、ESCのバルク誘導体材料内に埋め込まれる。このようなヒータ素子は、タングステン、モリブデンからなる一又は複数のヒータフィラメント、或いは特定のパターンを形成する他の抵抗性ヒータ素子であってよい。ヒータ素子の位置及びレイアウトは、動作温度及び温度分布、或いは、チャック表面全体にわたる温度プロファイルに直接影響する。このような温度プロファイルは、一定の期間にわたってほぼ一定であってよく、或いは、各ヒータ素子への電力を動的に調整することによって、種々の所望の温度に変更されてもよい。ペデスタル誘電体材料内部に埋め込まれたインシトゥ温度センサに基づく閉ループ温度制御は、正確な動温度作と、チャックと基板表面全体にわたる温度勾配を維持するために使用される。これは、厚みと均一性、応力、誘電率、及び屈折率などの薄膜品質が、膜堆積中の動作温度に密接に関連しているPECVDアプリケーションの重要な態様である。
[00106] 図12を参照して、ESC220の動作を簡潔に説明する。図12は、ESCによって基板をチャッキングするための方法を示す。第1の動作1210では、基板は、処理チャンバ内に配設されたESCの基板支持体面に配置される。第2の動作1220では、電荷は回路を通じてESCのチャッキング電極に導入される。第3の動作1230では、上部電荷(top charge)は基板支持体面上にある電荷と反対の極性を有し、等しい電荷で基板に導入される。第4の動作1240では、反対の電荷との間のクーロン引力によって、基板はESCに抗して保持される。第5の動作1250では、電極に供給された電圧を、基板上の電荷が排出されるまでプラズマを維持する間にESCに貯まった電荷と共に取り除くことによって、基板はESCから開放される。
[00107] 一実施形態では、ESC動作パラメータのタイミング制御は、ESC電圧をオンにする前にRF電力でヘリウムプラズマを叩いて維持するように設定されており、基板は、ヘリウムプラズマの衝突によって高い温度まで加熱され、結果として、チャッキングが行われる前に表面応力は低減される。別の実施形態では、チャッキング方法は、最適な基板の結果を目指すレシピステップに従って、異なるESC電圧を実行する。例えば、チャッキングステップの最初では、湾曲する基板を迅速にチャッキングして平坦にするため、スパイク電圧が使用されてもよく、一方、その後の処理ステップでは、固定力を維持し、低チャッキング電圧での基板の開放に備えて、低ESC電圧が使用される。
[00108] 本書に記載の開示した技術の更なる非限定的な実施例は以下のように説明される。
実施例1. 半導体製造プロセスのリソグラフィアプリケーション用に、誘電体材料からなるハードマスク膜の生成に利用される上述の方法及び装置。ハードマスク膜は、剥き出しのシリコン基板上面、又は、特定の厚みと材料特性の薄膜堆積層を既に有するシリコン基板の上面に堆積されうる。
実施例2. ゲートスタック上に、酸化物膜とポリシリコン膜からなる多重互層、及び、酸化物膜と窒化物膜からなる多重互層を有する膜を生成するために利用される上述の方法及び装置。
実施例3. 平坦でない又は特定の湾曲を有する、或いは、膜成長中に蓄積される残留応力によって平坦ではなくなる又は特定の湾曲を示す搬入基板の処理に適した、実施例1及び2に記載の方法及び装置。このような搬入基板の湾曲又は蓄積される基板の湾曲は、引張応力又は圧縮応力の原点から300マイクロメートル以内になりうる。ゲートスタックの理想的な湾曲仕様は、高温下で多数の互層が堆積された後の中立的な湾曲又は中立的な応力である。
実施例4. 基板の前面又は上面で起こるあらゆる薄膜堆積によって規定される上記高温での搬入基板の処理に適しており、搬入基板の湾曲又は蓄積された基板の湾曲にもかかわらず、基板の背面上には薄膜堆積はなく、その後もない、上記の実施例に記載の方法及び装置。
実施例5. 半導体製造処理フロー中のPECVDプロセスのための容量結合されたプラズマをサポートするため、一又は複数のRFインピーダンス適合回路ネットワーク、負荷インピーダンスチューニング回路ネットワーク、及びDCフィルタ回路ネットワークによって能動的にドライブされる高温ESC。
実施例6. 一又は複数のRFインピーダンス適合回路ネットワークによって能動的にドライブされないが、接地電位で又は接地電位近傍で保持され、分離された一又は複数のRFインピーダンス適合回路ネットワークによって能動的にドライブされたガスボックス及びフェイスプレートのスタック用の接地経路として動作する、実施例5に記載のESC。しかしながら、実施例5の上記のESCは、調整可能な又は調整可能でない負荷インピーダンスチューニング回路ネットワーク、及び、半導体製造処理フロー中のPECVDプロセスのための容量結合されたプラズマをサポートするためのDCフィルタ回路ネットワークによってドライブされる。
実施例7. 各周波数でのRF電源としてのRFジェネレータからなるRFインピーダンス適合ネットワークと、所望のRF電圧、電流、及び結合電力を基板で実現するための可変チューニング素子を有し、これらのRF電圧、電流、及び結合プラズマ電力は、RFインピーダンス適合ネットワークの内側又は外側に配置される埋め込み型電圧・電流センサによって測定され、一方、センサのうちの少なくとも1つは、V(t)、I(t)の時間領域信号、センサ間の位相差、及び二乗平均平方根(RMS)値によるRFサイクルあたりの平均値をもたらす基板の位置又はその近傍に配置され、実電力損失又は実結合電力はRFサイクルごとに平均されたV(t)*I(t)から導かれるか、V(t)及びI(t)のRMS値と、cos(位相)との積によって算出される、実施例5又は6に記載のESC。
実施例8. RFジェネレータは、所望のRF電圧、電流、及び結合電力を基板で実現するため、各周波数を変更しうる、実施例5、6、又は7に記載のESC。RFジェネレータは、非連続波又はパルス動作を提供し、その振幅はパルス周波数によって変調され、特定のデューティサイクル下にありうる。RFジェネレータは、互いにランダムな、或いは一貫した位相関係を示すようにプログラムされうる。
実施例9. 複数の誘導性素子と、これに続いて、分路コンデンサ及びブリッジングインダクタを間に有する、幾つかのカスケード化されたステージのπ型又は他の適切のタイプのローパスフィルタを備える、実施例5又は6に記載のESC用の上記DCフィルタ回路。ブリッジングインダクタは、特定の共振周波数で高いインピーダンスを実現するため、インダクタとコンデンサの並列共振回路で置き換えることができる。このようなフィルタネットワークは、所望の動作周波数で、十分に高いインピーダンスと十分に大きい減衰を示しうる。
実施例10. 基板を誘電体ペデスタル表面に対して適切に固定し、その後同じ基板を誘電体ペデスタル表面から開放する装置及び方法であって、基板が平坦であるかどうか、或いはペデスタルによって固定される前に様々な程度の圧縮湾曲又は引張湾曲を示しうることにかかわらず、基板は実質的に平坦になり、ペデスタル表面に対してほぼ平行に維持される、装置及び方法。
実施例11. 半導体薄膜堆積アプリケーションに対して望ましい100°Cから700°Cまでの温度範囲で動作し、その動作温度は所定の時刻の、或いは動作温度がほぼ一定の期間のリアルタイム温度測定値に基づいて閉ループで制御されるか、所定の経過に従って変化する、実施例10に記載の参照された誘電体ペデスタル。
実施例12. 100°Cから700°Cまでの温度範囲で動作する誘電体ペデスタルであって、ペデスタルの表面全体にわたる温度変動は実質的に小さく、一実施例では、平均動作温度に対して数パーセント未満である、誘電体ペデスタル。
実施例13. 100°Cから700°Cまでの範囲で動作する誘電体ペデスタルであって、基板背面とペデスタル上面との間に反対の極性の電荷を供給するための閉ループ電気回路を形成する埋め込み導電性電極を組み込み、その閉ループは、基板と、ペデスタル自体及び他の支持部品を含む導電性壁との間に維持されるプラズマを含みうる、誘電体ペデスタル。
実施例14. 100°Cから700°Cまでの範囲で動作する誘電体ペデスタルであって、上記で指定されたように、適切な熱特性、機械特性、及び電気特性を備え、誘電体材料は主として、1000°Cを超える温度下で焼結された窒化アルミニウムを含み、所定の幾何形状のペデスタルの高密度の本体を形成し、ペデスタル本体は更に機械加工及び研磨され、所定の幾何形状と表面条件を備える誘電体ペデスタル。特に電気特性に対しては、誘電体材料の体積抵抗率は、その動作温度に応じて1E7W-cmから1E10W-cmの範囲内に入るように制御され、このような低いレベルの体積抵抗率によって、埋めこまれたチャッキング電極からペデスタル上面への電荷の移動が可能になり、このような表面電荷は、同量であるが反対の極性の電荷を基板の背面に誘導しうる。反対の極性の電荷は、基板をペデスタルに対して固定しうる連続的なクーロン引力を生成するように、放電に抗して維持されうる。ESC動作のこのようなレジームは一般的に、先行技術ではジョンセン・ラーベック静電チャックと称されるが、本発明と比較して、かなり低い温度レジームで動作する。新しいジョンセン・ラーベック静電チャックは、先行技術と比較して、非常に高い温度下で、かつ非常に広い温度範囲で動作する。
実施例15. 100°Cから700°Cまでの範囲で動作し、誘電体ペデスタルは、ペデスタル本体内部の異なるゾーンを占める特定のパターン又は幾つかの特定のパターンを形成する埋め込みヒータ素子を組み込む、実施例10に記載の誘電体ペデスタル。これらのヒータ素子は、一又は複数のDC電源によって電力供給されるか、ACラインを使用して直接電力供給される。
実施例16. 100°Cから700°Cまでの範囲で動作し、誘電体ペデスタルは、無線周波数、並びに、ペデスタルの他の部分の近傍に存在しうる又は連結して存在しうる低周波数電圧・電流による、潜在的な危険に対する電気保護回路のネットワークを組み込む、実施例15に記載の誘電体ペデスタル。保護回路は、1つの周波数の中で排他的に分散されうる、任意の潜在的な危険な電圧・電流の十分な減衰を実現するためのヒューズ、スイッチ、接地への放電経路、電流制限装置、電圧制限装置、フィルタ処理装置からなり、これは、DC、ACライン周波数、RF周波数からVHF周波数までの広い周波数スペクトルにわたって広がりうる。
実施例17. 限定するものではないが、以下に列挙するp、Lの回路接続形態、及び、他の関連する等価な又は適切な接続形態の組み合わせ、入力インピーダンス、帯域幅、カットオフ周波数、必要であれば、周波数応答曲線、及び減衰度などを含む、実施例16に記載の電気保護回路のネットワーク。
実施例18. 誘電体ペデスタルの表面は、固定機構上に一様な又は一様でないパターンを形成する微細な特徴を含み、パターンは基板の背面に、基板背面の全領域のすべてに、或いは一部に存在しうる、実施例10に記載の誘電体ペデスタル。パターンの接触表面は、機械加工と研磨の結果として、微小な凹凸を示し、ペデスタルと実質的に同じ材料の、或いは異なる材料の適切な厚みの被覆を含みうる。
実施例19. 誘電体ペデスタルの表面は独特な島の形状の特徴、すなわちメサ構造を含み、その上面は基板の背面に接触し、島は同一の形状又は種々の形状を有し、ESC表面全体にわたって一様な密度で、或いは一様でない密度で分布する、実施例10に記載の誘電体ペデスタル表面はまた、処理中にはその上面が基板に接触していない特徴を含み、基板レベルと同等かそれより高く直立してもよい。上述の後者の特徴は、基板処理中には何の目的も果たさないが、基板がチャックされる前に基板が動く場合には、必要に応じて基板止めの役割を果たす。このような基板止めの数、形状、配置、材料組成は、本書に開示した実装で詳細に限定されることはないが、ペデスタルに着脱可能な連続したリングタイプの構造に延びる特徴を含みうる。
実施例20. 半導体製造環境は典型的に、処理時間中にチャッキング電極電圧・電流が制御される、所定の圧力及び温度下の様々な化学物質からなる、実施例10に記載のペデスタル操作方法。
実施例21. プラズマ化学気相堆積プロセスでペデスタルを使用する方法。
実施例22. 限定するものではないが、エッチング、物理的気相堆積、原子層堆積とエッチング、及び、操作の高温と基板固定機能を共に用いるその他のプロセスを含む、他の薄膜堆積・除去プロセスにおける、実施例10に記載の方法及び装置の利用。
[00109] 上記に開示した方法及び装置は有利には、複数の層、すなわち、ゲートなどの特徴が高温で基板上に高い品質で形成されるのを可能にする。チャッキング技術は、膜堆積処理中に湾曲した基板の背面での膜堆積をなくし、汚染を防止することによって、リソグラフィツールの動作可能時間を大幅に増大させる。本書で開示の方法及び装置は、半導体製造プロセスのリソグラフィアプリケーション用に誘電体材料のハードマスクに使用される高度なフォト膜、並びに、基板上に形成される複数の膜、すなわち、メモリデバイスのゲートスタックに使用される階段状膜に特に適している。したがって、ゲートスタックの中立的な湾曲又は中立的な応力湾曲の仕様は、高温下で堆積される多数の互層の後に実現可能である。
[00110] 上述事項は、本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱せずに他の更なる実施形態を考案することができ、本開示の範囲は下記の特許請求の範囲によって規定される。

Claims (10)

  1. ほぼ円板状のセラミック体であって、その上に基板を支持するように構成された上部表面と、前記ほぼ円板状のセラミック体の外側の直径を画定する円筒形の側壁と、前記上部表面と反対側に配設された下部表面とを有する、ほぼ円板状のセラミック体と、
    前記ほぼ円板状のセラミック体内に配設された電極と、
    前記ほぼ円板状のセラミック体の温度を、300℃を超える温度に維持するように構成されたヒータと、
    前記電極に電気的に接続され、前記電極にチャッキング電圧を供給するように構成されたメイン回路であって、
    第1のRFドライブと、前記電極と前記第1のRFドライブとの間に連結された第1のインピーダンス整合回路とを有する、第1のRFドライブ回路、
    前記電極に連結された、前記第1のRFドライブ回路から独立した第2のRFドライブ回路であって、第2のRFドライブと、ハイパスフィルタと、第1のキャパシタと、パススルーインダクタと、前記ハイパスフィルタと前記第1のキャパシタとの間に設けられた分岐であって、第2のキャパシタを介して接地されている分岐とを有し、前記第2のRFドライブは、該第2のRFドライブ側から順に、前記ハイパスフィルタ、前記第1のキャパシタ、ならびに前記パススルーインダクタを介して、前記電極にドライブ周波数を供給する、第2のRFドライブ回路、
    前記電極に連結されたDCチャッキング回路であって、DC源とフィルタ回路とを含み、前記DC源は、前記フィルタ回路を介して前記電極に連結されており、前記フィルタ回路は、Y字型接続で互いに連結された2つのインダクタと1つのキャパシタとを含む、DCチャッキング回路、および
    インダクタとキャパシタとを含むRF負荷回路であって、前記第1のRFドライブ回路または前記DCチャッキング回路の少なくとも一方と並列に配されている、RF負荷回路
    を備えるメイン回路と
    を備える基板支持アセンブリ。
  2. 前記RF負荷回路内に配設された前記キャパシタが、可変キャパシタである、請求項1に記載の基板支持アセンブリ。
  3. 前記第1のRFドライブ回路は約2MHzでRF電力を供給するように動作可能で、前記第2のRFドライブ回路は約13.56MHzでRF電力を供給するように動作可能である、請求項1または2に記載の基板支持アセンブリ。
  4. 内部空間を取り囲む壁と蓋を有する本体と、
    前記内部空間内に配設される基板支持アセンブリであって、
    ほぼ円板状のセラミック体であって、真空処理チャンバ内でその上に基板を支持するように構成された上部表面と、前記ほぼ円板状のセラミック体の外側の直径を画定する円筒形の側壁と、前記上部表面と反対側に配設された下部表面とを有する、ほぼ円板状のセラミック体と、
    前記ほぼ円板状のセラミック体内に配設されたヒータであって、前記ほぼ円板状のセラミック体の温度を、300℃を超える温度に維持するように構成されたヒータと、
    前記ほぼ円板状のセラミック体内に配設された底部電極と、
    前記底部電極に電気的に接続されたメイン回路であって、
    第1のRFドライブと、前記底部電極と前記第1のRFドライブとの間に連結された第1のインピーダンス整合回路とを有する、第1のRFドライブ回路、
    前記底部電極に連結された、前記第1のRFドライブ回路から独立した第2のRFドライブ回路であって、第2のRFドライブと、ハイパスフィルタと、第1のキャパシタと、パススルーインダクタと、前記ハイパスフィルタと前記第1のキャパシタとの間に設けられた分岐であって、第2のキャパシタを介して接地されている分岐とを有し、前記第2のRFドライブは、該第2のRFドライブ側から順に、前記ハイパスフィルタ、前記第1のキャパシタ、ならびに前記パススルーインダクタを介して、前記底部電極にドライブ周波数を供給する、第2のRFドライブ回路、
    前記底部電極に連結されたDCチャッキング回路であって、DC源とフィルタ回路とを含み、前記DC源は、前記フィルタ回路を介して前記底部電極に連結されており、前記フィルタ回路は、Y字型接続で互いに連結された2つのインダクタと1つのキャパシタとを含む、DCチャッキング回路、および
    インダクタとキャパシタとを含むRF負荷回路であって、前記第1のRFドライブ回路または前記DCチャッキング回路の少なくとも一方と並列に配されている、RF負荷回路
    を備えるメイン回路とを備えた基板支持アセンブリと
    を備える処理チャンバ。
  5. 上部電極と前記底部電極は、容量結合プラズマジェネレータを形成する、請求項4に記載の処理チャンバ。
  6. 前記上部電極をドライブするための第1の上部回路を更に備える、請求項5に記載の処理チャンバ。
  7. 前記上部電極をドライブするための第2の上部回路を更に備える、請求項6に記載の処理チャンバ。
  8. 前記第2の上部回路は前記上部電極に約400KHzでRF電力を供給するように動作可能で、前記第1の上部回路は前記上部電極に約27MHzでRF電力を供給するように動作可能である、請求項7に記載の処理チャンバ。
  9. 前記第1のRFドライブ回路は約2MHzでRF電力を供給するように動作可能で、前記第2のRFドライブ回路は約13.56MHzでRF電力を供給するように動作可能である、請求項4から8のいずれか一項に記載の処理チャンバ。
  10. 前記RF負荷回路内に配設された前記キャパシタが、可変キャパシタである、請求項4から9のいずれか一項に記載の処理チャンバ。
JP2022027437A 2015-12-07 2022-02-25 静電チャックを使用した基板の固定と開放のための方法及び装置 Active JP7279222B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562264096P 2015-12-07 2015-12-07
US62/264,096 2015-12-07
JP2018529038A JP2019504481A (ja) 2015-12-07 2016-12-05 静電チャックを使用した基板の固定と開放のための方法及び装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018529038A Division JP2019504481A (ja) 2015-12-07 2016-12-05 静電チャックを使用した基板の固定と開放のための方法及び装置

Publications (2)

Publication Number Publication Date
JP2022084630A JP2022084630A (ja) 2022-06-07
JP7279222B2 true JP7279222B2 (ja) 2023-05-22

Family

ID=58798521

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018529038A Pending JP2019504481A (ja) 2015-12-07 2016-12-05 静電チャックを使用した基板の固定と開放のための方法及び装置
JP2022027437A Active JP7279222B2 (ja) 2015-12-07 2022-02-25 静電チャックを使用した基板の固定と開放のための方法及び装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018529038A Pending JP2019504481A (ja) 2015-12-07 2016-12-05 静電チャックを使用した基板の固定と開放のための方法及び装置

Country Status (6)

Country Link
US (1) US20170162417A1 (ja)
JP (2) JP2019504481A (ja)
KR (1) KR102649333B1 (ja)
CN (1) CN108369921B (ja)
TW (2) TWI773296B (ja)
WO (1) WO2017100136A1 (ja)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10867812B2 (en) 2017-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
US10904996B2 (en) * 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
CN117612918A (zh) * 2018-05-03 2024-02-27 应用材料公司 用于基座的rf接地配置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10546731B1 (en) * 2018-10-05 2020-01-28 Applied Materials, Inc. Method, apparatus and system for wafer dechucking using dynamic voltage sweeping
US20210391141A1 (en) * 2018-10-30 2021-12-16 Lam Reesarch Corporation Substrate state detection for plasma processing tools
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20210088723A (ko) 2018-12-03 2021-07-14 어플라이드 머티어리얼스, 인코포레이티드 척킹 및 아크 발생 성능이 개선된 정전 척 설계
WO2020149972A1 (en) 2019-01-15 2020-07-23 Applied Materials, Inc. Pedestal for substrate processing chambers
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
WO2020214607A1 (en) * 2019-04-15 2020-10-22 Applied Materials, Inc. Electrostatic chucking process
US11189517B2 (en) * 2019-04-26 2021-11-30 Applied Materials, Inc. RF electrostatic chuck filter circuit
KR102344529B1 (ko) * 2019-07-01 2021-12-29 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11676804B2 (en) 2019-07-01 2023-06-13 Semes Co., Ltd. Apparatus and method for treating substrate
CN110284138A (zh) * 2019-07-16 2019-09-27 佛山市三高保温水箱有限公司 一种热泵热水器搪瓷内胆自动化搪瓷生产线
CN114424319B (zh) * 2019-08-19 2024-04-30 应用材料公司 用于在多个频率下控制rf参数的方法及装置
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11776835B2 (en) 2020-09-29 2023-10-03 Applied Materials, Inc. Power supply signal conditioning for an electrostatic chuck
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022117669A (ja) * 2021-02-01 2022-08-12 東京エレクトロン株式会社 フィルタ回路及びプラズマ処理装置
US11955361B2 (en) 2021-04-15 2024-04-09 Applied Materials, Inc. Electrostatic chuck with mesas
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
CN115812247A (zh) * 2021-05-03 2023-03-17 朗姆研究公司 晶片状态检测
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
KR20240023131A (ko) * 2021-06-21 2024-02-20 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버들의 라디오 주파수 전극 임피던스들을 제어하기 위한 방법들 및 장치
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
KR102598331B1 (ko) * 2021-07-06 2023-11-03 한국표준과학연구원 플라즈마 진단기능을 갖는 정전척
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004104113A (ja) 2002-08-22 2004-04-02 Sumitomo Osaka Cement Co Ltd サセプタ装置
JP2007157696A (ja) 2005-10-18 2007-06-21 Applied Materials Inc プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御
JP2010073933A (ja) 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法及び半導体装置
JP2011529273A (ja) 2008-07-23 2011-12-01 アプライド マテリアルズ インコーポレイテッド プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート
JP2013175740A (ja) 2008-05-21 2013-09-05 Tokyo Electron Ltd 載置台機構及びこれを用いたプラズマ処理装置
JP2014060421A (ja) 2008-08-12 2014-04-03 Applied Materials Inc 静電チャックアセンブリ
JP2014082449A (ja) 2012-09-26 2014-05-08 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2014096594A (ja) 2006-10-06 2014-05-22 Tokyo Electron Ltd プラズマエッチング装置およびプラズマエッチング方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2011A (en) * 1841-03-18 Appabatxts for piling saws
US3805165A (en) * 1972-06-08 1974-04-16 Bendix Corp Heterodyne amplifier circuits
JPH10241895A (ja) * 1996-11-04 1998-09-11 Applied Materials Inc プラズマシース発生高調波をフィルタリングすることによるプラズマプロセス効率の改善
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US7264688B1 (en) * 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20080084650A1 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
US7813103B2 (en) * 2007-10-11 2010-10-12 Applied Materials, Inc. Time-based wafer de-chucking from an electrostatic chuck having separate RF BIAS and DC chucking electrodes
US9142964B2 (en) * 2007-11-14 2015-09-22 Renergyx Pty Limited Electrical energy and distribution system
JP5960384B2 (ja) * 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8491759B2 (en) * 2010-10-20 2013-07-23 COMET Technologies USA, Inc. RF impedance matching network with secondary frequency and sub-harmonic variant
US8803424B2 (en) * 2010-10-20 2014-08-12 COMET Technologies USA, Inc. RF/VHF impedance matching, 4 quadrant, dual directional coupler with V RMS/IRMS responding detector circuitry
US9177787B2 (en) * 2013-03-15 2015-11-03 Applied Materials, Inc. NH3 containing plasma nitridation of a layer of a three dimensional structure on a substrate
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004104113A (ja) 2002-08-22 2004-04-02 Sumitomo Osaka Cement Co Ltd サセプタ装置
JP2007157696A (ja) 2005-10-18 2007-06-21 Applied Materials Inc プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御
JP2014096594A (ja) 2006-10-06 2014-05-22 Tokyo Electron Ltd プラズマエッチング装置およびプラズマエッチング方法
JP2013175740A (ja) 2008-05-21 2013-09-05 Tokyo Electron Ltd 載置台機構及びこれを用いたプラズマ処理装置
JP2011529273A (ja) 2008-07-23 2011-12-01 アプライド マテリアルズ インコーポレイテッド プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート
JP2014060421A (ja) 2008-08-12 2014-04-03 Applied Materials Inc 静電チャックアセンブリ
JP2010073933A (ja) 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法及び半導体装置
JP2014082449A (ja) 2012-09-26 2014-05-08 Toshiba Corp プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
TW201731131A (zh) 2017-09-01
TWI773296B (zh) 2022-08-01
TWI729042B (zh) 2021-06-01
KR102649333B1 (ko) 2024-03-18
CN108369921B (zh) 2023-12-12
KR20180082626A (ko) 2018-07-18
JP2019504481A (ja) 2019-02-14
JP2022084630A (ja) 2022-06-07
TW202139348A (zh) 2021-10-16
US20170162417A1 (en) 2017-06-08
CN108369921A (zh) 2018-08-03
WO2017100136A1 (en) 2017-06-15

Similar Documents

Publication Publication Date Title
JP7279222B2 (ja) 静電チャックを使用した基板の固定と開放のための方法及び装置
JP6868553B2 (ja) プラズマ化学気相堆積システムにおいて高温で圧縮又は引張応力を有するウェハを処理する方法及び装置
KR102377951B1 (ko) 정전 척들의 전극들의 파라미터들을 설정하고 조정하기 위한 임피던스들을 갖는 튜닝 회로들을 포함하는 rf 튜닝 시스템들
CN110945622B (zh) 射频匹配网络中用于频率调谐辅助双电平脉冲的辅助电路
KR200487340Y1 (ko) 극단 엣지 튜닝성을 위한 연장형 및 독립형의 rf 전력공급형 음극 기판과 함께 사용하기 위한 프로세스 키트 구성요소들
JP7345543B2 (ja) 高温rfヒータペデスタル
WO2022072195A1 (en) Power supply signal conditioning for an electrostatic chuck
JP2023501991A (ja) 同調回路における周波数ベースのインピーダンス調整
US20230091584A1 (en) Plasma processing apparatus and etching method
JP2023046283A (ja) プラズマ処理装置及びエッチング方法
JP2023027754A (ja) プラズマ処理装置及びエッチング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220324

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220324

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230411

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230510

R150 Certificate of patent or registration of utility model

Ref document number: 7279222

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150