JP2007157696A - プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御 - Google Patents

プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御 Download PDF

Info

Publication number
JP2007157696A
JP2007157696A JP2006281701A JP2006281701A JP2007157696A JP 2007157696 A JP2007157696 A JP 2007157696A JP 2006281701 A JP2006281701 A JP 2006281701A JP 2006281701 A JP2006281701 A JP 2006281701A JP 2007157696 A JP2007157696 A JP 2007157696A
Authority
JP
Japan
Prior art keywords
power
frequency
ion
high frequency
coupling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006281701A
Other languages
English (en)
Other versions
JP2007157696A5 (ja
Inventor
John P Holland
ピー ホーランド ジョン
Daniel J Hoffman
ジェイ ホフマン ダニエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007157696A publication Critical patent/JP2007157696A/ja
Publication of JP2007157696A5 publication Critical patent/JP2007157696A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御方法を提供する。
【解決手段】3つの各周波数の少なくとも3つのRF電源からのRF電力をリアクタ内のプラズマに結合し、少なくとも3つのRF電源の第1対の電源レベル間の比を設定することによりイオンエネルギー分布形状を設定し、少なくとも3つのRF電源の第2対の電源レベル間の比を選択することによりイオン解離及びイオン密度を設定する。3つの各周波数は、低周波周波数、高周波周波数及び超高周波周波数であって、第1対は低周波及び高周波周波数に相当し、第2対は高周波及び超高周波周波数に相当する。
【選択図】図16

Description

発明の背景
半導体デバイス製造の技術的進歩はデバイス構成寸法又はクリティカル寸法の劇的な縮小を含み、その結果、一例として、多重絶縁化導体層間に形成される開口部はより深くなり、より大きなアスペクト比を有する傾向にある。本発明は、バルクプラズマ内のプラズマイオン密度、プラズマシース内のプラズマイオンエネルギー分布及びバルクプラズマ内のイオン解離を、独立して、同時に制御することにより、そのような構造を形成する上での困難な点を克服する。従って、本発明は、イオン密度、イオンエネルギー分布及びイオン解離の選択が、互いを制限することなくなされるプラズマ処理を実現する必要を満たす。
発明の概要
プラズマリアクタ内でワークピースを処理する方法は、3つの各周波数の少なくとも3つのRF電源からのRF電力をリアクタ内のプラズマに結合し、少なくとも3つのRF電源の第1対の電源レベル間の比を設定することによりイオンエネルギー分布形状を設定し、少なくとも3つのRF電源の第2対の電源レベル間の比を選択することによりイオン解離及びイオン密度を設定することを含む。3つの各周波数は低周波周波数、高周波周波数及び超高周波周波数であってもよく、第1対は低周波及び高周波周波数に相当し、第2対は高周波及び超高周波周波数に相当する。代わりに、電源は4つの高周波電源を含み、第1対は高周波周波数及び低周波周波数に相当し、第2対は超高周波周波数及び他の周波数に相当する。一実施例において、第2対は上部超高周波周波数及び低部超高周波周波数に相当する。他の周波数を、誘導源電力アプリケータ、トロイダルプラズマ源電力アプリケータ又はシーリング電極を通じて結合できる。また、全ての3つの周波数をリアクタのウエハ支持ぺデスタルを通じて結合できる。
好ましくは、周波数の第1対はイオン遷移周波数未満である第1周波数と、イオン遷移周波数超過である第2周波数である。イオンエネルギー分布は、イオンエネルギー分布の1対のピーク間のエネルギー差を調節することにより、又は、イオンエネルギー分布の1対のピークの、他方に相対する一方近くのイオン数を調節することにより、又は、イオンエネルギー分布の1対のピークのうちの一方のエネルギーを調節することにより、調節される。
発明の詳細な説明
図1を参照すると、容量的に結合されたリアクタチャンバ100はウエハ支持ぺデスタル105を取り囲み、これは半導体ウエハ110を静電的に留めることができる静電チャック(ESC)であってもよい。ESCは、アルミニウムベース層5及び絶縁層、又はメッシュ又はグリッド電極15により低部パック層10と上部パック層20に分割されるパックを含む。チャック105の末端部を、他の絶縁リング120上に支持されたウエハ110と同じ面に留まる絶縁リング115を用いて強化できる。チャンバ100のシーリングは、環状伝導リング127a及び、電極125、リング127a、130及び電極アセンブリ126を形成する電極125を絶縁する絶縁リング130により、チャンバ伝導側壁127上に支えられるオーバーヘッド伝導電極125である。プラズマに対向する電極125の底面は、平面125a又はプラズマイオン分布の均一性を強化するための曲面125bであってもよい。RF発生器150からのRF源電力は、電極125と、固定されたインピーダンス整合要素として機能するインピーダンス整合スタブ135を通じて結合される。スタブ135は、内部及び外部同軸導体135a、135bから成り、内部スタブ導体135aは電極125と内部伝導リング135a’を通じて接続され、外部スタブ導体135bは接地されたチャンバ側壁リング127aと外部伝導リング135b’を通じて接続される。
RF発生器150は、インピーダンス整合を提供するために位置するスタブの長さに沿ったタップポイント163で、50オーム同軸ケーブル162を経由してスタブと結合される。ケーブル162の内部及び外部導体は、スタブ内部及び外部導体135a、135bにそれぞれ接続される。RFバイアス電力は、RF供給導体25を通じて、供給ポイント25aでRF供給導体25に結合されたESC電極15に印加される。ESC制限電圧41源は、直流ウエハ制限電圧をESC電極15に印加する。
オーバーヘッド電極125はガス分布プレートであってもよく、その場合、電極は、スタブ135の中空内部内の導管144を通じて、プロセスガス供給部146に結合される内部ガスマニホールド142を伴う、複数のガス注入オリフィス140を有する。同様に、熱伝播(冷却/加熱)流体を、加熱/冷却流体源149から電極125内の循環経路148に結合できる。静電チャック(ESC)105の温度を、ESCベース5内に含まれる内部蒸発器200、アキュミュレータ204、コンプレッサ206、コンデンサ208及び拡張バルブ210のような外部冷却構成部分を含む冷凍ループにより制御できる。
プラズマイオン密度の放射状分布は、プラズマ分布コントローラ57の制御のもとで、電流源58、59の別々に調節可能な直流電流で駆動される内部及び外部外側磁気コイル60、65により、調節される。
電極調整スタブ組合せ125、135のインピーダンス整合空間は、源電力発生器150の超高周波周波数において、又はその近くで、電極―プラズマ共鳴周波数を形成するために、電極125のリアクタンスがチャンバ100内のプラズマのリアクタンスに整合される場合、及び(その長さにより定義される)RF調整スタブ135の共鳴周波数が、この同じ周波数に、又はその近くにある場合、劇的に拡張される。その結果のインピーダンス整合空間の拡張は、リアクタの効率を、チャンバ内面のプラズマ及び電気的特性の不安定状態に対して実質的に鈍感にする。
RF発生器40a、40bの対は、RF電力を、各インピーダンス整合要素45a、45bを通じて、RF供給導体25に印加する。RF発生器150、40a、40bからの高周波電力出力レベルは、プロセスコントローラ300により独立に制御される。好ましくは、RF発生器40aは、HF周波数範囲内の又はイオンシースンエネルギーと同様にバルクイオン密度に寄与するために十分に高い、イオンシース遷移周波数より少し上の、RF出力を有し、例えば13.56MHzであってもよい。RF発生器40bは、好ましくは、低周波周波数範囲内の、又はイオンシース遷移周波数より少し下のRF出力を有し、例えば、2MHzであってもよい。RF発生器150は、好ましくは、イオンエネルギーへの寄与を無視できるほどの、又は全く寄与せずに、バルクイオン密度及びイオン解離の双方に寄与するために十分に高い超高周波周波数である。プロセスコントローラ300は、次のように、イオンエネルギー、イオン密度及びイオン解離を別々に調節する。イオンエネルギーは、イオン密度には最小の影響で、イオン解離には影響を与えず、低周波及び高周波発生器40b、40aの電力レベルの比を調節することにより調節される。イオン解離は、イオン密度に相関して、イオンエネルギーに少しの影響しか与えずに、又は全く影響を与えずに、高周波及び超高周波発生器40a、150の電力レベルの比を調節することにより調節される。これは、3つのパラメータ、イオンエネルギー、イオン密度、イオン解離の調節を別々の方法で許容する。しかしながら、これらの調節は、超高周波電力レベル(発生器40a)がイオンエネルギー及びイオン密度調節の双方に関わるので、完全には独立していない。
3つのパラメータ(エネルギー、密度、解離)を完全に独立して調節することは、制御可能な磁界をプラズマに導入することにより実現され、イオン密度に排他的に影響を与える。低周波、高周波及び超高周波電源40a、40b、150を共に伴うこの特徴は、密度及び解離が、互いに独立に、イオンエネルギーとは独立に調節されることを可能にする。この目的のために、磁界電流コントローラ505により制御される独立した電流入力501a、502a、503a、504aを有する、直交関係の磁気コイル510、502、503、504を含むように、図1のリアクタを変更できる。コントローラ505は、極端に低い周波数電流(例えば10Hz)を入力501a、502a、503a、504aに提供でき、これらは、相互に位相内で相殺できる。プラズマRF源電力(即ち、超高周波発生器150により印加される超高周波電力)の存在により、他のパラメータに重大には影響を与えずに(又はそれらに全く影響を与えずに)、磁気コイル501〜504への電流を増加又は減少することにより、プラズマイオン密度を増加又は減少できる。超高周波発生器150が、(例えば、100−300MHz又はそれ以上の)十分に高い周波数を有する場合、それは、イオン解離及びイオン密度の双方に影響を与える。従って、磁界のみを調節することにより実現される密度の個別の独立した調節で、これらを共に調節できる。
図4の実施例において、(イオン密度の独立した調節を提供するための)磁界の機能が、代わりに、(実線で示される超高周波発生器150’から)オーバーヘッド電極125へ、又は(破線で示される超高周波発生器40cから)ESC電極15へ、のいずれかに印加される第2超高周波周波数により実現される。この第2超高周波周波数は、第1超高周波150のそれより十分に低く(例えば300MHz以下)、その結果、主な効果はイオン密度上にあり、イオン解離への効果は極めて小さい、又はその効果はない(又は第1超高周波発生器のそれより、少なくとも効果は少ない)。例えば、第1超高周波発生器150の上部超高周波周波数は、約160MHzであってもよく、一方、第2発生器150’(又は40c)の低部超高周波周波数は、約60MHzであってもよい。第2超高周波発生器150’がこの目的のため使用される場合、それは、第1発生器150と同じインピーダンス整合スタブ135を通じて、しかし第2超周波数発生器150’の異なる周波数において、インピーダンス整合を起こす異なるタップポイント163’で結合される。図4に示されるタップ位置163、163’は、寸法及びそれらの位置通りに示されておらず、順番は、示されているものとは異なっていてもよい。第2超高周波周波数がESC電極15に印加される場合、第2超高周波発生器40cは、高周波供給ロッド25に、インピーダンス整合45cを通じて結合される。この場合、すべての3つのインピーダンス整合ユニット45a、45b、45cは、高周波供給導体25につながるそれらの出力を有する。
図4は、2つの超高周波周波数をオーバーヘッド電極125に共通インピーダンス整合要素135を通じて印加できる方法を示し、図5は2つの超高周波発生器が電極に異なるインピーダンス整合要素320、325を通じて結合する場合を示す。
図4及び5の実施例において、イオンエネルギー及びイオンエネルギー分布は低周波及び高周波発生器40a、40bの電力レベルを制御することにより調節され、一方、イオン密度及びイオン解離は2つの超高周波発生器150、150’(又は他の実施例において、2つの超高周波発生器150、40c)の出力電力レベルを制御することにより独立して制御される。
図6は、(即ち発生器150からの)解離を制御するRF電力を、オーバーヘッド電極125の上にある誘導的に結合された電力アプリケータ350(即ち、オーバーヘッドコイルアンテナ)に印加する方法を示す。これは、オーバーヘッド電極125が、コイルアンテナ350から誘導的に結合されたRF電力を妨げない型であることを必要とする。電極125を通じたRF電力の誘導結合を可能にするために、電極は、ケニース・コリンズ(Kennth Collins)の米国特許第6、444、084号に開示される、シリコンのようなドープされた半導体材料から形成された固体ディスク(図7)であってもよい。代わりに、電極125は、RF電力が電極125を通じて誘導的に接続されることを可能にするために、図8に示されるような、溝状構造を有することもできる。誘導コイルアンテナ350に印加されるRF周波数は、より低い周波数においても、誘導的に結合されたプラズマが比較的に高次の解離を示すために、必ずしも超高周波周波数を必要としない。図6の発生器150の周波数は、高周波周波数又は更に低周波周波数であってもよく、更に解離への重大な影響を有する。代わりとして、オーバーヘッド電極に結合された超高周波発生器150’を、インピーダンス整合45cを通じて、ESCRF供給導体25に結合された(同じ周波数の)超高周波発生器40cに置き換えできる。図6の実施例において、イオンエネルギー及びイオンエネルギー分布は、低周波及び高周波発生器40a、40bの電力レベルを制御することにより調節され、一方、イオン密度及びイオン解離は、2つの発生器150、150’(又は、代わりの実施例において、2つの超高周波発生器150、40c)の出力電力レベルを制御することにより独立に制御される。
図9は、コイルアンテナ350が、電極125とウエハ110間のプロセス領域に通じるトロイダルプラズマ電流経路を形成する外部再入可能中空導管410を取り囲むトロイダルRF電力アプリケータ420に取り換えられている一実施例を示す。外部再入中空導管410及びトロイダル高周波電力アプリケータ420は、トロイダルプラズマ源を構成する。RF発生器150は、インピーダンス整合要素325を通じて、トロイダル高周波電力アプリケータ420に結合される。トロイダルRF電力アプリケータ420は、磁気又は磁化可能な材料(例えば磁気コア)から形成されるリング422、及びリングの周りに巻きつけられ、RFインピーダンス整合325により駆動される伝導巻き部424から成る。破線で示されるように、第2トロイダルRF電力アプリケータ420’を伴う第2(同じ)中空再入可能な導管410’を備えることができ、それは、第1導管420の横にある。導管410は、ウエハ110と電極125間のプロセス領域の反対側に位置する筐体内のポートに通じる導管の各末端部で、チャンバ100にアクセスする。この構成は、トロイダルプラズマ電流をウエハ110の全直径に渡って流動させる。トロイダルプラズマ電流は、RF電力源150の周波数で振動する。図9の実施例において、イオンエネルギー及びイオンエネルギー分布が低周波及び高周波発生器40a、40bの電力レベルを制御することにより調節され、一方、イオン密度及びイオン解離は2つの発生器150、150’の出力電力レベルを制御することにより独立に制御される。
図10Aは、プラズマリアクタ内の周波数を関数とした、イオンエネルギーに寄与する電力と、イオン密度に寄与する高周波電力を比較するグラフである。グラフは、3つの主な周波数範囲があることを示す。0〜約13MHzでは、ほぼすべてのRF電力は、プラズマイオンエネルギーに寄与する。約13MHz〜約65MHzでは、電力は、イオンエネルギーへの寄与とイオン密度への寄与間で分割される。約65MHz以上では、ほぼすべてのRF電力は、イオン密度に寄与する。13MHzにおける及び僅かにそれ以下でのイオンエネルギーへの寄与は、較差RF電圧において中心に位置する狭いイオンエネルギー分布を創出する。このイオンエネルギー分布は、RF周波数がイオン遷移周波数に、又はそれ以下に減少する時、幅広くなり、最高周波数において、シース内のイオンは、RF振動に従ってもよい。イオンエネルギー分布は、2MHzの低い周波数において最大幅を有する。これらの効果は、単一RFバイアス源に対して、異なるRFバイアス電力周波数において得られるイオンエネルギー分布を比較するグラフである図10Bに示される。図10Bは、最も幅広いイオンエネルギー分布が、最も低い周波数(2MHz)において得られ、最も幅の狭い分布が、最も高い周波数(13MHz)において得られることを示す。二重の周波数バイアス源を用いて、2つの周波数の異なる電力比に対して得られたイオンエネルギー分布を比較するグラフである図10Cに示されるように、高及び低周波数の双方を混合することにより、エネルギー分布を高エネルギー側へ逸らすことができる。高い個数ピークが、中間又は高周波数(例えば13MHz)源の較差電圧に相当するイオンエネルギーにおいて存在する。第2に高い個数ピークは、より低い周波数(例えば、2MHz)電力に相当する。本発明の好ましい実施例において、低エネルギーイオン個数に相対する高エネルギーイオン個数を増加又は減少させるために、低周波数(例えば、2MHz)電力と中間又は高周波数(例えば、13MHz)電源の電力レベル間の比を調節することにより、イオンエネルギー分布が調節される。図10Cの比較は、低周波数(例えば、2MHz)RF電力がより高いエネルギーにおいてイオン個数により寄与し、高周波数(例えば、13MHz)RF電力では反対のことが真であることを示す。従って、イオンエネルギー分布の幅及びその高周波数量は、高周波数電力に対する低周波数電力の比を増加することにより強調される。更に、図10は、高周波(例えば、13MHz)電力がゼロに近づく時、主ピークはより高い周波数へシフトすることを示す。従って、イオンエネルギー分布は、低周波数と高周波数電力レベル間の比を調節することにより選択される。
解離及びイオン密度の制御は、解離及び密度への異なる効果を有する2つの異なる周波数を有する各電源の電力レベルを調節することにより提供される。より高い高周波又はより低い超高周波周波数は、イオン密度に大きく寄与し、しかしイオン解離には極めて少ししか寄与しない。これは、周波数を関数とした、イオン解離及びイオン密度の振る舞いを比較するグラフである図11A及び11B内に示される。より低い範囲(図11Bのf遷移まで)の周波数は、大きくイオン密度(図11B)に影響を与え、しかしイオン解離(図11A)には少しの影響しか与えない。2つの範囲に境界を与える周波数(「f遷移」)は、一般にその値が様々なプロセスパラメータに依存する超高周波周波数であるが、しかし約100MHzのオーダーであってもよい。
図12は、イオン解離を関数とした、フッ化炭素ガスを含むプラズマ内の平均のフッ化炭素分子量を示すグラフである。これは解離を定量化する一つの方法を示し、それは、プラズマ内のあるクラスの分子(例えば、フッ化炭素分子)の平均の分子量である。分子量が低ければ、解離の程度が大きくなる。この概念は、低イオン解離及び高イオン解離におけるプラズマ内の分子量分布を比較するグラフである図13により示される光学放射スペクトラ(OES)データの結果を反映する。より低い解離において、個数ピークはより複雑な分子に一致し、一方、より高い解離においてその逆は真である。図11A及び11Bの結果を2つの電源周波数システムに適用して、より高い及びより低い周波数源電力発生器(双方とも超高周波発生器であってもよい)の電力レベル間の比を制御することにより、プラズマの解離及び密度を別々に制御できる。これは、2つの異なる電源電力周波数間の電力比を関数としたイオン解離の振る舞いを示すグラフである図14に示される。図1の3周波数アプローチ又は図2〜5の4周波数アプローチを使用して、3次元制御空間が、イオンエネルギー、イオン密度及びイオン解離を示す3つの独立した(即ち、直交する)軸に沿って実現される。図15は、バルクプラズマ内のイオン解離、バルクプラズマ内のイオン密度及びプラズマシース内のイオンエネルギーが、制御空間を定義する3つの独立した(直交した)次元である3次元制御空間を示す。
好ましくは、次に、イオン密度及びイオン解離を制御する図1の2つの発生器40a、150の周波数は、f遷移の各側にある。又は、これらが同じ側にある場合、これらは十分に互いに異なり、その結果、それらの1つは、他よりもイオン解離に影響を与える。主にイオン密度に影響を与える1つは低部の超高周波周波数であり、イオン解離及び密度に影響を与える1つは上部の超高周波周波数である。これらの上部及び低部超高周波周波数は、「f遷移」の上及び下に(それぞれ)位置してもよく、又は位置することができない。結果として、2つの周波数を別々に制御することにより、イオン密度及びイオン解離の異なる値を、少なくともほぼ独立に選択できる。同じことが、図4及び5の発生器150、150’の2つの周波数について真である。2つの周波数は、一方が他方よりもイオン解離に影響を与えるように、十分に互いに差異がなければならない。そして、2つの周波数が、図11A及び11Bのf遷移の反対側にあることが好ましい(必ずしも必要ではない)。
図16は、図1のリアクタのような、低周波、高周波及び超高周波周波数源から成る3つのRF源を有するプラズマリアクタ内の異なる周波数の3つのRF電力源を使用した、イオンエネルギー、イオン密度及びイオン解離を別々に制御するための第1の方法を示す。この方法は、超高周波電力、高周波電力及び低周波電力をプラズマに同時に適用することに依存している(図16のブロック360)。イオンエネルギー量は、(イオンエネルギー分布の幅を広げる、又は分布ピークの平均のエネルギーを移動する、いずれか1つのために、又は双方のために)、イオン密度に相関して(例えば、所望によりイオン密度を一定に保ってもよい)、離散的又は連続的範囲に相当する比の連続的範囲、又は2つの発生器のそれぞれが調節又は設定され得る(例えば、図1の高周波及び低周波発生器40a、40b)電力レベルの連続体内で、高周波電源と低周波電源間の電力比を選択することにより(図16のブロック361)、調節される。イオン解離は、イオン密度に相関して、超高周波電源―例えば図1の発生器150―と高周波電源―例えば図1の発生器40b―との間の電力比を選択することにより調節される(ブロック362)。例えば、解離に相関して、高周波電力レベルのみを調節することにより、イオン密度を調節できる。又は、一方で解離を調節するために超高周波電力レベルを調節することにより一定の密度を保ち、一方で高周波電力レベルの相殺変化をすることによりイオン密度を一定レベルに保ち、解離を調節できる。この工程(ブロック362)を、イオンエネルギーレベル又は広がりの選択を制限しないようにするために、ブロック361の工程の前に、又はその工程とともに実行できる。低周波、高周波及び超高周波電源の電力レベルは、前述の選択に従って設定される(ブロック363)。
図17は、図4、5、6、又は9に示される型のプラズマリアクタ内の異なる周波数の4つのRF電源を使用した、イオンエネルギー分布、イオン密度及びイオン解離を独立に制御するための第2の方法を示す。図17の次の記載は、密度及び解離の独立制御のための上部及び低部の超高周波周波数に言及するが、図6又は図9内の解離制御を提供する周波数は、必ずしも上部の超高周波周波数ではなく、代わりに、誘導電力アプリケータを駆動する(図6)、又はトロイダル電力アプリケータを駆動する(図9)、高周波又は低周波周波数であってもよいと理解されるべきである。
図17において、4つの周波数、特に(図4及び5の場合において)低周波周波数、高周波周波数、低部超高周波周波数及び上部超高周波周波数が同時にプラズマに印加される(ブロック364)。イオンエネルギー量は、(イオンエネルギー分布を幅広くする、又は分布ピークの平均のエネルギーを移動するかのどちらか一方のために、又は双方のために)、高周波電源と低周波電源との間の適切な電力比を、連続範囲又はそのような比の連続体から選択することにより(図17のブロック365)、調節される。イオン解離は、イオン密度に相関して、低部超高周波電源と上部超高周波電源間の電力比を、そのような比の範囲又は連続体から選択することにより(ブロック366)、調節される。低周波、高周波、低部超高周波及び上部超高周波電源の電力レベルは、次に、前述の選択に従って設定される(ブロック367)。
図18は、磁界強度を関数とした、イオン密度及びイオン解離の同時的振る舞い示す3次元グラフである。図18に示されるように、図2のコイル501、502、503、504により生成される磁界の強度を変えることは、プラズマイオン密度のみを変え、イオン解離には認められる程には影響を与えない。図19は、超高周波源電力レベルを関数とした、イオン密度及びイオン解離の同時的振る舞いを示す3次元グラフである。図19は、超高周波電力の変化が、イオン密度及びイオン解離の双方に影響を与えることを示す。従って、超高周波電力及び磁界強度の双方を調節することにより、イオン密度及びイオン解離を、独立に選択されたレベルに設定できる。
図20は、図2のリアクタのような、RFバイアス電源、超高周波電源及び磁界源の対を有する型のリアクタ内のイオンエネルギー分布、イオン密度及びイオン解離を独立に制御する方法を示す。この方法は、磁界、超高周波電力、高周波電力及び低周波電力をプラズマに同時に適用することに依存する(図20のブロック368)。イオンエネルギー量は、(イオンエネルギー分布の幅を広くする、又は分布ピークの平均のエネルギーを移動する、いずれか1つのために、又は双方のために)、高周波電源と低周波電源間の電力比を選択することにより(ブロック369)、調節される。イオン解離は、イオン密度に相関して、超高周波電源に対する電力レベルを選択し、図2の磁界コントローラ505より供給される磁界強度を選択することにより調節される(ブロック370)。低周波、高周波、超高周波電源の電力レベル及びコントローラ504の磁界強度は、次に、前述の選択に従って設定される(ブロック371)。
本発明は、好ましい実施例の特に参照することにより、詳細に記載されたが、本発明の真の精神及び範囲から逸脱することなく、それらの変更及び改造が可能であると理解されるべきである。
イオン密度、イオンエネルギー分布及びイオン解離が、低周波及び高周波二重バイアス電力レベル並びに超高周波源電力レベルを別々に調節することにより独立に制御されるプラズマ共鳴オーバーヘッド電極を伴う容量的に結合されたプラズマリアクタを示す図である。 イオンエネルギー分布が二重低周波及び高周波バイアス電力源を調節することにより調節され、一方、イオン密度及びイオン解離が超高周波源電力レベル及び磁界強度を調節することにより調節される図1の実施例を示す図である。 図2に相当する上面図である。 イオンエネルギー分布が、二重低周波及び高周波バイアス電力源を調節することにより調節され、一方、イオン密度及びイオン解離が共通の固定されたインピーダンス整合要素を通じて供給される上部周波数及び低部周波数超高周波源電力レベルを調節することにより調節される図1の実施例を示す図である。 イオンエネルギー分布が二重低周波及び高周波バイアス電力源を調節することにより調節され、一方、イオン密度及びイオン解離が別々の固定されたインピーダンス整合要素を通じて上部周波数及び低部周波数超高周波源電力レベルを調節することにより調節されるリアクタを示す図である。 イオンエネルギー分布が二重低周波及び高周波バイアス電力源を調節することにより調節され、一方、イオン密度及びイオン解離が、オーバーヘッド電極に印加される超高周波源電力レベル及び誘導RF電力アプリケータ又はコイルアンテナに印加されるRF源電力レベルを調節することにより調節されるリアクタを示す図である。 半導体電極を通じてRF電源を誘導的に結合できる半導体電極としてのオーバーヘッド電極の第1の実施例を示す図である。 溝状電極を通じて高周波電源を誘導的に結合できる溝状電極としての図6のリアクタのオーバーヘッド電極の第2の実施例を示す図である。 イオンエネルギー分布がウエハにおいて二重の低周波及び高周波バイアス電源を制御することにより調節され、一方、イオン密度及びイオン解離がオーバーヘッド電極に印加された超高周波源電力レベル及びトロイダルプラズマ源の再入可能導管を通じて結合されたRF源電力レベルを制御することにより調節されるトロイダルプラズマ源を有するリアクタを示す図である。 周波数を関数としたイオンエネルギーに寄与する電力とイオン密度に寄与する高周波電力を比較するグラフである。 単一RFバイアス源に対する異なるRFバイアス電力周波数において得られたイオンエネルギー分布を比較するグラフである。 2つの周波数の異なる電力比に対して二重周波数バイアス源を用いて得られたイオンエネルギー分布を比較するグラフである。 周波数を関数としたイオン解離及びイオン密度の振る舞いを比較するグラフである。 イオン解離を関数としたフッ化炭素ガスを含むプラズマ内の平均のフッ化炭素分子量を示すグラフである。 低イオン解離及び高イオン解離におけるプラズマ内の分子量分布を比較するグラフである。 2つの異なる源電力周波数間の電力比を関数としたイオン解離の振る舞いを示すグラフである。 イオン解離、イオン密度及びイオンエネルギーが、空間を定義する3つの独立した(直交する)制御次元である3次元制御空間を示す図である。 異なる周波数の3つのRF電力源を使用して、イオンエネルギー分布、イオン密度及びイオン解離を独立に制御するための第1の方法を示す図である。 異なる周波数の4つのRF電力源を使用して、イオンエネルギー分布、イオン密度及びイオン解離を独立に制御するための第2の方法を示す図である。 磁界強度を関数としたイオン密度及びイオン解離の同時的な振る舞いを示す3次元グラフである。 超高周波源電力レベルを関数としたイオン密度及びイオン解離の同時的な振る舞いを示す3次元グラフである。 RFバイアス電力源の対、超高周波電源及び磁界を使用して、イオンエネルギー分布、イオン密度及びイオン解離を独立に制御する方法を示す図である。

Claims (20)

  1. プラズマリアクタ内でワークピースを処理する方法であって、
    3つの各周波数の少なくとも3つのRF電源からのRF電力を前記リアクタ内のプラズマに結合し、
    前記少なくとも3つのRF電源の第1対の電力レベル間の比を選択することによりイオンエネルギー分布形状を設定し、
    前記少なくとも3つのRF電源の第2対の電力レベル間の比を選択することによりイオン解離及びイオン密度を設定することを含む方法。
  2. 前記少なくとも3つの各周波数が低周波周波数、高周波周波数及び超高周波周波数を含み、前記第1対が前記低周波及び高周波周波数に相当し、前記第2対が前記高周波及び超高周波周波数に相当する請求項1記載の方法。
  3. 前記電源が4つのRF電源を含み、前記第1対が高周波周波数及び低周波周波数に相当し、前記第2対が超高周波周波数及び他の周波数に相当する請求項1記載の方法。
  4. 前記第2対が上部超高周波周波数及び低部超高周波周波数に相当する請求項3記載の方法。
  5. RF電力を結合する工程が前記他の周波数を誘導源電力アプリケータを通じて結合することを含む請求項3記載の方法。
  6. RF電力を結合する工程が前記他の周波数をトロイダルプラズマ源電力アプリケータを通じて結合することを含む請求項3記載の方法。
  7. RF電力を結合する工程が前記少なくとも3つの周波数を前記リアクタのウエハ支持ぺデスタルを通じて結合することを含む請求項1記載の方法。
  8. RF電力を結合する工程が前記低周波及び高周波周波数の電力をウエハ支持ペデスタルを通じて結合し、前記超高周波周波数の電力をリアクタのシーリングを通じて結合することを含む請求項2記載の方法。
  9. 前記超高周波周波数の電力を結合する工程が前記超高周波周波数の電力をシーリング電極に印加することを含む請求項8の方法。
  10. 前記第1対がイオン遷移周波数未満である第1周波数及び前記イオン遷移周波数超過である第2周波数を含む請求項1記載の方法。
  11. 前記イオンエネルギー分布を設定する工程がイオンエネルギー分布の1対のピーク間のエネルギー差を調節することを含む請求項10記載の方法。
  12. 前記イオンエネルギー分布を設定する工程がイオンエネルギー分布の1対のピークのうちの他方に相対する一方の近くのイオン個数を調節することを含む請求項10記載の方法。
  13. 前記イオンエネルギー分布を設定する工程がイオンエネルギー分布の1対のピークのうちの1つのエネルギーを調節することを含む請求項10記載の方法。
  14. プラズマリアクタ内でワークピースを処理する方法であって、
    3つの各周波数の3つのRF電源からのRF電力及び磁界を前記リアクタ内のプラズマに結合し、
    前記少なくとも3つのRF電源の第1対の電力レベル間の比を選択することによりイオンエネルギー分布形状を設定し、
    前記3つのRF電源の残りの1つの電力レベルと前記磁界の強度間の比を選択することによりイオン解離及びイオン密度を設定することを含む方法。
  15. 前記少なくとも3つの各周波数が低周波周波数、高周波周波数及び超高周波周波数を含み、前記第1対が前記低周波及び高周波周波数に相当し、前記残りのRF電源が超高周波周波数に相当する請求項14記載の方法。
  16. 前記磁界が低周波磁界である請求項14記載の方法。
  17. RF電力を結合する工程が前記残りのRF源電力アプリケータを誘導的に結合された源電力アプリケータに結合することを含む請求項14記載の方法。
  18. RF電力を結合する工程が前記残りのRF電源をトロイダルプラズマ源電力アプリケータに結合することを含む請求項14記載の方法。
  19. RF電力を結合する工程が前記3つの周波数を前記リアクタのウエハ支持ペデスタルを通じて結合することを含む請求項14記載の方法。
  20. RF電力を結合する工程が低周波及び高周波周波数をウエハ支持ペデスタルを通じで結合し、超高周波周波数をリアクタのシーリングを通じて結合することを含む請求項14記載の方法。
JP2006281701A 2005-10-18 2006-10-16 プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御 Pending JP2007157696A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US72817805P 2005-10-18 2005-10-18

Publications (2)

Publication Number Publication Date
JP2007157696A true JP2007157696A (ja) 2007-06-21
JP2007157696A5 JP2007157696A5 (ja) 2010-12-16

Family

ID=38059670

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006281701A Pending JP2007157696A (ja) 2005-10-18 2006-10-16 プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御

Country Status (5)

Country Link
US (2) US7695633B2 (ja)
JP (1) JP2007157696A (ja)
KR (1) KR101322361B1 (ja)
CN (1) CN1953635A (ja)
TW (1) TWI376731B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007317661A (ja) * 2006-05-22 2007-12-06 New Power Plasma Co Ltd プラズマ反応器
JP2012069921A (ja) * 2010-08-23 2012-04-05 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2012104382A (ja) * 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
JP2013519192A (ja) * 2010-01-29 2013-05-23 アプライド マテリアルズ インコーポレイテッド プラズマ処理装置のフィードフォワード温度制御
JP2015506055A (ja) * 2011-11-23 2015-02-26 ラム リサーチ コーポレーションLam Research Corporation 対称的なrf供給のための周囲rfフィードおよび対称rfリターン
WO2015137094A1 (ja) * 2014-03-11 2015-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2015216384A (ja) * 2010-08-23 2015-12-03 東京エレクトロン株式会社 プラズマエッチング方法
US9214315B2 (en) 2010-01-29 2015-12-15 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
JP2017157627A (ja) * 2016-02-29 2017-09-07 東京エレクトロン株式会社 プラズマ処理装置及びプリコート処理方法
KR20180082626A (ko) * 2015-12-07 2018-07-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
JP2018528574A (ja) * 2015-07-13 2018-09-27 エムケーエス インストゥルメンツ,インコーポレイテッド 連続的およびパルスモード動作のための一体化されたrf電力供給単一入力複数出力制御
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2022138130A1 (ja) * 2020-12-21 2022-06-30 東京エレクトロン株式会社 プラズマ処理装置

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
KR20080044657A (ko) * 2006-11-17 2008-05-21 삼성전자주식회사 플라즈마 식각장치
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
KR20080111624A (ko) * 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 식각장치 및 이를 이용한 챔버 세정방법
US8734718B2 (en) * 2007-08-17 2014-05-27 The Invention Science Fund I, Llc Systems, devices, and methods including catheters having an actively controllable therapeutic agent delivery component
US8575843B2 (en) 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US9288886B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
KR20100007518A (ko) * 2008-07-14 2010-01-22 삼성전자주식회사 증착 장치 및 이를 이용한 박막 증착 방법
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US7883682B2 (en) * 2009-02-20 2011-02-08 Conocophillips Company Carbon dioxide rich off-gas from a two stage gasification process
TWI556309B (zh) * 2009-06-19 2016-11-01 半導體能源研究所股份有限公司 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
EP2552340A4 (en) 2010-03-31 2015-10-14 Univ Colorado State Res Found PLASMA DEVICE WITH LIQUID GAS INTERFACE
CA2794895A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US20120255678A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
US8980046B2 (en) 2011-04-11 2015-03-17 Lam Research Corporation Semiconductor processing system with source for decoupled ion and radical control
TWI593041B (zh) * 2011-04-11 2017-07-21 蘭姆研究公司 半導體基板處理系統與方法
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US20120258555A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode and Systems Implementing the Same
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US9412563B2 (en) * 2013-09-13 2016-08-09 Applied Materials, Inc. Spatially discrete multi-loop RF-driven plasma source having plural independent zones
US20150075717A1 (en) * 2013-09-13 2015-03-19 Applied Materials, Inc. Inductively coupled spatially discrete multi-loop rf-driven plasma source
US10026592B2 (en) 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing
US10395896B2 (en) 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07297175A (ja) * 1994-04-20 1995-11-10 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JPH09120956A (ja) * 1995-06-07 1997-05-06 Varian Assoc Inc 物質処理用容量結合式二重周波数プラズマリアクタ
JPH10261498A (ja) * 1996-03-01 1998-09-29 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JP2000173993A (ja) * 1998-12-02 2000-06-23 Tokyo Electron Ltd プラズマ処理装置およびエッチング方法
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US20050090118A1 (en) * 2003-10-28 2005-04-28 Applied Materials, Inc. Plasma control using dual cathode frequency mixing

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
DE3733135C1 (de) 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5368685A (en) 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
SI9300468A (en) * 1992-10-14 1994-06-30 Hoffmann La Roche Injectable composition for the sustained release of biologically active compounds
US6225744B1 (en) 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JP3119172B2 (ja) 1995-09-13 2000-12-18 日新電機株式会社 プラズマcvd法及び装置
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5817534A (en) 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6033585A (en) 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
JP3598717B2 (ja) 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
JP4147608B2 (ja) 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US5985375A (en) 1998-09-03 1999-11-16 Micron Technology, Inc. Method for pulsed-plasma enhanced vapor deposition
US6642149B2 (en) 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US20010017109A1 (en) * 1998-12-01 2001-08-30 Wei Liu Enhanced plasma mode and system for plasma immersion ion implantation
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6388382B1 (en) 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
US6656273B1 (en) 1999-06-16 2003-12-02 Tokyo Electron Limited Film forming method and film forming system
JP3709552B2 (ja) 1999-09-03 2005-10-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6193855B1 (en) 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
US6462482B1 (en) 1999-12-02 2002-10-08 Anelva Corporation Plasma processing system for sputter deposition applications
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6641661B1 (en) 2000-05-26 2003-11-04 W. R. Grace & Co.-Conn. High early strength cement and additives and methods for making the same
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6468388B1 (en) 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6716303B1 (en) 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10131284A1 (de) 2001-06-28 2003-01-09 Krupp Berco Bautechnik Gmbh Vorrichtung zur Umwandlung von Meßsignalen, die durch Schlagvorgänge eines hydraulischen Schlagaggregats in einem Piezo-Sensor ausgelöst werden, in Digital-Signale
US6893971B2 (en) 2001-07-19 2005-05-17 Matsushita Electric Industrial Co., Ltd. Dry etching method and apparatus
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
US6642661B2 (en) 2001-08-28 2003-11-04 Tokyo Electron Limited Method to affect spatial distribution of harmonic generation in a capacitive discharge reactor
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
JP4431402B2 (ja) 2002-04-08 2010-03-17 東京エレクトロン株式会社 プラズマエッチング方法
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
WO2004040629A1 (ja) 2002-10-29 2004-05-13 Mitsubishi Heavy Industries, Ltd. プラズマ化学蒸着装置における高周波プラズマの大面積均一化方法及び装置
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US20050051273A1 (en) 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
US7264686B2 (en) * 2003-12-12 2007-09-04 Kimberly-Clark Worldwide, Inc. Method of forming a waist band on an absorbent article
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
JP4280686B2 (ja) 2004-06-30 2009-06-17 キヤノン株式会社 処理方法
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060027329A1 (en) 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
DE202006004407U1 (de) * 2006-03-17 2007-07-19 Mann+Hummel Gmbh Anschluss für ein rohrförmiges Luftführungselement an einem Turbolader
US20070245960A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246443A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246162A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246161A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245958A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070245961A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07297175A (ja) * 1994-04-20 1995-11-10 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JPH09120956A (ja) * 1995-06-07 1997-05-06 Varian Assoc Inc 物質処理用容量結合式二重周波数プラズマリアクタ
JPH10261498A (ja) * 1996-03-01 1998-09-29 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JP2000173993A (ja) * 1998-12-02 2000-06-23 Tokyo Electron Ltd プラズマ処理装置およびエッチング方法
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20050090118A1 (en) * 2003-10-28 2005-04-28 Applied Materials, Inc. Plasma control using dual cathode frequency mixing

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007317661A (ja) * 2006-05-22 2007-12-06 New Power Plasma Co Ltd プラズマ反応器
JP2013519192A (ja) * 2010-01-29 2013-05-23 アプライド マテリアルズ インコーポレイテッド プラズマ処理装置のフィードフォワード温度制御
US9214315B2 (en) 2010-01-29 2015-12-15 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
JP2012069921A (ja) * 2010-08-23 2012-04-05 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2015216384A (ja) * 2010-08-23 2015-12-03 東京エレクトロン株式会社 プラズマエッチング方法
JP2012104382A (ja) * 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US10928145B2 (en) 2011-10-27 2021-02-23 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US11127571B2 (en) 2011-11-22 2021-09-21 Lam Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
JP2015506055A (ja) * 2011-11-23 2015-02-26 ラム リサーチ コーポレーションLam Research Corporation 対称的なrf供給のための周囲rfフィードおよび対称rfリターン
JP2015173182A (ja) * 2014-03-11 2015-10-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI666677B (zh) * 2014-03-11 2019-07-21 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
WO2015137094A1 (ja) * 2014-03-11 2015-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2018528574A (ja) * 2015-07-13 2018-09-27 エムケーエス インストゥルメンツ,インコーポレイテッド 連続的およびパルスモード動作のための一体化されたrf電力供給単一入力複数出力制御
JP2019504481A (ja) * 2015-12-07 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャックを使用した基板の固定と開放のための方法及び装置
KR20180082626A (ko) * 2015-12-07 2018-07-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
JP2022084630A (ja) * 2015-12-07 2022-06-07 アプライド マテリアルズ インコーポレイテッド 静電チャックを使用した基板の固定と開放のための方法及び装置
JP7279222B2 (ja) 2015-12-07 2023-05-22 アプライド マテリアルズ インコーポレイテッド 静電チャックを使用した基板の固定と開放のための方法及び装置
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US10910200B2 (en) 2016-02-29 2021-02-02 Tokyo Electron Limited Plasma processing apparatus and precoating method
JP2017157627A (ja) * 2016-02-29 2017-09-07 東京エレクトロン株式会社 プラズマ処理装置及びプリコート処理方法
WO2022138130A1 (ja) * 2020-12-21 2022-06-30 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
KR20070042460A (ko) 2007-04-23
US20070087455A1 (en) 2007-04-19
US20070084563A1 (en) 2007-04-19
US7695633B2 (en) 2010-04-13
TWI376731B (en) 2012-11-11
KR101322361B1 (ko) 2013-11-05
CN1953635A (zh) 2007-04-25
US7695983B2 (en) 2010-04-13
TW200717621A (en) 2007-05-01

Similar Documents

Publication Publication Date Title
JP2007157696A (ja) プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御
JP5165821B2 (ja) 誘導結合型プラズマ発生システム用の複数コイル・アンテナ
US6685798B1 (en) Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) Plasma reactor having a symmetric parallel conductor coil antenna
JP4769586B2 (ja) プラズマ反応器及びプラズマイオン濃度分布の均一性を改善する方法
CN102421238B (zh) 等离子体处理装置
US8933628B2 (en) Inductively coupled plasma source with phase control
US6694915B1 (en) Plasma reactor having a symmetrical parallel conductor coil antenna
TWI621376B (zh) Plasma processing device (2)
US6462481B1 (en) Plasma reactor having a symmetric parallel conductor coil antenna
JP5800547B2 (ja) プラズマ処理装置及びプラズマ処理方法
US8299391B2 (en) Field enhanced inductively coupled plasma (Fe-ICP) reactor
US6409933B1 (en) Plasma reactor having a symmetric parallel conductor coil antenna
US20110097901A1 (en) Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP5160717B2 (ja) 対称的な並列導体のコイルアンテナを有するプラズマリアクタ
US9779953B2 (en) Electromagnetic dipole for plasma density tuning in a substrate processing chamber
US8956500B2 (en) Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor
KR20240053462A (ko) 무선 편향 전극을 이용한 플라즈마 발생 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091015

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091015

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111011

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120110

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120113

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120211

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120216

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120605