JP2007157696A5 - - Google Patents

Download PDF

Info

Publication number
JP2007157696A5
JP2007157696A5 JP2006281701A JP2006281701A JP2007157696A5 JP 2007157696 A5 JP2007157696 A5 JP 2007157696A5 JP 2006281701 A JP2006281701 A JP 2006281701A JP 2006281701 A JP2006281701 A JP 2006281701A JP 2007157696 A5 JP2007157696 A5 JP 2007157696A5
Authority
JP
Japan
Prior art keywords
power
frequency
coupling
frequencies
pair
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006281701A
Other languages
English (en)
Other versions
JP2007157696A (ja
Filing date
Publication date
Application filed filed Critical
Publication of JP2007157696A publication Critical patent/JP2007157696A/ja
Publication of JP2007157696A5 publication Critical patent/JP2007157696A5/ja
Pending legal-status Critical Current

Links

Claims (20)

  1. プラズマリアクタ内でワークピースを処理する方法であって、
    3つの各周波数の少なくとも3つのRF電源からのRF電力を前記リアクタ内のプラズマに結合し、
    前記少なくとも3つのRF電源の第1対の電力レベル間の比を選択することによりイオンエネルギー分布形状を設定し、
    前記少なくとも3つのRF電源の第2対の電力レベル間の比を選択することによりイオン解離及びイオン密度を設定することを含む方法。
  2. 前記少なくとも3つの各周波数が低周波周波数、高周波周波数及び超高周波周波数を含み、前記第1対が前記低周波及び高周波周波数に相当し、前記第2対が前記高周波及び超高周波周波数に相当する請求項1記載の方法。
  3. 前記電源が4つのRF電源を含み、前記第1対が高周波周波数及び低周波周波数に相当し、前記第2対が超高周波周波数及び他の周波数に相当する請求項1記載の方法。
  4. 前記第2対が上部超高周波周波数及び低部超高周波周波数に相当する請求項3記載の方法。
  5. RF電力を結合する工程が前記他の周波数を誘導源電力アプリケータを通じて結合することを含む請求項3記載の方法。
  6. RF電力を結合する工程が前記他の周波数をトロイダルプラズマ源電力アプリケータを通じて結合することを含む請求項3記載の方法。
  7. RF電力を結合する工程が前記少なくとも3つの周波数を前記リアクタのウエハ支持ぺデスタルを通じて結合することを含む請求項1記載の方法。
  8. RF電力を結合する工程が前記低周波及び高周波周波数の電力をウエハ支持ペデスタルを通じて結合し、前記超高周波周波数の電力をリアクタのシーリングを通じて結合することを含む請求項2記載の方法。
  9. 前記超高周波周波数の電力を結合する工程が前記超高周波周波数の電力をシーリング電極に印加することを含む請求項8の方法。
  10. 前記第1対がイオン遷移周波数未満である第1周波数及び前記イオン遷移周波数超過である第2周波数を含む請求項1記載の方法。
  11. 前記イオンエネルギー分布を設定する工程がイオンエネルギー分布の1対のピーク間のエネルギー差を調節することを含む請求項10記載の方法。
  12. 前記イオンエネルギー分布を設定する工程がイオンエネルギー分布の1対のピークのうちの他方に相対する一方の近くのイオン個数を調節することを含む請求項10記載の方法。
  13. 前記イオンエネルギー分布を設定する工程がイオンエネルギー分布の1対のピークのうちの1つのエネルギーを調節することを含む請求項10記載の方法。
  14. プラズマリアクタ内でワークピースを処理する方法であって、
    少なくとも3つの各周波数の3つのRF電源からのRF電力及び磁界を前記リアクタ内のプラズマに結合し、
    前記少なくとも3つのRF電源の第1対の電力レベル間の比を選択することによりイオンエネルギー分布形状を設定し、
    前記3つのRF電源の残りの1つの電力レベルと前記磁界の強度間の比を選択することによりイオン解離及びイオン密度を設定することを含む方法。
  15. 前記少なくとも3つの各周波数が低周波周波数、高周波周波数及び超高周波周波数を含み、前記第1対が前記低周波及び高周波周波数に相当し、前記残りのRF電源が超高周波周波数に相当する請求項14記載の方法。
  16. 前記磁界が低周波磁界である請求項14記載の方法。
  17. RF電力を結合する工程が前記残りのRF電源を誘導的に結合された源電力アプリケータに結合することを含む請求項14記載の方法。
  18. RF電力を結合する工程が前記残りのRF電源をトロイダルプラズマ源電力アプリケータに結合することを含む請求項14記載の方法。
  19. RF電力を結合する工程が前記3つの周波数を前記リアクタのウエハ支持ペデスタルを通じて結合することを含む請求項14記載の方法。
  20. RF電力を結合する工程が低周波及び高周波周波数をウエハ支持ペデスタルを通じで結合し、超高周波周波数をリアクタのシーリングを通じて結合することを含む請求項14記載の方法。


JP2006281701A 2005-10-18 2006-10-16 プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御 Pending JP2007157696A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US72817805P 2005-10-18 2005-10-18

Publications (2)

Publication Number Publication Date
JP2007157696A JP2007157696A (ja) 2007-06-21
JP2007157696A5 true JP2007157696A5 (ja) 2010-12-16

Family

ID=38059670

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006281701A Pending JP2007157696A (ja) 2005-10-18 2006-10-16 プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御

Country Status (5)

Country Link
US (2) US7695633B2 (ja)
JP (1) JP2007157696A (ja)
KR (1) KR101322361B1 (ja)
CN (1) CN1953635A (ja)
TW (1) TWI376731B (ja)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
JP4904202B2 (ja) * 2006-05-22 2012-03-28 ジーイーエヌ カンパニー リミッテッド プラズマ反応器
KR20080044657A (ko) * 2006-11-17 2008-05-21 삼성전자주식회사 플라즈마 식각장치
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
KR20080111624A (ko) * 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 식각장치 및 이를 이용한 챔버 세정방법
US8734718B2 (en) * 2007-08-17 2014-05-27 The Invention Science Fund I, Llc Systems, devices, and methods including catheters having an actively controllable therapeutic agent delivery component
US8575843B2 (en) 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US9288886B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
KR20100007518A (ko) * 2008-07-14 2010-01-22 삼성전자주식회사 증착 장치 및 이를 이용한 박막 증착 방법
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US7883682B2 (en) * 2009-02-20 2011-02-08 Conocophillips Company Carbon dioxide rich off-gas from a two stage gasification process
TWI556309B (zh) * 2009-06-19 2016-11-01 半導體能源研究所股份有限公司 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
EP2552340A4 (en) 2010-03-31 2015-10-14 Univ Colorado State Res Found PLASMA DEVICE WITH LIQUID GAS INTERFACE
CA2794895A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
JP6045646B2 (ja) * 2010-08-23 2016-12-14 東京エレクトロン株式会社 プラズマエッチング方法
JP5916056B2 (ja) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2012104382A (ja) * 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
US20120255678A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
US8980046B2 (en) 2011-04-11 2015-03-17 Lam Research Corporation Semiconductor processing system with source for decoupled ion and radical control
TWI593041B (zh) * 2011-04-11 2017-07-21 蘭姆研究公司 半導體基板處理系統與方法
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US20120258555A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode and Systems Implementing the Same
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR102192742B1 (ko) * 2011-11-23 2020-12-18 램 리써치 코포레이션 대칭적 rf 전달을 위한 주변부에서의 rf 공급 및 대칭적 rf 복귀
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US9412563B2 (en) * 2013-09-13 2016-08-09 Applied Materials, Inc. Spatially discrete multi-loop RF-driven plasma source having plural independent zones
US20150075717A1 (en) * 2013-09-13 2015-03-19 Applied Materials, Inc. Inductively coupled spatially discrete multi-loop rf-driven plasma source
JP6157385B2 (ja) * 2014-03-11 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9721758B2 (en) * 2015-07-13 2017-08-01 Mks Instruments, Inc. Unified RF power delivery single input, multiple output control for continuous and pulse mode operation
KR102649333B1 (ko) * 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
JP6632426B2 (ja) * 2016-02-29 2020-01-22 東京エレクトロン株式会社 プラズマ処理装置及びプリコート処理方法
US10026592B2 (en) 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing
US10395896B2 (en) 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
JP2022098353A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
DE3733135C1 (de) 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5368685A (en) 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
SI9300468A (en) * 1992-10-14 1994-06-30 Hoffmann La Roche Injectable composition for the sustained release of biologically active compounds
US6225744B1 (en) 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3162245B2 (ja) * 1994-04-20 2001-04-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JP3119172B2 (ja) 1995-09-13 2000-12-18 日新電機株式会社 プラズマcvd法及び装置
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5817534A (en) 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
JP3499104B2 (ja) * 1996-03-01 2004-02-23 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6033585A (en) 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
JP3598717B2 (ja) 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
JP4147608B2 (ja) 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US5985375A (en) 1998-09-03 1999-11-16 Micron Technology, Inc. Method for pulsed-plasma enhanced vapor deposition
US6642149B2 (en) 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US20010017109A1 (en) * 1998-12-01 2001-08-30 Wei Liu Enhanced plasma mode and system for plasma immersion ion implantation
JP4230029B2 (ja) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6388382B1 (en) 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
US6656273B1 (en) 1999-06-16 2003-12-02 Tokyo Electron Limited Film forming method and film forming system
JP3709552B2 (ja) 1999-09-03 2005-10-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6193855B1 (en) 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
US6462482B1 (en) 1999-12-02 2002-10-08 Anelva Corporation Plasma processing system for sputter deposition applications
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6641661B1 (en) 2000-05-26 2003-11-04 W. R. Grace & Co.-Conn. High early strength cement and additives and methods for making the same
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6468388B1 (en) 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6716303B1 (en) 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
DE10131284A1 (de) 2001-06-28 2003-01-09 Krupp Berco Bautechnik Gmbh Vorrichtung zur Umwandlung von Meßsignalen, die durch Schlagvorgänge eines hydraulischen Schlagaggregats in einem Piezo-Sensor ausgelöst werden, in Digital-Signale
US6893971B2 (en) 2001-07-19 2005-05-17 Matsushita Electric Industrial Co., Ltd. Dry etching method and apparatus
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
US6642661B2 (en) 2001-08-28 2003-11-04 Tokyo Electron Limited Method to affect spatial distribution of harmonic generation in a capacitive discharge reactor
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
JP4431402B2 (ja) 2002-04-08 2010-03-17 東京エレクトロン株式会社 プラズマエッチング方法
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
WO2004040629A1 (ja) 2002-10-29 2004-05-13 Mitsubishi Heavy Industries, Ltd. プラズマ化学蒸着装置における高周波プラズマの大面積均一化方法及び装置
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US20050051273A1 (en) 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7264686B2 (en) * 2003-12-12 2007-09-04 Kimberly-Clark Worldwide, Inc. Method of forming a waist band on an absorbent article
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
JP4280686B2 (ja) 2004-06-30 2009-06-17 キヤノン株式会社 処理方法
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060027329A1 (en) 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
DE202006004407U1 (de) * 2006-03-17 2007-07-19 Mann+Hummel Gmbh Anschluss für ein rohrförmiges Luftführungselement an einem Turbolader
US20070245960A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246443A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246162A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246161A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245958A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070245961A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation

Similar Documents

Publication Publication Date Title
JP2007157696A5 (ja)
TW200717621A (en) Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
CN100437931C (zh) 电浆腔室及在此电浆腔室中处理基底的方法
US6396024B1 (en) Permanent magnet ECR plasma source with integrated multipolar magnetic confinement
TW201304616A (zh) 電漿處理裝置
Lee et al. Experimental observation of the skin effect on plasma uniformity in inductively coupled plasmas with a radio frequency bias
TWI521559B (zh) Magnetic field distribution adjusting device for plasma processor and its adjusting method
Zhang et al. A high power radio frequency transformer for plasma production in a toroidal plasma source
Magori et al. Experimental study on G-band oversized backward wave oscillator driven by weakly relativistic electron beam
JPH07122397A (ja) プラズマ処理装置
Delayen et al. Design of superconducting spoke cavities for high-velocity applications
Godyak et al. Plasma and electrical characteristics of inductive discharge in a magnetic field
Sakurai et al. Control of magnetic field in neutral loop discharge plasma for uniform distribution of ion flux on substrate
Yue et al. Effects of direct current discharge on the spatial distribution of cylindrical inductively-coupled plasma at different gas pressures
Kim et al. A study on the maximum power transfer condition in an inductively coupled plasma using transformer circuit model
Osaga et al. Structure and dynamics of a magnetic neutral loop discharge plasma described using electron motion in a quadrupole magnetic field
Chen Radiofrequency plasma sources for semiconductor processing
CN104342621A (zh) 磁场调节装置及等离子体加工设备
CN202231940U (zh) 提高等离子体反应均匀性的等离子体反应器
Osaga et al. Electron distribution in a quadrupole magnetic field to drive magnetic neutral loop discharge plasma
Yue et al. Plasma characteristics of direct current enhanced cylindrical inductively coupled plasma source
Zhang et al. Capacitive electrical asymmetry effect in an inductively coupled plasma reactor
Zhao et al. Simulation Study of Discharge Characteristics in Inductively Coupled Plasma
CN103177920B (zh) 带有矩形电感耦合线圈的刻蚀装置
Asami et al. Effect of substrate bias on production and transport of etchant ions in a magnetic neutral loop discharge plasma