KR20180082626A - 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치 - Google Patents

정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20180082626A
KR20180082626A KR1020187019487A KR20187019487A KR20180082626A KR 20180082626 A KR20180082626 A KR 20180082626A KR 1020187019487 A KR1020187019487 A KR 1020187019487A KR 20187019487 A KR20187019487 A KR 20187019487A KR 20180082626 A KR20180082626 A KR 20180082626A
Authority
KR
South Korea
Prior art keywords
circuit
esc
substrate
electrode
chucking
Prior art date
Application number
KR1020187019487A
Other languages
English (en)
Other versions
KR102649333B1 (ko
Inventor
쳉 존 예
히로지 하나와
주안 카를로스 로차-앨바레즈
프라미트 만나
미첼 웬영 시앙
알렌 코
웬지아오 왕
영징 린
프라산트 쿠마르 컬쉬레쉬타
신하이 한
복 현 김
광덕 더글라스 리
카르틱 팀마바주라 나라심하
지큉 두안
디네쉬 파디
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180082626A publication Critical patent/KR20180082626A/ko
Application granted granted Critical
Publication of KR102649333B1 publication Critical patent/KR102649333B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

높은 동작 온도들에서 동작하는 데 적합한 정전 척의 장치들 및 방법들에 대한 기법들이 개시된다. 일 예에서, 기판 지지 조립체가 제공된다. 기판 지지 조립체는, 상부 표면, 원통형 측벽, 및 하부 표면을 갖는 실질적인 디스크-형상 세라믹 바디를 포함한다. 상부 표면은 진공 프로세싱 챔버에서 기판을 프로세싱하기 위해 그 상부 표면 상에 기판을 지지하도록 구성된다. 원통형 측벽은 세라믹 바디의 외측 직경을 정의한다. 하부 표면은 상부 표면 반대편에 배치된다. 전극이 세라믹 바디에 배치된다. 회로가 전극에 전기적으로 연결된다. 회로는 DC 척킹 회로, 제1 RF 구동 회로, 및 제2 RF 구동 회로를 포함한다. DC 척킹 회로, 제1 RF 구동 회로, 및 제2 RF 구동 회로는 전극과 전기적으로 커플링된다.

Description

정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
[0001] 본원에서 설명되는 실시예들은 일반적으로, 반도체 디바이스들을 형성하기 위한 방법들 및 장치들에 관한 것이다. 더 구체적으로, 본원에서 설명되는 실시예들은 일반적으로, 반도체 디바이스들을 형성하는 데 사용되는 정전 척들에 관한 것이다.
[0002] 나노미터 및 더 작은 피처들을 신뢰가능하게 생산하는 것은 반도체 디바이스들의 차세대 VLSI(very large scale integration) 및 ULSI(ultra large-scale integration)에 대한 핵심 기술 난제들 중 하나이다. 그러나, 회로 기술의 한계들이 푸시(push)됨에 따라, VLSI 및 ULSI 배선 기술의 치수들의 축소가 프로세싱 성능들에 대해 부가적인 요구들을 제기하였다. 기판 상의 게이트 구조들의 신뢰가능한 형성은 VLSI 및 ULSI 성공에 대해 중요하고, 그리고 개별적인 기판들 및 다이의 품질 및 회로 밀도를 증가시키기 위한 계속되는 노력에 대해 중요하다.
[0003] 존센-라벡(JR) 효과 힘의 원리에 따라 동작하는 정전 척(ESC)들은 일반적으로, 섭씨 350 도 미만에서 수행되는 애플리케이션들에서 사용되고 있다. 제조 비용들을 줄이기 위해, IC(integrated chip) 제조들은 프로세싱되는 모든 각각의 실리콘 기판으로부터 더 높은 처리량 그리고 더 양호한 디바이스 수율 및 성능을 요구한다. 현재의 개발 하에서 차세대 디바이스들을 위해 연구되고 있는 일부 제작 기법들은 섭씨 350 도를 훨씬 초과하는 온도들에서의 프로세싱을 요구하며, 그러한 프로세싱은 기판 휨, 즉 200 ㎛를 초과하는 기판 휨을 바람직하지 않게 야기할 수 있다.
[0004] 그러한 과도한 휨을 방지하기 위하여, 막 증착 및 디바이스 프로세싱 동안에 기판을 평탄화하고 휨을 제거하기 위해, 증가된 클램핑력이 종종 요구된다. 그러나, 기판을 클램핑하기 위해 활용되는, 기판 지지 조립체들 상에 존재하는 종래의 ESC들은 섭씨 300 도를 초과하는 온도들에서 전하 누설을 겪고, 이는 디바이스 수율 및 성능을 저하시킨다.
[0005] 기판을 척킹하지 않으면서 수행되는 막 증착 프로세스들은 프로세싱 동안에 기판들의 휨으로 인해 배면 막 증착을 나타내고, 이는 오염으로 인해 리소그래피 툴 다운타임을 실질적으로 증가시킨다. 휨은, 메모리 디바이스들 내의 게이트 스택들을 위해 사용되는 다수의 막 층들, 즉 계단형 막 스택들이 기판 상에 형성되는 경우에, 한층 더 문제가 된다. 게이트 스택의 이상적인 휨 사양은 높은 온도 하에서 다수의 상이한 재료 층들이 증착된 후의 중립 휨 또는 중립 응력이다. 전형적으로, 막 스택에서 활용되는 더 많은 층들은 기판 휨을 악화시키는 경향을 갖는다. 따라서, 현재의 기판 지지 기술은, 계단형 막 스택들을 제작하는 경우에 기판 상에 형성될 수 있는 층들의 수를 제한한다.
[0006] 따라서, 섭씨 300 도를 초과하는 프로세싱 온도들에서 사용하는 데 적합한 개선된 기판 지지부가 필요하다.
[0007] 프로세싱 챔버에서 높은 온도들에서 동작하는 데 적합한 정전 척을 위한 방법들 및 장치들이 개시된다.
[0008] 일 예에서, 기판 지지 조립체가 제공된다. 기판 지지 조립체는, 상부 표면, 원통형 측벽, 및 하부 표면을 갖는 실질적인 디스크-형상 세라믹 바디를 포함한다. 상부 표면은 진공 프로세싱 챔버에서 기판을 프로세싱하기 위해 그 상부 표면 상에 기판을 지지하도록 구성된다. 원통형 측벽은 세라믹 바디의 외측 직경을 정의한다. 하부 표면은 상부 표면 반대편에 배치된다. 전극이 세라믹 바디에 배치된다. 회로가 전극에 전기적으로 연결된다. 회로는 DC 척킹 회로, 제1 RF 구동 회로, 및 제2 RF 구동 회로를 포함한다. DC 척킹 회로, 제1 RF 구동 회로, 및 제2 RF 구동 회로는 전극과 전기적으로 커플링된다.
[0009] 다른 예에서, 프로세싱 챔버가 제공된다. 프로세싱 챔버는 내부 볼륨을 에워싸는 덮개 및 벽들을 갖는 바디를 포함한다. 기판 지지 조립체가 내부 볼륨에 배치된다. 기판 지지부는, 상부 표면, 원통형 측벽, 및 하부 표면을 갖는 실질적인 디스크-형상 세라믹 바디를 포함한다. 상부 표면은 진공 프로세싱 챔버에서 기판을 프로세싱하기 위해 그 상부 표면 상에 기판을 지지하도록 구성된다. 원통형 측벽은 세라믹 바디의 외측 직경을 정의한다. 하부 표면은 상부 표면 반대편에 배치된다. 전극이 세라믹 바디에 배치된다. 회로가 전극에 전기적으로 연결된다. 회로는 DC 척킹 회로, 제1 RF 구동 회로, 및 제2 RF 구동 회로를 포함한다. DC 척킹 회로, 제1 RF 구동 회로, 및 제2 RF 구동 회로는 전극과 전기적으로 커플링된다.
[0010] 또 다른 예에서, ESC를 구성하기 위한 방법이 제공된다. 방법은, ESC의 재료 내부에 금속 전극을 삽입하는 단계 ― 금속 전극은 ESC의 기판 지지 표면과 유사한 사이즈로 이루어지고, 기판 지지 표면에 실질적으로 평행함 ―; 및 회로에 금속 전극을 연결하는 단계를 포함하며, 회로를 통해 전극에 전하가 제공될 수 있고, 여기서, 전극으로부터의 전하는 재료를 통해 ESC의 기판 지지 표면으로 이동하고, 여기서, 회로는 금속 전극에 척킹 전압 및 전하들을 공급하는 폐쇄 루프 전기 회로망이다.
[0011] 실시예들의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 실시예들의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 실시예들의 예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0012] 도 1은 본 개시내용의 실시예들이 실시될 수 있는, 기판 지지 조립체를 갖는 예시적인 진공 프로세싱 챔버의 단면도이다.
[0013] 도 2는 다중 주파수 RF 구동 시스템을 위한 일 실시예를 예시한다.
[0014] 도 3은 RF 구동 시스템 회로망을 위한 제1 실시예를 예시한다.
[0015] 도 4는 RF 구동 시스템 회로망을 위한 제2 실시예를 예시한다.
[0016] 도 5a는 ESC 상에 배치된 기판을 통해 형성된 척킹 회로를 예시한다.
[0017] 도 5b는 ESC를 위한 절연 변압기를 갖는 척킹 회로를 예시한다.
[0018] 도 6은 AlN 유전체 재료들의 전기적 특성들을 예시하는 그래프이다.
[0019] 도 7은 60 Hz의 중심 주파수에서 35 dB 감쇠를 달성하기 위해 연산 증폭기를 사용하는 아날로그 노치 필터의 예이다.
[0020] 도 8은 도 2의 ESC를 이용한 예시적인 증착 레시피 동안의 필터링된 신호와 필터링되지 않은 신호의 비교를 예시하는 그래프이다.
[0021] 도 9a 내지 도 9c는 기판과 조밀한 접촉을 형성하는 데 적합한 AlN 표면 패턴을 위한 구현들의 예들을 예시한다.
[0022] 도 10은 ESC의 기하형상 및 재료 특성들에 관련된 여러 핵심 파라미터들에 의해 척킹력이 어떻게 영향을 받을 수 있는지를 예시하는 그래프이다.
[0023] 도 11은 ESC를 구성하기 위한 방법을 예시한다.
[0024] 도 12는 ESC를 이용하여 기판을 척킹하기 위한 방법을 예시한다.
[0025] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있는 것으로 고려된다.
[0026] 그러나, 첨부된 도면들은 본 개시내용의 단지 예시적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0027] 본원에서 개시되는 방법들 및 장치는, 높은 온도 범위들 또는 섭씨 약 100 도 내지 섭씨 약 700 도에서 동작하는 데 적합한 존센-라벡 정전 척(ESC)에 관한 것이다. 예컨대, ESC는 섭씨 550 도를 초과하는 온도들에서 유지될 수 있다. ESC는, 기판이 이동하지 않고 ESC에 대하여 일관적인 열적 및 전기적 접촉을 유지하도록, 반도체 프로세싱 동안에 ESC의 상단 표면에 대하여 기판을 홀딩한다. 플라즈마-강화 화학 기상 증착(PECVD) 애플리케이션들에서, 기판마다의 프로세싱 동작들의 품질은 기판들의 프로세싱 전반에 걸친 일관적인 온도 및 전압에 의존한다.
[0028] PECVD 프로세싱 챔버들로의 인입 기판들은 종종, ESC에 클램핑되기 전에, 어느 정도의 압축 휨 또는 인장 휨을 나타낸다. 프로세싱 챔버의 높은 동작 온도는 휨에 기여한다. 프로세싱 후에, 기판의 휨은, 프로세싱 동안의 높은 온도들에 대한 노출에 의해 유발되는 표면 응력들로 인해, 인입 휨보다 더 악화될 수 있다. 부가적으로, 인장 응력을 갖는 막들을 갖는 기판들은 프로세싱 동안에 기판 지지부로부터 떨어지게 휘는 에지들을 가질 수 있다. 종종, 프로세싱 동안에 인장 응력 막을 갖는 기판을 척킹하지 않는 것은, 바람직하지 않게, 기판의 배면 상의 박막 증착을 가능하게 한다. 대조적으로, 종종, 척킹된 기판들은 프로세싱 후에 더 적은 배면 박막 증착을 갖는 경향이 있다.
[0029] 개시되는 방법 및 장치는 ESC를 사용하고, 그 ESC는 기판 상에 작용하기 위한 충분한 클램핑력을 생성하고, 그에 따라, 프로세싱 전에 기판이 평탄하든지 또는 일정 정도의 휨을 나타내든지와 상관없이, 기판이 실질적으로 평탄하게 되고, ESC의 기판 지지 표면에 대하여 실질적으로 평행하게 유지된다. 따라서, 기판의 ESC 척킹은 휨을 감소시킬 뿐만 아니라, 기판 온도 프로파일에서의 일관성, 박막 균일성, 및 막 특성들을 개선한다.
[0030] 아래에서 개시되는 장치는, 종래의 ESC들과 비교하여 훨씬 더 높은 동작 온도 범위, 즉 섭씨 100 도 내지 섭씨 700 도(동작 온도 범위)에서 동작하도록 구성된 ESC에 관한 것이다. ESC에 관련된 대부분의 양상들, 이를테면 세라믹 재료 선택 및 무선 주파수(RF) 필터 설계는, RF 메시(하단 전극) 상에서, 동일한 하단 전극에 직류(DC) 척킹 전압이 동시에 인가되면서 어떤 RF 전압 및 전류가 동작되고 있는지와 상관없이, 또는 챔버의 가열기 측으로부터의 RF 구동이 존재하든지 또는 존재하지 않든지와 상관없이, 실질적으로 동일하게 유지된다. 척킹을 위해 하단 전극 상에 존재하는 RF 전압 및 전류의 경우에, RF 전압 또는 전류 중 어느 하나 또는 둘 모두는, RF 구동이 하단 및 가열기 측(즉, 기판 지지 조립체로부터의) 대신에 상단 전극으로부터 유래하는 경우의 RF 전압 또는 전류와 상이할 수 있거나, 또는 그 RF 전압 또는 전류보다 더 높을 수 있다는 것이 인식된다. 따라서, 보호 회로망은 그에 따라, 동일한 레벨의 절연에 도달하기 위해 변화될 수 있다. 즉, 특정한 동작 주파수 또는 주파수들에 대한 입력 임피던스는, 상단 구동형 RF 전극들로부터의 누설 RF 전압 또는 전류에 대응하는 동일한 레벨의 누설 RF 전압 또는 전류를 달성하기 위해, 더 높을 수 있다.
[0031] 일 실시예에서, 기판과 유사한 사이즈의 금속 전극의 구성이 벌크 페데스탈 재료 내부에 배치되고, 그리고 페데스탈 상단 표면에 대하여 홀딩될 기판에 실질적으로 평행하도록 형성된다. 그러한 전극은 전하의 소스를 제공할 DC 전력 공급부에 연결되도록 구성되고, 그리고 저장된 전하는 전극으로부터, 유한한 전기 전도율의 벌크 재료, 이를테면 알루미늄 질화물(AlN)을 통해, 페데스탈의 상단 표면으로 이동할 수 있다. 이어서, 표면 전하는 기판의 하단 상에, 동일한 양이지만 반대 극성을 갖는 전하를 유도할 것이고, 여기서, 반대 전하들 사이의 쿨롱 인력들이 페데스탈 표면에 대하여 기판을 효과적으로 홀딩할 것이다. 기판의 하단 상의 유도된 표면 전하들은, 기판의 상단과 DC 전력 공급부의 다른 단부 사이의 접촉 연결로부터, 일반적으로는 공통 접지 연결을 통해 유래한다. 그러한 연결은 기판과 챔버 접지 벽들 사이에 플라즈마를 점화하고 지속함으로써 형성될 수 있고, 이는 전류 루프를 폐쇄하는 전도성 매체들로서 작용한다. 척으로부터 기판을 릴리즈하는 것은, 기판 상의 전하들이 고갈될 때까지 플라즈마가 동작하도록 유지하면서, AlN 페데스탈에 포함된 전하들과 함께, 전극에 공급된 전압을 제거함으로써, 달성된다. 선택적으로, 인력을 더 신속하게 소산시키기 위해, 반대 극성의 전하가 페데스탈 내의 전극에 인가될 수 있다.
[0032] 다른 실시예에서, 척의 동작 온도, 및 ESC의 워크피스 표면에 걸친 온도 균일성을 제어하기 위해, 금속 가열기의 엘리먼트들이 ESC의 벌크 유전체 재료에 매립된다. 그러한 가열기 엘리먼트들은, ESC의 워크피스 표면에 걸쳐 바람직한 온도 분포 또는 프로파일을 발생시키는 특정한 패턴을 형성하는 단일의 또는 다수의 피스들의 저항성 가열기 필라멘트들일 수 있다. 워크피스 표면에 대한 온도 프로파일은 시간 기간에 걸쳐 실질적으로 일관적이게 유지될 수 있거나, 또는 가열기 엘리먼트들 각각으로의 전력을 동적으로 조정함으로써, 상이하지만 바람직한 온도 프로파일로 변화될 수 있다.
[0033] 또 다른 실시예에서, 전기 회로망의 네트워크는, 페데스탈 유전체 재료들을 통해 척킹 전극 및 가열기 엘리먼트들에 커플링될 수 있는 AC 및 리액티브 RF 전압 및 전류에 대하여, ESC 및 가열기 엘리먼트들을 위한 전력 공급부들을 보호하기 위해 구현된다. 그러한 커플링은, 각각의 AC 및 RF 부하를 핸들링하도록 설계되지 않은 DC 전력 공급부, AC 전력 소스들, 및 RF 전력 소스들에 유해할 수 있다.
[0034] 또 다른 실시예에서, 페데스탈 벌크 재료들, 접촉의 특정한 패턴을 갖거나 또는 갖지 않는 표면 접촉 영역, 접촉 표면 마감 거칠기, 및 접촉 아일랜드들의 높이 등이 바람직한 클램핑력을 결정하기 위해 사용된다. ESC 구성 프로세스는, 동작 온도, ESC 전압 및 전류 요건, 및 기판을 척킹하기 위한 그리고 기판을 릴리즈하기 위한 시간에 따라, 하나의 애플리케이션 요건, 또는 다수의 애플리케이션 요건들에 최상으로 적합한 ESC 설계들을 산출할 수 있다. 예컨대, 하나의 구성 프로세스는 최대의 접촉 영역을 사용한 최소의 척킹 전압을 목표로 할 수 있다. 다른 예는 ESC 전력 공급부 상의 DC 척킹 전류를 최소화하는 것이고, 여기서, 접지에 대하여 가열기 엘리먼트들을 플로팅(floating)함으로써 가열기 엘리먼트들을 통해 흐르는 전류를 감소시키는 경우, 그리고/또는 더 높은 저항률의 유전체 재료들을 사용하는 경우에, 더 낮은 전류를 가질 수 있다. 가열기 엘리먼트들이 60 Hz의 교류(AC) 라인들에 의해 전력 공급되는 경우들에서, 가열기 엘리먼트들과 AC 라인들 사이에 절연 변압기가 사용될 수 있다. ESC 전류를 감소시키는 또 다른 예는 페데스탈 표면 상에 절연성 재료들의 층을 생성하는 것이고, 그 절연성 재료들의 층은 플라즈마를 통해 챔버 접지로 누설되는 DC 전류를 차단하거나 또는 상당히 감소시킬 것이다. 그러한 절연성 층은 페데스탈 내에 영구적으로 제조될 수 있거나, 또는 챔버에서 인-시튜로 생성될 수 있다. 더 낮은 ESC 전압 및 전류는 시스템 통합 및 비용 감소를 가능하게 하기 위한 작은 전력 공급부들로부터 이익을 얻을 수 있다.
[0035] 또 다른 실시예에서, 원하는 기판-상 막 특성들 및 처리량 요건들을 위해, 바람직한 프로세스 파라미터들, 이를테면 가스 케미스트리, 유량들, 압력, RF 전력 등과 함께, 온도, ESC 전압, 전류 등을 포함하는 ESC 동작 파라미터들의 최적의 세트가 협력할 수 있는 방법이 생성 및 실행될 수 있다. 그러한 방법들은 파라미터들 각각에 대한 그리고 파라미터들 사이의 최적의 타이밍 제어를 포함할 수 있다. 타이밍 제어의 하나의 예는 ESC 전압을 턴 온하기 전에 RF 전력을 이용하여 헬륨 플라즈마를 점화하고 지속하는 것이고, 여기서, 헬륨 플라즈마 충격으로 인해 기판이 높은 온도로 가열될 수 있고, 그에 따라, 척킹이 발생하기 전에 표면 응력이 감소될 수 있다. 척킹 방법의 또 다른 예는 최적의 기판 결과들을 위해 레시피 단계들에 따라 상이한 ESC 전압들을 동작시키는 것이고, 여기서, 예컨대, 휘어진 기판을 신속하게 척킹하고 평탄화하기 위해 척킹 단계의 시작 시에 스파이크 전압이 사용될 수 있는 한편, 클램핑력을 유지하기 위해 그리고 낮은 척킹 전압으로부터의 기판 릴리즈를 준비하기 위해 추후의 프로세스 단계들에 대해서는 더 낮은 ESC 전압이 사용된다.
[0036] 장치, 그리고 특히, 아래에서 상세히 설명되는 바와 같은 ESC는, 반도체 제조 프로세스의 리소그래피 애플리케이션들을 위한 하드 마스크들에 대해 사용되는 유전체 막들과 같은 진보된 유전체 막들을 생성하는 데 특히 적합할 수 있다. ESC는 균일성, 반복성, 오버레이 에러, 챔버 임피던스를 개선하는 것, 배면 증착을 최소화하는 것 등을 위하여, PECVD 프로세스 동안에 고도의 기판 휨들을 제어하기 위해 사용될 수 있다.
[0037] 도 1은 기판 지지 조립체(110)를 갖는 진공 프로세싱 챔버(100)의 일 실시예의 개략적인 측면도이고, 그 기판 지지 조립체(110) 상에서 기판(118)이 프로세싱된다. 기판 지지 조립체(110)는, 기판의 휨을 감소시키고 그리고 기판 상의 온도 프로파일, 박막 균일성, 및 다른 막 특성들을 개선하기 위해 척킹을 제공하도록 적합하게 구성된 ESC이다. 프로세싱 챔버(100)는 플라즈마-강화 화학 기상 증착(PECVD) 프로세싱 챔버, 화학 기상 증착(CVD) 프로세싱 챔버, 핫 와이어 화학 기상 증착(HWCVD) 프로세싱 챔버, 또는 진공 하에 있는 동안에 높은 온도들에서 기판들을 프로세싱하는 데 적합한 다른 진공 프로세싱 챔버일 수 있다.
[0038] 프로세싱 챔버(100)는, 상단(158), 챔버 측벽들(140), 및 챔버 하단(156)을 갖는 챔버 바디(105)를 포함하고, 그 상단(158), 챔버 측벽들(140), 및 챔버 하단(156)은 접지(126)에 커플링된다. 상단(158), 챔버 측벽들(140), 및 챔버 하단(156)은 내부 프로세싱 구역(150)을 정의한다. 챔버 측벽들(140)은 프로세싱 챔버(100)의 내부 프로세싱 구역(150) 내외로 기판(118)을 이송하는 것을 가능하게 하기 위해 기판 이송 포트(152)를 포함할 수 있다. 기판 이송 포트(152)는 기판 프로세싱 시스템의 이송 챔버 및/또는 다른 챔버들에 커플링될 수 있다.
[0039] 프로세싱 챔버(100)의 챔버 바디(105) 및 관련된 컴포넌트들의 치수들은 제한되지 않고, 그리고 일반적으로, 프로세싱 챔버(100)에서 프로세싱될 기판(118)의 사이즈보다 비례하여 더 크다. 기판 사이즈들의 예들은 특히, 200 mm 직경, 250 mm 직경, 300 mm 직경, 및 450 mm 직경을 포함한다.
[0040] 펌핑 디바이스(130)가 프로세싱 챔버(100)의 내부 프로세싱 구역(150) 내의 압력을 진공배기 및 제어하기 위해 프로세싱 챔버(100)의 하단(156)에 커플링된다. 펌핑 디바이스(130)는 종래의 러핑 펌프(roughing pump), 루츠 블로어(roots blower), 터보 펌프, 또는 내부 프로세싱 구역(150) 내의 압력을 제어하도록 적응된 다른 유사한 디바이스일 수 있다. 일 예에서, 프로세싱 챔버(100)의 내부 프로세싱 구역(150)의 압력 레벨은 약 760 토르 미만으로 유지될 수 있다.
[0041] 가스 패널(144)은 가스 라인(167)을 통해 프로세스 및 다른 가스들을 챔버 바디(105)의 내부 프로세싱 구역(150) 내로 공급한다. 가스 패널(144)은, 요구되는 경우, 하나 또는 그 초과의 프로세스 가스 소스들, 비활성 가스들, 비-반응성 가스들, 및 반응성 가스들을 제공하도록 구성될 수 있다. 가스 패널(144)에 의해 제공될 수 있는 프로세스 가스들의 예들은 실리콘(Si) 함유 가스들, 탄소 전구체들, 및 질소 함유 가스들을 포함한다(그러나 이에 제한되지는 않음). Si 함유 가스들의 예들은 Si-풍부 또는 Si-부족 질화물(SixNy) 및 실리콘 산화물(SiO2)을 포함한다. 탄소 전구체들의 예들은 특히, 프로필렌, 아세틸렌, 에틸렌, 메탄, 헥산, 헥산, 이소프렌, 및 부타디엔을 포함한다. Si 함유 가스들의 예들은 실란(SiH4), 테트라에틸 오로토실리케이트(TEOS)를 포함한다. 질소 및/또는 산소 함유 가스들의 예들은 특히, 피리딘, 지방족 아민, 아민들, 니트릴들, 아산화 질소, 산소, TEOS, 및 암모니아를 포함한다.
[0042] 샤워헤드(116)가 프로세싱 챔버(100)의 상단(158) 아래에서 내부 프로세싱 구역(150)에 배치되고, 기판 지지 조립체(110) 위로 이격된다. 따라서, 샤워헤드(116)는, 기판(118)이 프로세싱을 위해 기판 지지 조립체(110) 상에 위치되는 경우에 기판(118)의 상단 표면(104) 바로 위에 있다. 가스 패널(144)로부터 제공되는 하나 또는 그 초과의 프로세스 가스들은 샤워헤드(116)를 통해 내부 프로세싱 구역(150) 내로 반응성 종을 공급할 수 있다.
[0043] 샤워헤드(116)는 또한, 내부 프로세싱 구역(150) 내의 가스들에 전력을 커플링시키기 위한 상단 전극으로서 기능할 수 있다. 상단 전극은 아래에서 도 2에 대하여 더 논의될 것이다. 다른 전극들, 코일들, 또는 다른 RF 애플리케이터들을 활용하여 내부 프로세싱 구역(150) 내의 가스들에 전력이 커플링될 수 있는 것으로 고려된다.
[0044] 도 1에서 도시된 실시예에서, 전력 공급부(143)가 정합 회로(141)를 통해 샤워헤드(116)에 커플링될 수 있다. 전력 공급부로부터 샤워헤드(116)에 인가되는 RF 에너지는 프로세싱 챔버(100)에서 플라즈마를 유지하기 위해, 내부 프로세싱 구역(150)에 배치된 프로세스 가스들에 유도성으로 커플링된다. 전력 공급부(143)에 대해 대안적으로 또는 부가하여, 내부 프로세싱 구역(150) 내에서 플라즈마를 유지하기 위해, 내부 프로세싱 구역(150) 내의 프로세스 가스들에 전력이 용량성으로 커플링될 수 있다. 전력 공급부(143)의 동작은 제어기(미도시)에 의해 제어될 수 있고, 그 제어기는 또한, 프로세싱 챔버(100) 내의 다른 컴포넌트들의 동작을 제어한다.
[0045] 위에서 논의된 바와 같이, 기판 지지 조립체(110)는 프로세싱 챔버(100)의 하단(156) 위에 배치되고, 증착 동안에 기판(118)을 홀딩한다. 기판 지지 조립체(110)는 정전 척(도 2에서 참조 번호 220에 의해 식별됨)을 포함하고, 그 정전 척은 정전 척 상에 배치된 기판(118)을 척킹하기 위한 것이다. 정전 척(ESC)(220)은 프로세싱 동안에 기판 지지 조립체(110)에 기판(118)을 고정시킨다. ESC(220)는 다른 적합한 재료들 중에서, 벌크 유전체 재료, 예컨대 세라믹 재료, 이를테면 알루미늄 질화물(AlN)로 형성될 수 있다. ESC(220)는 기판 지지 조립체(110)에 기판(118)을 홀딩하기 위해 정전기 인력을 사용한다.
[0046] ESC(220)는 하단 전극(106)을 포함하고, 그 하단 전극(106)은, 동작 동안에, 전력 소스(114)와 하단 전극(106) 사이에 배치된 절연 변압기(112)를 통해 전력 소스(114)에 연결된다. 도 1에서 파선들에 의해 도시된 바와 같이, 절연 변압기(112)는 전력 소스(114)의 일부일 수 있거나 또는 전력 소스(114)와 별개일 수 있다. 전력 소스(114)는 약 0 볼트 내지 약 5000 볼트의 척킹 전압을 하단 전극(106)에 인가할 수 있다. 대안적으로, 하단 전극(106)은 RF 전압으로 구동될 수 있다. 프로세싱 동안에, 기판 전압은, 정현 전압 파형 또는 파형들의 약 0 Hz 내지 약 2000 MHz의 범위 내의 AC 주파수 또는 다수의 AC 및 RF 주파수들의 혼합에서 피크-투-피크 약 0 V 내지 최대 피크-투-피크 약 5000 V의 범위에서 제어되고, 여기서, 약 0 Hz는 시간에 따라 변화되지 않는 정전압의 DC 파형을 표현하고, 피크-투-피크 약 0 V는 기판 전위가 접지 전위로 유지되거나 또는 기판 전위가 접지된 조건을 표현한다.
[0047] 위에서 언급된, 기판 상의 RF 전압 제어를 달성하기 위한 방법은, RF 구동 네트워크 내부 또는 외부의 하나 또는 다수의 위치들에서의, RF 전압, 전류, 및 전력에 각각 기초한 여러 측정 및 피드백 제어 엘리먼트들을 포함하는, RF 생성기 및 정합 네트워크를 통해, 기판 페데스탈, 즉 ESC(220)에 적절한 주파수 또는 다수의 주파수들의 혼합의 바이어스 RF 전력을 인가함으로써, 실현될 수 있다. 이들 측정들의 일부는 기판 상의 순시 RF 전압, 전류, 및 전력 변동을 반영하기 위해 기판에 물리적으로 또는 전기적으로 근접하다. 기판에 전기적으로 근접한 측정은, 기판에 물리적으로 근접하지 않지만, 각각의 전압, 전류, 및 전력이 기판에서 형성되는 전압, 전류, 및 전력에 실질적으로 근접하거나, 또는 위치 정보에 기초하여 적절한 정정들을 적용한 후에 기판에서 형성되는 전압, 전류, 및 전력에 접근하게 되는 위치에서의 측정을 지칭한다. RF 전압 및 전류 측정들의 경우에서, 그 RF 전압 및 전류 측정들은 벡터들이고, 그 벡터들은 이들 각각의 크기 및 위상 컴포넌트들을 가지며, 여기서, 벡터들의 위상들 사이의 차이는 전압 및 전류 측정들 둘 모두가 이루어진 곳의 유효 전력 손실(real power loss)을 결정한다. 바람직한 박막 증착 레이트, 균일성, 응력, 및 선택되는 다른 막 특성들을 달성하기 위해, 피드백 또는 피드포워드 제어 메커니즘은 전압, 전류, 또는 유효 전력 손실 중 임의의 하나의 측정 또는 다수의 측정들에 대하여 구현될 수 있다. 본 개시내용의 의도는 ESC(220)에 대한 동작의 원리를 교시하는 것뿐만 아니라, 설계 및 개발의 여러 예들을 통해 구현의 기본적인 기술적 세부사항들을 교시하는 것이다.
[0048] ESC(220)는 다중 주파수 RF 구동 시스템을 가질 수 있다. 이제, 다중 주파수 RF 구동 시스템이 도 2에 대하여 논의될 것이다. 도 2는 다중 주파수 RF 구동 시스템(200)을 위한 일 실시예를 예시한다. ESC(220)는 섭씨 약 100 도 내지 섭씨 약 700 도의 범위의 온도로 동작하도록 구성된다. ESC(220)는 ESC(220) 상에 기판(118)을 갖고, 샤워헤드(116) 아래에 배치된 것으로 도시된다.
[0049] 임의의 또는 다수의 주파수들의 RF 전력에 의해 가열기(204)가 능동적으로 구동되는 ESC(220)의 구현이 아래에서 설명되어 있지만, 그러한 RF 구동 시나리오들은, 챔버의 가열기 측으로부터 구동되는 능동 RF 전력이 존재하든 또는 존재하지 않든 높은 온도들 하에서 동일하게 유지되는 ESC(220)의 척킹의 진정한 원리를 변화시키지 않는다.
[0050] 상단 전극(240)이 샤워헤드(116)와 커플링될 수 있다. 상단 전극은 그 상단 전극에 커플링된 제1 상단 회로(260)를 가질 수 있다. 선택적으로, 상단 전극은 그 상단 전극에 커플링된 제2 상단 회로(250)를 가질 수 있다. 제1 상단 회로(260), 그리고 선택적으로 제2 상단 회로(250)는 플라즈마(230)를 유지하기 위하여 상단 전극(240)을 구동시키기 위해 RF 에너지를 제공한다. 플라즈마(230)는 ESC(220) 상에 배치된 기판(118) 상에 다수의 막 층들을 증착하도록 구성된 적절한 가스들로 형성된다.
[0051] 도 2에서 도시된 제1 실시예에서, 제1 상단 회로(260) 및 제2 상단 회로(250)는 실질적으로 유사할 수 있다. 제1 상단 회로(260)는 상단 전극(240)에 커플링된, RF 생성기(268), 제1 인덕터(262), 및 제1 캐패시터(263)를 가질 수 있다. 접지(265)가 제2 캐패시터(264)를 통해 RF 생성기(268)에 커플링될 수 있다. 일 실시예에서, RF 생성기(268)는 약 27 MHz로 RF 전압 및 전류를 상단 전극(240)에 공급한다. 제2 상단 회로(250)는 상단 전극(240)에 커플링된, RF 생성기(258), 제3 인덕터(252), 및 제3 캐패시터(253)를 가질 수 있다. 제2 접지(255)가 제4 캐패시터(254)를 통해 RF 생성기(258)에 커플링될 수 있다. RF 생성기(258)는 약 400 KHz로 RF 전압 및 전류를 상단 전극(240)에 공급한다.
[0052] 제2 실시예에서, 제2 상단 회로(250) 및 제1 상단 회로(260)는 유사하지 않다. 제2 상단 회로(250)는 제3 인덕터(252) 및 제4 캐패시터(254)를 통해 커플링된 제2 접지(255)를 갖는다. 그러나, 제2 상단 회로(250)는 RF 생성기(258) 또는 제3 캐패시터(253)를 포함하지 않는다.
[0053] ESC(220)는 유전체 바디(202)를 가질 수 있다. 가열기들(204)은 유전체 바디(202)에 배치될 수 있다. 매립된 가열기들(204)은 가열기 전력 회로에 커플링될 수 있다. 하단 전극(106)은 유전체 바디(202)에 매립되고, 그리고 RF 구동 시스템 회로망(300)(도 3 및 도 4에 대하여 상세히 논의됨)에 부착되기 위해 RF 포트(299)에 커플링될 수 있다. 유전체 바디(202)는 세라믹 재료 또는 다른 적합한 절연성 재료로 형성될 수 있다. 예컨대, 유전체 바디(202)는 알루미늄 질화물(AlN)로 형성될 수 있다. ESC(220)는 섭씨 약 300 도를 초과하는 온도들에서의 동작 동안에 전압 누설을 실질적으로 감소시키면서 높은 브레이크다운 전압을 갖는다. ESC(220)는 섭씨 약 300 도를 초과하는 온도들에서 동작되는 경우에 ESC(220)로부터의 전하 누설을 억제하는 유전체 막 코팅 및/또는 시즈닝을 포함할 수 있다. 적합한 유전체 막들은 약 3 내지 12의 유전 상수를 갖는다. 유전 상수는 높은 온도들에서 클램핑/척킹 력을 변형시키기 위해, 그리고 전하 포획을 제어하기 위해 튜닝될 수 있다. 일 실시예에서, 특정된 ESC(220) 동작 온도 범위에서, 유전체 바디(202)는 약 8 내지 약 10의 비 유전 상수, 및 약 1E7 옴-cm 내지 약 1E9 옴-cm의 범위 내에 있는 체적 저항률을 가질 수 있다. 고 전압 ESC(220)는 다른 애플리케이션들 중에서, 산화물과 폴리-실리콘 막들의 다수의 교번 층들을 갖는, 그리고 산화물과 질화물 막들의 다수의 교번 층들을 갖는 게이트 스택 막들을 형성하기 위한 애플리케이션들에 적합하다.
[0054] 아래에서 설명되는 바와 같은 장치는, 메모리 디바이스들을 위한 유전체 재료들의 게이트 스택을 위해 사용되는 계단형 막들이라고 전형적으로 지칭되는 다수의 층 막 증착물들을 생성하기 위해 사용될 수 있다. 각각의 층이 이전의 층 또는 층들 상에 증착됨에 따라 누적되는 응력으로 인해, 프로세스 동안에 또는 프로세스의 종단에서, 실리콘 기판이 휘어질 수 있는데, 이로 인해, 요구되는 휨 사양을 만족시키는 것이 실패될 수 있다는 것이 인식된다. 게이트 스택의 이상적인 휨 사양은 높은 온도 하에서 다수의 교번 층들이 증착된 후의 중립 휨 또는 중립 응력이다. 예컨대, 60-층 게이트 스택 프로세스가 중립 응력을 달성하는 것은 어려운데, 이는 일반적으로, 더 많은 수의 층들이 기판 휨을 악화시키기 때문이다. 따라서, 본 발명에서 개시되는 바와 같은 ESC(220)를 채용하는 증착 장치는 프로세스의 종단에서 기판 휨 또는 응력이 제어되면서 프로세싱할 수 있는 층들의 수를 확장시키는 것을 돕는다.
[0055] ESC(220)의 아래의 구현이 임의의 주파수의 RF 전력에 의해 능동적으로 구동되는 가열기를 갖고 있지만, 프로세싱 챔버의 가열기 측으로부터 구동되는 능동 RF 전력을 포함하는, 높은 온도들에서의 상이한 RF 구동 시나리오들이 고려된다.
[0056] 도 3을 참조하면, 도 3은 RF 구동 시스템 회로망(300)을 위한 제1 실시예를 예시한다. ESC(220)를 구동하는 RF 구동 시스템 회로망(300)은 약 27 MHz의 소스 RF 주파수 및 약 2 MHz의 바이어스 RF 주파수를 사용하고, 이들의 각각의 RF 임피던스 부하는 구동 전극의 반대편 측에 위치된다.
[0057] RF 구동 시스템 회로망(300)은 ESC(220)에 RF 전력을 제공하는 듀얼 주파수 RF 구동 네트워크의 예시적인 구현을 나타내고, 여기서, RF 출력 포트(302)는 ESC(220) 내의 하단 전극(106)에 피드하는 RF 포트(299)에 연결된다. RF 구동 시스템 회로망(300)은 복수의 하위-회로들을 포함한다. RF 구동 시스템 회로망(300)은 DC 필터 회로(310), RF 임피던스 정합 네트워크(330), 및 RF 부하 회로(320)를 포함한다. 부가적으로, RF 구동 시스템 회로망(300)은 DC 소스(312), 제1 RF 구동부(362), 및 하나 또는 그 초과의 전압 및 전류 센서들(VI 센서들)(304, 360)을 갖는다. 하위-회로들(310, 320, 330)은 상이한 기능들을 제공하면서 병렬 방식으로 연결되고, 그 상이한 기능들은, (a) DC 필터 회로(310)를 통해 ESC(220)에 공급되는 척킹 전압, (b) 존재하는 경우에, RF 부하 회로(320)를 통해 소스 RF 구동 주파수(F3)에 대하여 특정한 부하 임피던스를 제공하기 위해 LC 직렬 공진 회로로 구성된 RF 부하, (c) 바이어스 RF 구동 주파수(F2)를 제공하는 RF 임피던스 정합 네트워크(330), 및 (d) 바이어스 RF 구동 주파수(F1)를 위한 RF 임피던스 정합 네트워크(410)(도 4)를 포함한다.
[0058] 부가적으로, RF 구동 시스템 회로망(300)은 공통 전압에 있을 수 있는 복수의 접지들(392, 394, 395, 396, 397)을 갖는다. 접지들(392, 394, 397)은 접지들(392, 394, 397)과 연관된 각각의 캐패시터(318, 384, 322)를 각각 가질 수 있다.
[0059] DC 필터 회로(310)는 RF 구동 시스템 회로망(300)의 나머지로부터 DC 소스(312)를 전기적으로 절연시킬 수 있다. DC 필터 회로(310)는 복수의 인덕터들(316)을 가질 수 있다. 일 실시예에서, DC 필터 회로(310)는 직렬 또는 병렬로 배열된 7개 또는 그 초과의 인덕터들(316)을 가질 수 있다. 또한, DC 필터 회로(310)는 하나 또는 그 초과의 접지들(392)뿐만 아니라 각각의 캐패시터들(318)을 갖는다. DC 필터 회로(310)는 임의의 관여된 RF 구동 주파수 또는 주파수들의 가능한 인입 RF 전압 및 전류에 대하여 DC 척킹 회로망을 보호하기 위해 사용될 수 있다.
[0060] RF 임피던스 정합 네트워크(330)는 인덕터 유닛(341)을 가질 수 있다. 인덕터 유닛은 하나 또는 그 초과의 인덕터들을 가질 수 있고, 접지(393) 및 RF 구동부(362)에 용량성으로 연결될 수 있다. 예컨대, 인덕터 유닛(341)은 서로 직렬 또는 병렬로 배열된 2개의 인덕터들을 가질 수 있다. 부가적으로, RF 임피던스 정합 네트워크(330)는 하나 또는 그 초과의 캐패시터들 또는 가변 캐패시터들을 가질 수 있다. RF 구동부(362)는 2 MHz 또는 다른 적합한 주파수로 동작할 수 있다. RF 구동부(362)는 펄스형 또는 웨이브 구동형일 수 있다.
[0061] 도 4는 RF 구동 시스템 회로망(400)을 위한 선택적인 제2 실시예를 예시한다. 도 4는 도 3에 존재하는 복수의 하위-회로들(310, 320, 330)을 포함한다. 부가적으로, 도 4는 바이어스 RF 구동 주파수(F1)를 제공하는 임피던스 정합 회로(410)를 포함한다. 임피던스 정합 회로(410)는 접지에 부착된 RF 구동부(493)를 포함한다. RF 구동부(493)는 RF 구동 주파수(F1)를 제공하기 위해 약 13.56 MHz로 동작할 수 있다. VI 센서(460)가 RF 구동부(493)와 고역 통과 필터(420) 사이에 배치될 수 있다. 부가적으로, 임피던스 정합 회로(410)는 하나 또는 그 초과의 캐패시터들(441, 452) 및 복수의 접지들(494)을 가질 수 있다. RF 구동 주파수(F1)는 인덕터(432)를 통과하여 임피던스 정합 회로(410)로부터 나갈 수 있다.
[0062] 고역 통과 필터(420)는 복수의 캐패시터들 및 인덕터들을 포함할 수 있다. 부가적으로, 고역 통과 필터(420)는 각각의 개개의 인덕터를 위한 접지를 가질 수 있다. 고역 통과 필터는 차단 주파수보다 더 높은 주파수를 갖는 RF 구동 주파수(F1)를 통과시키고, 차단 주파수보다 더 낮은 주파수들을 감쇠시킨다.
[0063] 이제, 도 3 및 도 4에서 도시된 RF 네트워크가 함께 논의될 것이다. 도 3 및 도 4에서 예시된 전기 회로망은, 페데스탈 유전체 재료들을 통해 척킹 전극 및 가열기 엘리먼트들에 커플링될 수 있는 AC 및 리액티브 RF 전압 및 전류에 대하여, ESC 및 가열기 엘리먼트들을 위한 전력 공급부들을 보호하기 위해 구현될 수 있다. 그러한 커플링은, 각각의 AC 및 RF 부하를 핸들링하도록 설계되지 않은 DC 전력 공급부들 또는 AC 전력 소스들에 유해할 수 있다.
[0064] 다수의 RF 전압 및 전류 센서들(VI 센서들(304, 460, 360))이 F1 및 F2에 대한 RF 구동 입력 측에서 네트워크 내에 매립되고, 하나의 RF 전압 및 전류 센서가 네트워크의 RF 출력 측에 매립되어, 실시간 피드-백 및 피드-포워드 제어를 위해, F1 및 F2의 구동 주파수 둘 모두에서의 전압, 전류, 및 이들의 위상 차이 정보를 제어 유닛에 제공할 수 있다. 그러한 피드백 제어의 하나의 예는, 도 3 및 도 4에서 가변 캐패시터들로서 도시된, 정합 네트워크들 내의 내장된 튜닝 엘리먼트들을 동적으로 조정함으로써, 증착 프로세스 동안에 전압을 일정하게 유지하는 것인 한편, 다른 예는 전류를 일정하게 유지하는 것이며, 또 다른 예는 유효 전력 손실을 일정하게 유지하는 것이다. 유효 RF 전력 손실은 각각의 개개의 주파수에서의 V(t)*I(t) 곱의 사이클당 평균에 의해 표현되고, 그리고 또한, V(t) 및 I(t) 측정의 위치에서의 커플링된 RF 전력이며, 여기서, V(t) 및 I(t)는 각각 RF 전압 및 전류의 시간 도메인 신호이다. 커플링된 전력을 측정하기 위한 다른 동등한 방식은 V*I*cos(
Figure pct00001
)이며, 여기서, V 및 I는 V(t) 및 I(t)의 RMS 또는 평균 제곱근 값들이고,
Figure pct00002
는 V(t)와 I(t) 사이의 위상 차이이다.
[0065] 위에서 언급된 피드백 및 피드-포워드 제어 방법은 정합 네트워크들 내의 내장된 집중 회로 엘리먼트들, 이를테면 가변 캐패시터들 또는 가변 인덕터들에 제한되는 것이 아니라, 동작 주파수(F1 및 F2)를 각각 변화시키기 위한 다른 회로들을 또한 포함한다. 주파수의 변화가 RF 생성기들에서 전기적으로 달성되는 반면에, 캐패시턴스 및 인덕턴스 값의 변화는 그러한 튜닝 엘리먼트들에 부착된 스텝 모터들을 통해 기계적으로 달성된다는 것이 유의된다. 기계적 튜닝과 비교하여 주파수 튜닝이, 요구되는 임피던스에 도달하는 것이 더 신속하거나 또는 시간에 관하여 유리하다. 도 4에서, 가변 캐패시터는 F1 정합 네트워크를 위한 주파수 튜닝 RF 생성기 및 F2 정합 네트워크를 위한 다른 주파수 튜닝 RF 생성기와 함께 작동하는 기계적 튜닝 엘리먼트로서 작용한다. 요구되는 전압, 전류, 및 플라즈마에 커플링된 RF 전력으로 ESC(220)를 구동하기 위해, 제로(zero), 하나, 2개 또는 그 초과의 기계적 튜닝 엘리먼트들이 주파수 튜닝과 함께 사용될 수 있다는 것이 인식된다.
[0066] 다른 실시예에서, RF 부하는 F3의 소스 RF 구동 주파수에서 제로 또는 최소의 RF 임피던스를 생성하는 LC 직렬 공진 회로로서 설계된다. 이는, 용량성 커플링 플라즈마 반응기의 부합되는 부분인, 기판 페데스탈의 반대편 측 상의 샤워헤드 또는 RF 핫 가스 박스 및 페이스 플레이트 스택(즉, 상단 전극)을 구동하는 주파수이다. 그러한 부하 임피던스 튜닝 회로의 기능은, F3 주파수에서의 대부분의 또는 모든 RF 전류가 페데스탈을 통해 흐르게 되는 한편 플라즈마 반응기 챔버의 벽으로 최소의 전류가 흐르게 되거나 또는 전류가 전혀 흐르지 않게 되도록, RF 전류를 위한 바람직한 경로를 제공하기 위한 것이다. 본원에서 설명되는 부하 임피던스는, 막 증착 레이트, 균일성, 및 굴절률들 및 막 응력 레벨을 포함하는(그러나 이에 제한되지는 않음) 막 특성들의 유리한 제어를 위해, 규정된 주파수에서의 제로 또는 모든 RF 전류가 아닌 특정된 양의 RF 전류가 기판 페데스탈을 통해 흐르게 되도록, 동적으로 제어될 수 있다. 소스 RF 구동 주파수(F3)가 바이어스 RF 구동 주파수(F1 및 F2) 중 임의의 주파수와 동일하지 않다는 것이 인식되며, 이는, F1 또는 F2 중 임의의 주파수가 F3에 실질적으로 근접하게 되는 경우에, F1 및 F2에서의 바이어스 RF 전력이 부하에서 종단될 수 있고, 그에 따라, 부하 임피던스의 하류에 있는 기판 페데스탈로 전력이 전혀 전달될 수 없기 때문이다.
[0067] 도 4에서 도시된 바와 같이, ESC(220)와 함께 임피던스 정합 회로(410)로부터의 주파수(F1) 및 F2에서의 임의의 바이어스 RF 전력을 사용하지 않고, 그에 따라, 단일 주파수(F3), 즉 제1 상단 회로(260) 또는 F3와 F4의 다수의 RF 주파수들, 즉 제2 상단 회로(250) 등에서의 유일한 RF 전력만이 샤워헤드 또는 가스 박스 및 페이스 플레이트 스택(즉, 상단 전극)으로부터 유래하는 RF 구성을 사용하는 것이 가능하다. 상업적 애플리케이션들에 대해 FCC에 의해 승인된 모든 산업 주파수 대역을 커버하기 위해 F3가 고 RF 또는 VHF 주파수, 이를테면 약 13.56 MHz, 약 27 MHz, 약 40 MHz, 약 60 MHz 등일 수 있고, F4가 F3보다 상당히 더 낮은 주파수, 예컨대 약 2 MHz 또는 약 400 kHz일 수 있다는 것이 인식된다. 고 주파수(F3)가 고 밀도의 플라즈마를 구동하는 것을 주로 담당할 수 있는 한편, 더 낮은 주파수(F4)는 응력 및 굴절률들을 포함하는 막 품질 파라미터들을 제어하기 위해, 막 성장 동안에 기판 상에 충돌하는 이온 에너지를 제어하는 것을 주로 담당한다는 점에서, 그러한 주파수 구성이 박막 성장 프로세스를 독립적으로 제어하는 데 있어서 유리하다는 것이 인식된다.
[0068] 추가로, RF 구동 전력 중 하나의 또는 여러 RF 구동 전력이 연속파(CW) 신호가 아니라 펄스형 신호인 방식으로, ESC(220)와 함께, 위에서 설명된 소스 및 바이어스 RF 구동 네트워크를 사용하는 것이 현재의 릴리즈에 대해 의도되고, 그 펄스형 신호에서, 펄스형 신호의 진폭은, 특정된 주파수 및 듀티 사이클, 예컨대 약 10 kHz 및 약 50 % 듀티 사이클, 또는 증착 레이트 및 막 특성들에 관하여 막 성장 프로세스에 유리한 임의의 다른 펄싱 주파수 및 듀티 사이클의 구형파로 변조될 수 있다. 하나의 예시적인 구현은 바이어스 전력(F2)이 펄스형인 한편, 소스 전력(F3)은 연속파 구동형인 것이다. 소스 전력이 펄스형이면서 바이어스 전력이 연속파인 반대 구성이 또한, ESC(220)에 대한 본 발명의 원리 하에서 커버된다. 하나의 특정한 예에서, 소스 및 바이어스 RF 전력 둘 모두는 펄싱 모드로 동작될 수 있고, 여기서, 그 소스 및 바이어스 RF 전력의 주파수들은 동일하고, 그 소스 및 바이어스 RF 전력의 위상 관계들은, 동상이 아닌 또는 특정 각도(90/180)로 이상인, 즉 램덤 또는 동기화되지 않은 위상 관계일 수 있거나, 또는 일치하는 또는 동기화된 위상 관계이다. 이하에서, 이러한 구성은 동기화된 펄싱이라고 지칭된다. 동기화된 펄싱이든 또는 비동기화된 펄싱이든, 소스 측으로부터 능동적으로 구동되거나 또는 기판 페데스탈 또는 바이어스 측으로부터 능동적으로 구동되는 다른 주파수, 또는 중첩된 다수의 주파수들이 동시에 존재할 수 있다는 것이 인식된다.
[0069] 도 4에서 도시된 바와 같이, 임피던스 정합 회로(410)는, 다수의 유도성 엘리먼트들에 이은, 션트 캐패시터들로 구성된
Figure pct00003
타입 저역 통과 필터들과 필터들 사이의 브리징 인덕터들의 여러 연접된 스테이지들로 구성된다. 추가로, 특정한 공진 주파수, 이를테면 F1 또는 F2에서 고 임피던스를 달성하기 위해, 브리징 인덕터가 인덕터와 캐패시터의 병렬 공진 회로로 교체될 수 있다는 것이 인식된다. 설계된 주파수들에서의 특정된 고 임피던스의 다수의 그러한
Figure pct00004
타입 저역 통과 필터들은, 모든 동작 주파수들의 각각의 고조파 주파수들을 포함하는 모든 동작 주파수들에서 고 임피던스를 달성하기 위해 연접될 수 있다. 필터 네트워크가 모든 동작 주파수들에 대해 고 임피던스로 RF 정합 회로에 제시되거나 또는 S11의 높은 스캐터링 파라미터를 나타낼 뿐만 아니라, 그 필터 네트워크는 이들 주파수들의 RF 신호들을 상당히 감쇠시키고, 그에 따라, DC 척킹 전력 공급부는 이들 주파수들 중 어떤 주파수에서도 RF 전력 부하가 되지 않으면서 높은 스캐터링 파라미터(S21)를 나타낸다. 예컨대 30 dB를 초과하는 충분한 감쇠가 유리한데, 이는, 상업적으로 입수가능한 DC 전력 공급부들의 대부분이, 본원에서 언급되는 RF 주파수들 중 임의의 주파수에서 부하로서 역할하도록 설계되지 않기 때문이다. 부가적으로, RF 주파수들 각각에서 예컨대 크기가 7.5 kΩ을 초과하는, 필터 네트워크에 대한 충분히 높은 임피던스(S11)가 유리한데, 이는, 그러한 고 입력 임피던스가 정합 회로망으로부터 유출되는 실질적으로 제로의 또는 최소의 전류를 제공할 것이고, 그에 따라, ESC(220)를 위한 DC 척킹 회로는 RF 구동 기능 및 바람직한 튜닝 기능과 간섭하지 않을 것이다.
[0070] 약 50 Hz 내지 약 60 Hz, 그리고 최대 수 킬로 헤르츠의 이들의 고조파 주파수들, 그리고 추가로, 상업적인 스위칭 전력 공급부 스위칭 주파수들의 주파수 대역을 커버하는 최대 수십 킬로 헤르츠 범위를 포함하는 전력 라인 주파수에서, 이전에 설명된 기능이 달성된다는 것이 필터링 네트워크의 현재의 구현의 추가적인 기능이다. 그러한 기능에 대한 이유는, DC 척킹 전력 공급부에 도달할 수 있고 DC 척킹 전력 공급부에 유해할 수 있거나 또는 전압 및 전류 조절 메커니즘을 포함하는 기능과 간섭할 수 있는, 그러한 저 주파수들의 임의의 신호들을 필터링하기 위한 것이다. 그러한 라인 주파수 필터를 구현하는 하나의 예는, 특히 임의의 라인 주파수를 차단하기 위해, 또는 라인 주파수들의 설명된 고조파들을 포함하는 광대역의 노이즈 주파수들을 차단하기 위해, 노치 필터(그러한 노치 필터는 도 7에서 도시됨), 또는 여러 연접된 노치 필터 네트워크의 대역-차단 필터를 사용하는 것이다.
[0071] 가열기들을 위한 AC 전력 라인들 및 ESC 전력 공급부를 보호하기 위한 고 입력 임피던스를 갖는 RF 필터 회로망은, 그 RF 필터 회로에 의해 보호되는 부하 내로 흐르는 RF 전압 및 전류를 감소시키고, 회로 구성은 동작 주파수에 따라 좌우될 수 있다. 예컨대, 약 13.56 MHz에서, LC 병렬 공진 회로는 고 임피던스 회로로서 고 전압 측에 제시되고, 그에 따라, 이상적으로는, RF 주파수에 대해 개방 회로로서 작용하지만, 다른 주파수들 및 DC 전류에 대해 패스 스루(pass through)로서 작용한다. 다수의 RF 주파수들이 관여되는 경우에서, 다수의 필터 스테이지들이 동작 주파수 각각에서 최소의 RF 임피던스 요건을 충족시키기 위해 사용될 수 있다.
[0072] RF 필터 회로망은 모든 동작 주파수들에 대해 임피던스 요건을 충족시키기 위해 다수의 스테이지들을 가질 수 있다. 일 실시예에서, 필터는 인덕터와 병렬로 캐패시터를 갖는다. 온도 체제의 상한 근처에서 동작하는 ESC(220)에 관련된 특정 필터 요건이 존재할 수 있다. 위에서 논의된 바와 같이, 벌크 유전체 재료들의 저항률은 높은 온도에서 훨씬 더 낮게 되고, 이는 매립된 척킹 전극과 가열기 엘리먼트들 사이의 커플링을 증가시킬 수 있는데, 이는 매립된 척킹 전극과 가열기 엘리먼트들이 물리적으로 근접하기 때문이다. 이는, 가열기 회로망의 AC 라인 측에서 주로 나타나는 더 낮은 주파수 신호들이 척킹 전극에 커플링될 수 있고, 척킹 전압에 영향을 미칠 수 있다는 의미이다. 더 낮은 주파수 신호들의 예는 약 50 Hz 또는 약 60 Hz의 라인 주파수이다. 가열기 전력 및 페데스탈 온도를 제어하기 위해 특정한 듀티 사이클로 라인 주파수를 스위칭 온 및 오프시키는 경우에서, 스위칭 주파수는 수 kHz 범위일 수 있다.
[0073] 라인 전압의 상당한 부분이 척킹 전극에 커플링되면서, ESC 벌크 유전체 재료들을 통한 커플링의 결과로서, 약 208 V의 AC 라인 신호의 RMS 값을 갖는 AC 라인을 포함하는 척킹 전극 상에서 측정되는 신호에서, DC ESC 전력 공급부는 노이즈에 대한 부하로서 작용할 것이고, 이는 바람직하지 않을 수 있는데, 이는 상업적으로 이용가능한 DC 전력 공급부들의 대부분이 AC 부하의 역할을 하도록 설계되지 않기 때문이다. AC 커플링 문제는, 벌크 유전체 재료들의 저항률이 훨씬 더 높게 되는 더 낮은 온도들에서는 그렇게 심각하지 않을 수 있다. 위에서 논의된 것과 같은 부가적인 AC 라인 필터들을 통합하는 것은 척킹 전극에 커플링되는 저 주파수 노이즈를 감소시킬 수 있고, ESC 공급부를 보호할 수 있다.
[0074] 다수의 RF 주파수 및 더 낮은 주파수 필터들의 구현은, 필요에 따라 각각의 회로 브랜치 상에서, 필터들이 직렬이든, 병렬이든, 또는 임의의 조합으로 이루어지든 상관없이 필요할 수 있다. 위에서 예시된 회로망에서, 27 MHz 고 임피던스 필터와 직렬인 하나의 13.56 MHz 고 임피던스 필터가, 매립된 가열기 엘리먼트들로 이어지는 연결 라인 각각 사이에 삽입될 수 있고, 반면에, RF 필터들과 직렬인 하나의 부가적인 저 주파수 EMI 필터가, 매립된 ESC 전극과 ESC 전력 공급부 사이에 삽입될 수 있다.
[0075] 다양한 필터 토폴로지들이 사용될 수 있다. 예컨대, 필터 입력 임피던스 값들, 대역폭, 차단 주파수들, 주파수 응답 곡선들, 및 감쇠의 정도 등이 임의의 또는 모든 적절한 조합들로 선택가능할 수 있다. 그러한 필터는, 챔버 환경 내부든지 또는 외부든지, 그러한 필터가 보호하도록 설계된 소스들에 근접하든지, 또는 그 소스들로부터 원격으로 있든지 그리고 떨어져 있든지 상관없이, ESC 그 자체에 대하여 임의의 적절한 위치에 상주할 수 있다.
[0076] 도 7은 60 Hz의 중심 주파수에서 35 dB 감쇠를 달성하기 위해 연산 증폭기를 사용하는 아날로그 노치 필터(700)의 예이다. 아날로그 노치 필터(700)가 120 Hz에서 유사한 노치 필터의 다른 연접된 스테이지와 함께 사용되는 경우에, 거의 20 dB의 일반적인 감쇠가 60 Hz 내지 120 Hz 범위의 주파수 대역 내에서 달성될 수 있다. 도 7에서 도시된 노치 필터의 구현에서, 연산 증폭기(700)를 위한 아날로그 회로가 채용된다. 그러한 연산 증폭기들(700) 또는 이들의 동등한 파트들은, 다수의 개별적인 연산 증폭기 유닛들을 하우징하는 단일 칩 집적 회로 패키지로서 형성될 수 있다. 대역 차단 필터를 위해 그러한 집적된 연산 증폭기 칩들을 사용함으로써, 콤팩트 설계가 달성될 수 있다. 도 8은 도 2에서 도시된 ESC(220)를 이용한 예시적인 증착 레시피 동안의 필터링된 신호와 필터링되지 않은 신호의 비교를 예시하는 그래프이다.
[0077] 특정된 고 동작 온도 체제, 즉 최대 섭씨 700 도의 온도들에서 ESC에서의 존센-라벡(JR) 효과의 사용이 이제, 도 5a에 대하여 논의될 것이며, 여기서, ESC(220)의 벌크 유전체 재료는 알루미늄 질화물(AlN)이고, 그 알루미늄 질화물(AlN)의 체적 저항률은 1E7 내지 1E10 옴-cm의 범위에 있고, 비 유전 상수는 8 내지 10 범위에 있다. 재료들의 기계적 특성들은 재료들의 밀도 및 열 전도율 등을 포함하고, 이들은 아래에 제공되는 표들에서 특정된다.
[0078] 도 5a는 ESC(220) 상에 배치된 기판(540)을 통해 형성된 척킹 회로(500)를 예시한다. 척킹 회로(500)에서, Si로 형성된 기판(540)은 ESC 표면(520)과 부분적으로 접촉하고, 그에 따라, (접촉 갭) 캐패시터(512)를 형성하는 접촉 갭(221)이 형성된다. 기하형상, 갭 높이(521), 유효 접촉 영역, 표면 거칠기, 및 기판뿐만 아니라 AlN 재료의 저항률이 모두 척킹 회로(500)에 기여한다.
[0079] 이제, 척킹 회로(500)는 복수의 노드들에 걸쳐 설명될 것이다. 제1 단부(501)에서, 레지스터 출력이 제1 노드(591)를 통해 접지(504)에 연결될 수 있고, 그리고 제2 노드(592)에 연결될 수 있다. 제2 단부(502)에서, ESC 공급 전압(552)이 접지(554)와 제6 노드 사이에 배치될 수 있다. 복수의 하위-회로들이 척킹 회로(500)에 기여할 수 있다. 예컨대, 기판 회로(573), 갭 회로(575), 및 지지부 회로(574)가 척킹 회로(500)의 제1 단부에서의 제2 노드(592)와 제2 단부(502)에서의 제6 노드(596) 사이에 배치될 수 있다.
[0080] 기판 회로(573)는 제2 노드(592)와 가상 노드(599) 사이에 형성된다. 제3 노드(593) 및 제4 노드(594)는 척킹 회로(500)를 설명하는 목적을 위해 가상 노드(599)로서 전기적으로 함께 보여질 수 있다. 제1 레지스터(544)가 척킹 회로(500)의 제2 노드(592)와 척킹 회로(500)의 제3 노드(593) 사이에 배치된다. 제1 캐패시터(542)가 제1 레지스터(544)에 병렬로 배치될 수 있고, 제2 노드(592)와 제4 노드(594) 사이에 배치될 수 있다. 제2 노드(592)와 제3 및 제4 노드(593, 594) 사이의 기판 회로(573), 즉 제1 레지스터(544) 및 제1 캐패시터(542)는 기판에 배치되고, 양단에 제1 전압(581)을 가질 수 있다.
[0081] 갭 회로(575)는 가상 노드(599)와 제5 노드(595) 사이에 형성된다. 갭 회로(575)는 제2 캐패시터(514), 제3 캐패시터(512), 및 제2 레지스터(515)를 갖고, 그 제2 캐패시터(514), 제3 캐패시터(512), 및 제2 레지스터(515)는 모두 가상 노드(599)와 제5 노드(595) 사이에서 병렬이다. 갭 전압(582)이 가상 노드(599)와 제5 노드(595) 사이에서 측정될 수 있다.
[0082] 지지부 회로(574)는 제5 노드(595)와 제6 노드(596) 사이에 형성될 수 있다. 지지부 회로(574)는 제4 캐패시터(564) 및 제3 레지스터(563)를 갖는다. 제4 캐패시터(564) 및 제3 레지스터(563)는 제5 노드(595)와 제6 노드(596) 사이에서 병렬이다. 지지부 전압(584)이 제5 노드(595)와 제6 노드(596) 사이에서 측정될 수 있다.
[0083] 접촉 갭 캐패시터, 즉 제2 캐패시터(514) 및 제3 캐패시터(512) 상의 전하 및 전하의 분포는 척킹 회로(500)에 의해 영향을 받고, 그에 따라, 지지부 전압(584)의 상당한 부분이 접촉 갭(221)에 인가될 것이고, 이는 척킹력을 효과적으로 생성한다. 접촉 갭 캐패시터를 충전하기 위한 그리고 방전하기 위한 시간이 또한, 기판(540)을 완전히 척킹하기 위한 그리고 ESC(220)로부터 기판(540)을 후속적으로 릴리즈하기 위한 시간을 결정한다. (ESC 공급 전압(552)에서 공급되는) ESC 전력 공급 전류는, 필요에 따라, 프로세싱 레시피의 특정한 단계들에서 또는 기판(540)의 전체 프로세싱 동안에 일정한 척킹 전압을 유지하도록 구성된다.
[0084] 아래에 제공된 표들 1 및 2에서, ESC(220)를 위해 사용될 수 있는 여러 특정 그레이드 알루미늄 질화물 재료들의 예들을 제공하였다. 표 1은 AlN 유전체 재료들의 조성을 예시한다. 표 2는 ESC(220)에서 사용되는 AlN 유전체 재료들에 대한 기계적 특성들을 예시한다. 도 6은 AlN 유전체 재료들의 전기적 특성들을 예시한다. 체적 저항률이 제1, 제2, 제3, 및 제4 재료에 대한 온도에 대하여 플롯된다. AlN 재료들의 예들은 HA-50, HA-12, HA38, HA38L, HA-37, HA37L, HA37V, HA-35, HA40, HA20, HA45, 또는 다른 유사하게 적합한 재료일 수 있다. 재료들은 Y-축 상의 약 1.e+00 옴-cm 내지 약 1.e+18 옴-cm의 범위의 체적 저항률, 및 X-축 상의 섭씨 -10 도 내지 섭씨 약 1200 도의 온도 범위를 가질 수 있다. 예시적인 구현에서, 섭씨 600 도 주위에서 척킹 성능을 최적화할 수 있는 HA12 그레이드 재료들을 사용할 수 있다.
Figure pct00005
Figure pct00006
[0085] PECVD 애플리케이션 관점에서, 높은 온도는, 특히, 특정된 동작 온도 체제에서, 박막 품질 이점들을 발생시킨다. ESC(220)의 경우에, 그레이드 HA12 AlN의 170 W/m-K의 열 전도율은, 섭씨 약 650 도 동작 온도의 온도들에서, 섭씨 약 5 도 온도 범위 또는 변동을 제공하는 것으로 발견되었다.
[0086] 적절한 척킹력은 최소의 시간 내에 또는 수 초 미만의 시간 내에 기판(540)을 클램핑할 수 있고, 기판(540)이 릴리즈될 때까지 클램핑력을 지속하는 척킹력이다. 적절한 척킹 전압 또는 사실상 시간에 걸친 전압 시퀀스(voltage-over-time sequence)는 방법으로부터 유래하고, 그리고 레시피마다 또는 애플리케이션마다 상이할 수 있다. AlN 체적 저항률은 또한, 척킹력 및 DC 척킹 전력 공급 전류에 영향을 미친다. 도 10은 ESC의 기하형상 및 재료 특성들에 관련된 여러 핵심 파라미터들에 의해 척킹력이 어떻게 영향을 받을 수 있는지를 예시하는 그래프이다. 그래프는, 특히, 상이한 ESC 재료들과 연관된 3개의 설계들을 도시한다. 예컨대, AlN 체적 저항률에 대한 척킹력 변동, 접촉 갭 높이, 및 접촉 영역의 퍼센티지는 도 6에서의 회로 모델로부터의 계산에 기초한다.
[0087] 도 10에서 도시된, AlN 체적 저항률에 대한 척킹력 변동이, 도 5a에 관하여 위에서 설명된 척킹 회로(500) 도시에 기초하여, 접촉 갭 높이 및 접촉 영역의 퍼센티지에 따라 좌우된다는 것이 인식되어야 한다. 접촉 갭 전압의 이상적인 파형이 최소의 상승 및 하강 시간, 그리고 그 상승 및 하강 시간 사이의 실질적으로 평탄한 부분을 요구하고, 여기서, 그 파형의 값이, 인가되는 ESC 공급 전압(552)의 상당한 부분에 접근하여야 한다는 것이 유의된다. 전형적으로, 그러한 요건들은, 동일한 그레이드의 재료들이 사용되는 경우에, 동작 온도의 전체 체제에 걸쳐 충족되지는 않는다. 이는 유전체 재료들의 온도 종속 성질로 인한 것이다. 도 6은 실온 내지 최대 섭씨 750 도에서, 수십배만큼 변화되는, 특정한 그레이드들의 AlN 재료들에 대한 체적 저항률을 예시한다. 구체적으로, 데이터는, 동작 온도가 선형적으로 증가되는 경우에, 저항률이 거의 지수적으로 하강되는 것을 나타낸다. 따라서, 특정된 동작 온도 체제에 대해 적절한 그레이드 재료들을 선택하기 위해, 상이한 구성들이 필요할 수 있다.
[0088] 도 5a와 함께 도 2를 참조하면, ESC(220)의 상단 표면에 축적된 표면 전하는 반전도성 재료들의 유한한 전도율로 인한 전하 마이그레이션의 결과이다. 상단 표면에 축적된 표면 전하는 반대 극성의 전하들이 더 근접하게 되도록 하여, 접촉 갭(221)을 효과적으로 감소시킨다. 정전 척킹력은 접촉 갭 전압(582)의 제곱에 비례하고, 그리고 접촉 갭 높이(521)의 제곱에 반비례한다. 따라서, 접촉 갭(221)에 걸친 전하 마이그레이션은 주어진 ESC 공급 전압(552)에서 척킹력을 증가시키는 것을 돕는다. 다시 말하면, 더 높은 전도율을 갖는 ESC(220)의 재료는 더 낮은 전도율을 갖는 종래의 척과 비교하여 더 높은 척킹력을 나타낼 수 있다. 이러한 전하 마이그레이션 현상은 존센 및 라벡에 의해 처음 설명되었고, 종종 J-R 효과라고 지칭된다. 높은 온도 체제, 즉 최대 섭씨 약 700 도의 온도들에서, AlN 유전체 재료들은 고 전도율 또는 저 저항률을 나타내고, 그에 따라, 개시되는 ESC(220) 구현이 J-R 효과 척의 카테고리에 들게 한다. 쿨롱 효과 척은 J-R 카테고리와 다르고, 쿨롱 효과 척에서, 유전체 재료들은 훨씬 덜 전도성이거나 또는 심지어 전도성이 아니고, 그에 따라, 동등한 척킹력에 도달하기 위해 더 높은 ESC 공급 전압(552)을 요구한다.
[0089] 도 9a 내지 도 9c는 기판과 조밀한 접촉을 형성하는 데 적합한 AlN 표면 패턴을 위한 구현들의 예들을 예시한다. 도 9a는 약 64 %의 조밀한 접촉, 즉 높은 접촉 영역을 형성하는 AlN 표면 패턴을 위한 예이다. 도 9b는 약 30 %의 조밀한 접촉, 즉 중간 접촉 영역을 형성하는 AlN 표면 패턴을 위한 예이다. 도 9c는 약 0.3 %의 조밀한 접촉, 즉 낮은 접촉 영역을 형성하는 AlN 표면 패턴을 위한 예이다. 도 9a 내지 도 9c에서 예시된 AlN 표면 패턴은 300 mm 직경 기판뿐만 아니라 450 mm 직경 기판에 적합하다. 도 9a 내지 도 9c는 특정한 타입의 프로세스 애플리케이션들에 대하여 표면 접촉을 최적화하는 여러 예들을 도시한다.
[0090] 도 9a에서, 특정된 표면 거칠기를 갖는 정사각형 형상의 아일랜드들이, 균일한 방식으로, 기판 배면 영역의 약 64 %와 접촉하기 위해 사용되고, 반면에, 제2 예는 불-균일한 방식으로 희박한 접촉을 사용한다. 주어진 클램핑 압력에 대해, 총 척킹력이 유효 접촉 영역에 비례하지만, 접촉 영역만이 유일한 설계 고려사항인 것은 아니다. 원하는 온도 균일성을 달성하기 위해, ESC(220)의 열적 특성들이 또한 고려되어야 한다.
[0091] 도 9b에서, 4개의 직립된 오브젝트들 또는 탭들의 그룹이 기판 에지들 바로 외측에 위치되고, 그 4개의 직립된 오브젝트들 또는 탭들은, 기판이 척킹되기 전에 이동하는 경우에 대비하여, 탭들 내에 기판을 수용하도록 설계된다. ESC 표면에 대한 그러한 기판 이동은, 상이한 또는 훨씬 더 높은 온도의 ESC 표면과 접촉할 시의 기판의 즉각적인(instant) 열 팽창 또는 열 충격이라고 지칭되는 현상으로 인해 가능하게 될 수 있다. 기판 치수의 즉각적인 및 부분적인 기계적 팽창은 실질적인 기판 변형을 야기할 수 있고, 그에 따라, ESC 페데스탈에 대한 기판 변위를 초래할 수 있다. 이는, 기판에 대해 증착 프로세스가 진행되는 동안에 기판이 변위된 상태로 유지되는 경우에, 일관적이지 않은 프로세스 결과들, 또는 최악의 경우에는 기판 파손을 초래하므로 바람직하지 않다.
[0092] ESC 표면 온도와 동일한 또는 ESC 표면 온도에 실질적으로 근사한 온도로 기판을 예열하는 것은 열 충격을 최소화할 수 있다. 기판을 예열하는 개시되는 방법은 프로세스 챔버 내로 이송하기 전에 예열하는 것, 및 열 전달의 소스로서 적절한 플라즈마 충격들을 사용하는 인-시튜 가열 프로세스를 포함한다. 인-시튜 예열을 구현하는 하나의 예는 높은 압력의 비활성 가스 및 낮은 RF 전력을 사용하여 증착 단계 전에 그러한 프로세스 단계를 생성하는 것이다. 그러한 비활성 가스 종은 낮은 밀도 플라즈마를 지속하기 위해 He, Ar, Xe 등 및 대략 수백 와트의 각각의 전력 레벨을 포함한다. 그러한 예열 단계 또는 단계들의 세부사항들은, 예열 후의 기판 온도가 ESC 페데스탈 온도에 도달할 수 있거나 또는 충분히 작은 온도 차이를 갖는 온도에 도달할 수 있게 되어, 열 충격이 제거 또는 최소화될 수 있도록 하는 의도로, 가스 종, RF 전력, 및 예열 시간의 조합을 포함하도록 최적화될 수 있다.
[0093] ESC 동작 온도로 기판을 예열하는 대안적인 방법은 별개의 챔버를 사용할 수 있고, 그 별개의 챔버에서, 동일한 효과를 달성하기 위해 접촉 열 전달 또는 복사 열 전달을 통한 적절한 가열 방법들이 채용될 수 있다. 그러한 예열 챔버는, 가열 메커니즘이 구현된, 기판 이송을 위한 기존의 로드 락 챔버일 수 있다. 본 명세서에서 임의의 작동 구현의 세부사항들이 정확하게 설명되지 않을 수 있음에도 불구하고, 당업자에게 예열 챔버들의 설계 및 구현이 자명할 것으로 고려된다.
[0094] 접촉 표면의 선택은 기판에 매우 근접한 또는 기판과 접촉하는 ESC(220)의 영역을 지정하고, 척킹력 및 타이밍 성능에 영향을 미친다. 파라미터들은 임의의 주어진 애플리케이션을 위한 바람직한 척킹력들을 발생시키도록 선택될 수 있다. 이들 파라미터들은 벌크 ESC 재료 특성들, 표면 접촉 영역, 예컨대 도 9a 내지 도 9c에서 도시된 바와 같은 접촉의 임의의 특정 패턴, 및 상단 접촉 표면 마감의 거칠기(Ra) 등을 포함하며, 그 접촉의 임의의 특정 패턴은, 메사 아일랜드(mesa island)들이라고 종종 지칭되는 동일한 또는 동일하지 않은 접촉 아일랜드들, 메사 아일랜드 각각의 형상 및 높이, 및 ESC 표면의 일부 또는 전부에 대한 균일한 또는 불-균일한 수의 밀도의, ESC 표면에 걸친 그 메사 아일랜드들의 집합적인 분포를 포함한다.
[0095] 접촉 표면 최적화 프로세스는, 동작 온도, ESC 전압, ESC 전류, 및 척킹하기 위한 또는 릴리즈하기 위한 시간에 따라, 하나의 애플리케이션 요건에 최상인 ESC 설계, 또는 광범위한 애플리케이션 요건들을 위한 설계들을 산출할 수 있다. 예컨대, 하나의 최적화 프로세스는 최대의 접촉 영역을 사용한 최소의 척킹 전압을 목표로 할 수 있는 한편, 다른 최적화 프로세스는 ESC 전력 공급부 상의 DC 척킹 전류를 최소화하는 것을 요구할 수 있다. 척킹 전류를 낮추는 요건은 전력 공급부 패키징 관점에서 바람직할 수 있는데, 이는 그 전력 공급부 패키징이 ESC 조립체 내에 쉽게 통합될 수 있는 작은 폼 팩터 전력 ESC 공급부를 요구할 것이기 때문이다. 낮은 척킹 전류를 유지하는 부가적인 이점은, 척킹에 관련된 DC 저항성 가열이 ESC(220) 표면 상의 전체 온도 분포에 영향을 미치는 인자로서 고려되지 않는 경우에, 척킹 동안에 과도한 저항성 가열을 감소시키기 위해, ESC 벌크 재료들 상에 가해지는 과도한 DC 전력을 최소화하는 것이다. 다시 말하면, DC 척킹 전력이 인가되거나 또는 인가되지 않으면서, ESC 표면 온도의 평균 및 분포가 변화될 수 있고, 그에 따라, 기판 온도의 드리프트가 발생될 수 있다.
[0096] 과도한 ESC 전류는, ESC 전류의 모든 또는 상당한 부분이 기판을 통해 접지로 흐르는 경우에, 기판 상에 상주하는 디바이스 구조들에 전기적 손상을 유발할 수 있는 임계치를 잠재적으로 초과할 수 있다. 그러한 전기적 손상은 충전 손상 및/또는 절연 층 파손을 포함할 수 있다. 잠재적인 손상을 최소화하기 위해 높은 동작 온도 하에서 ESC 전류를 최적화하기 위한 여러 방법들 중 하나는 더 높은 저항률을 갖는 유전체 재료들을 사용하는 것이다.
[0097] ESC(220)를 위한 HA-50 그레이드 벌크 AlN 유전체 재료는, 1E8 W-cm의 HA-12 그레이드의 체적 저항률과 비교하여, 섭씨 650 도에서 1E10 W-cm의 체적 저항률을 갖는다. 따라서, HA-50은 HA-12보다 더 낮은 ESC 전류를 나타낼 것이다. HA-12 그레이드 재료에 대한 총 ESC 전류는, 플라즈마 리턴 경로를 통해 흐르지 않고, 가열기 엘리먼트들로의 벌크 재료를 통해, 접지로 직접적으로 흐를 수 있다. 더 높은 AlN 저항률에서, 이를테면 HA-50 그레이드 벌크 AlN 유전체 재료의 경우에, ESC 전류는 플라즈마를 통해 접지로 흐르는 경향을 가질 것이다.
[0098] 가열기 엘리먼트들을 통해 접지로 흐르는 ESC 전류를 감소시키는 다른 방법은 접지 전위에 대하여 가열기 엘리먼트들을 플로팅하는 것이다. 이 방법은, 벌크 유전체 재료들의 저항률과 상관없이, 접지 전류의 부분을 완전히 제거할 수 있다. 그러한 DC 절연을 구현하는 예가 도 5b에서 도시된다. 도 5b는 ESC(220)를 위한 절연 변압기(206)를 갖는 척킹 회로를 예시한다.
[0099] ESC는 척킹 전극의 접지 경로 상에서 캐패시터(622)와 함께 바이폴러 전력 공급부(620)를 가질 수 있다. 온도 제어기(474)가 광학 링크(610)에 의해 ESC(220)에 커플링될 수 있고, 그 광학 링크(610)는 제어기(474)와 ESC(220) 사이에서 제어 신호들이 광학적으로 통신될 수 있게 한다. 온도 프로브(472)가 온도를 검출하기 위해 ESC(220)에 또는 주위에 배치될 수 있다.
[00100] 가열기들(204)은 절연 변압기(206)를 통해 50 Hz 또는 60 Hz의 AC 라인들에 의해 전력 공급되고, 그 절연 변압기(206)는 가열기(204)와 AC 라인들(L1) 사이에 삽입된다. ESC(220)의 가열기들(204)은 섭씨 약 650 도의 동작 온도를 제공하도록 구성된다. 온도 제어기(474)는, 프로브(472)가 ESC(220)의 온도를 온도 제어기(474)에 제공하는 것에 응답하여, 광학 링크(610)를 통해 ESC(220) 내의 가열기들(204)을 제어할 수 있다.
[00101] AC 전력 라인들(L1)에 대한 절연 변압기(206)에 의해 DC 전류 누설이 감소될 수 있다. 부가적으로, 접지 경로는 광학 링크(610)에 의해 온도 제어기(474)로부터 차단될 수 있다. 따라서, 플라즈마에서 전자 전류보다 이온 전류가 훨씬 더 낮기 때문에, 이온 전류로 인해 음의 척킹 극성을 사용함으로써, 플라즈마로의 누설 전류들이 감소될 수 있다.
[00102] 도 5b는 ESC를 위한 절연 변압기를 갖는 척킹 회로를 예시한다. 변압기는 절연의 방법을 제공하고, 그리고 파손되지 않으면서 최대 ESC 전압을 견디지만, 변압기의 일차 및 이차 변압기 코일 와인딩들에 걸쳐 DC 전류가 전혀 흐르지 않을 수 있게 하도록 설계된다. 그러나, 한편으로, 50 Hz 또는 60 Hz AC 전류가 변압기의 일차 및 이차 코일 와인딩들 사이를 자유롭게 통과할 수 있다. 다수의 구역들로 구성된 가열기 엘리먼트들의 경우에, 다수의 변압기들, 또는 다수의 일차 및/또는 이차 코일 와인딩들을 갖는 단일 변압기가 가열기 엘리먼트들과 접지 사이의 DC 절연을 유지하기 위해 필요할 수 있다.
[00103] ESC 전류를 감소시키는 또 다른 예는 ESC 페데스탈 표면 상에 고 저항률 또는 절연성 재료들의 층을 생성하는 것이고, 그 고 저항률 또는 절연성 재료들의 층은 플라즈마를 통해 챔버 접지로 누설되는 DC 전류를 차단하거나 또는 상당히 감소시킬 것이다. 그러한 절연성 층은 동작 온도에서 벌크 유전체 재료들과 비교하여 더 높은 저항률을 나타내고, 동작 온도 하에서 벌크 유전체 재료들에 양호하게 접착될 뿐만 아니라, 임의의 가능한 열 사이클들을 견디며, 그리고 접지로의 DC 전류 경로가 될 수 있는 공극들 또는 핀홀들이 없어야 할 필요가 있다. 그러한 절연성 층은, 더 높은 주파수들에서의 전압들, 즉 단일 또는 다수의 RF 주파수들의 RF 전압들 및 AC 라인 전압들과의 임의의 가능한 중첩과 함께 또는 그러한 중첩 없이, 최대 DC 척킹 전압을 받는 경우에, 동일한 또는 충분한 절연 조건들을 지속해야만 할 수 있다. 그러한 절연 층은 적합한 코팅 프로세스를 통해 페데스탈 내에 영구적으로 제조될 수 있거나, 또는 챔버 환경 내부에서, 한번 또는 반복적으로, 증착 프로세스가 시작되기 전에 인-시튜로 생성될 수 있다. DC 절연의 층의 인-시튜 증착의 경우에서, 그러한 층이 시간에 걸쳐 마모 또는 열화될 수 있는 경우에, 적절한 시간 기간에 걸쳐 충분한 절연을 달성하도록, 두께, 커버리지의 영역, 및 막 조성이 제어될 수 있다. 전형적인 막 조성은 실리콘 질화물, 실리콘 산화물, 및 동일한 절연 요건을 충족시킬 수 있는 다른 유사한 또는 상이한 특성들을 포함한다.
[00104] 이제 도 11로 넘어가면, 도 11은 ESC(220)를 구성하기 위한 방법을 예시한다. 제1 동작(1110)에서, 금속 전극이 ESC의 재료 내부에 삽입되고, 여기서, 금속 전극은 ESC의 기판 지지 표면과 유사한 사이즈로 이루어지고, 기판 지지 표면에 실질적으로 평행하다. 제2 동작(1120)에서, 금속 전극은 회로를 통해 DC 전력 공급부에 연결되고, 그 DC 전력 공급부는 전극에 전하를 제공하며, 여기서, 전극으로부터의 전하는 재료를 통해 ESC의 기판 지지 표면으로 이동하고, 여기서, 회로는 금속 전극에 척킹 전압 및 전하들을 공급하는 폐쇄 루프 전기 회로망이다.
[00105] 금속 가열기 엘리먼트들은, 동작 온도뿐만 아니라, 척 및 기판에 걸친 동작 온도의 균일성을 제어하기 위해, ESC의 벌크 유전체 재료 내부에 매립된다. 그러한 가열기 엘리먼트들은, 특정한 패턴들을 형성하는, 텅스텐, 몰리브덴으로 제조된 단일 또는 다수의 피스들의 가열기 필라멘트들, 또는 다른 저항성 가열기 엘리먼트들일 수 있다. 가열기 엘리먼트들의 위치 및 레이아웃은 동작 온도 및 온도 분포, 또는 척 표면에 걸친 온도 프로파일에 직접적으로 영향을 미친다. 그러한 온도 프로파일은 시간 기간에 걸쳐 실질적으로 일관적일 수 있거나, 또는 가열기 엘리먼트들 각각으로의 전력을 동적으로 조정함으로써, 상이하지만 바람직한 온도 프로파일로 변화될 수 있다. 페데스탈 유전체 재료들 내부에 매립된 인-시튜 온도 센서들에 기초한 폐쇄 루프 온도 제어는, 척 및 기판 표면에 걸친 온도 기울기 및 정확한 동작 온도를 유지하기 위해 사용된다. 이는, 박막 품질, 이를테면, 이들의 두께 및 균일성, 응력, 유전 상수, 및 굴절률들 등이 막 증착 동안의 동작 온도에 밀접하게 관련되는 PECVD 애플리케이션에 대해 중요한 양상이다.
[00106] 이제, ESC(220)의 동작이 도 12에 대하여 간략하게 논의될 것이다. 도 12는 ESC를 이용하여 기판을 척킹하기 위한 방법을 예시한다. 제1 동작(1210)에서, 프로세싱 챔버에 배치된 ESC의 기판 지지 표면 상에 기판이 배치된다. 제2 동작(1220)에서, 전하가 회로를 통해 ESC 내의 척킹 전극에 도입된다. 제3 동작(1230)에서, 전하와 동일한 상단 전하가 기판에 도입되고, 여기서, 상단 전하는 기판 지지 표면 상의 전하와 반대인 극성 전하로 이루어진다. 제4 동작(1240)에서, 기판은 반대 전하들 사이의 쿨롱 인력들을 이용하여 ESC에 대하여 홀딩된다. 제5 동작(1250)에서, 기판 상의 전하들이 고갈될 때까지 플라즈마를 유지하면서, ESC에 포함된 전하들과 함께, 전극에 공급된 전압을 제거함으로써, 기판이 ESC로부터 릴리즈된다.
[00107] 일 실시예에서, ESC 동작 파라미터들에 대한 타이밍 제어들은, ESC 전압을 턴 온하기 전에 RF 전력을 이용하여 헬륨 플라즈마를 점화하고 지속하도록 세팅되고, 여기서, 헬륨 플라즈마 충격으로 인해 기판이 높은 온도로 가열될 수 있고, 그에 따라, 척킹이 발생하기 전에 표면 응력이 감소될 수 있다. 다른 실시예에서, 척킹 방법은 최적의 기판 결과들을 위해 레시피 단계들에 따라 상이한 ESC 전압들을 동작시키는 것이고, 여기서, 예컨대, 휘어진 기판을 신속하게 척킹하고 평탄화하기 위해 척킹 단계의 시작 시에 스파이크 전압이 사용될 수 있는 한편, 클램핑력을 유지하기 위해 그리고 낮은 척킹 전압으로부터의 기판 릴리즈를 준비하기 위해 추후의 프로세스 단계들에 대해 더 낮은 ESC 전압이 사용된다.
[00108] 본원에서 설명되는 개시된 기술의 일부 부가적인 비-제한적 예들이 다음과 같이 설명될 수 있다.
예 1. 반도체 제조 프로세스에서 리소그래피 애플리케이션들을 위해 유전체 재료로 형성된 하드 마스크 막들을 생성하는 데 사용하기 위한 위에서 설명된 바와 같은 방법 및 장치. 하드 마스크 막은, 특정된 두께 및 재료 특성들의 박막 증착 층을 이미 지탱하고 있는 실리콘 기판의 상단 상에 또는 베어(bare) 실리콘 기판의 상단 상에 증착될 수 있다.
예 2. 산화물과 폴리-실리콘 막들의 다수의 교번 층들, 및 산화물과 질화물 막들의 다수의 교번 층들을 갖는 온 게이트 스택 막(on gate stack film)들을 생성하는 데 사용하기 위한 위에서 설명된 바와 같은 방법 및 장치.
예 3. 평탄하지 않거나 또는 특정된 휨을 갖거나, 또는 막 성장 동안의 누적된 잔류 응력으로 인해 평탄하지 않게 될 수 있거나 또는 특정 휨을 나타내게 될 수 있는 인입 기판들을 프로세싱하는 데 적합한, 예들 1 및 2에서 설명된 바와 같은 방법 및 장치. 그러한 인입 기판 휨 또는 누적된 기판 휨은 인장 또는 압축 응력 발생(origin)들로부터 300 마이크로미터 내에 있을 수 있다. 게이트 스택의 이상적인 휨 사양은 높은 온도 하에서 다수의 교번 층들이 증착된 후의 중립 휨 또는 중립 응력이다.
예 4. 위에서 특정된 바와 같은 높은 온도들에서 인입 기판들을 프로세싱하는 데 적합한, 위의 예들에서 설명된 바와 같은 방법 및 장치로서, 모든 박막 증착은 기판의 전방 또는 상단 면 상에서 발생하고, 반면에, 인입 기판 휨 또는 누적된 기판 휨, 또는 그에 이은 부재에도 불구하고, 기판의 배면 상에 박막 증착들이 전혀 존재하지 않는다.
예 5. 반도체 제조 프로세스 플로우 동안에 PECVD 프로세스를 위한 용량성 커플링 플라즈마를 지원하기 위해, 하나 또는 다수의 RF 임피던스 정합 회로 네트워크들, 부하 임피던스 튜닝 회로 네트워크, 및 DC 필터 회로 네트워크에 의해 능동적으로 구동되는 고온 ESC.
예 6. 예 5의 ESC는 하나 또는 다수의 RF 임피던스 정합 회로 네트워크들에 의해 능동적으로 구동되는 대신에, 접지 전위로 또는 거의 접지 전위로 유지되고, 그리고 별개의 RF 임피던스 정합 회로 네트워크 또는 네트워크들에 의해 능동적으로 구동되는, 페이스 플레이트와 가스 박스의 스택을 위한 접지 경로로서 작용할 수 있다. 그러나, 예 5의 위의 ESC는, 반도체 제조 프로세스 플로우 동안에 PECVD 프로세스를 위한 용량성 커플링 플라즈마를 지원하기 위해, 조정가능 또는 비-조정가능 부하 임피던스 튜닝 회로 네트워크 및 DC 필터 회로 네트워크에 의해 구동된다.
예 7. 예 5 또는 6의 ESC는 RF 임피던스 정합 네트워크들을 갖고, 그 RF 임피던스 정합 네트워크들은, 기판에서 바람직한 RF 전압, 전류, 및 커플링된 전력을 달성하기 위해, 가변 튜닝 엘리먼트들, 및 각각의 주파수들의 RF 전력 소스로서의 RF 생성기들로 구성되고, 여기서, 이들 RF 전압, 전류, 및 커플링된 플라즈마 전력은 RF 임피던스 정합 네트워크들 내부 또는 외부에 위치되는 매립된 전압 및 전류 센서들에 의해 측정되고, 한편, 센서들 중 적어도 하나는 기판에 또는 기판 근처에 위치되어, V(t), I(t)의 시간 도메인 신호, 센서들 사이의 위상 차이, 및 평균 제곱근(RMS) 값들로 환산된 RF 사이클당 평균된 값들을 제공할 수 있고; 그리고 유효 전력 손실 또는 유효 커플링 전력은 RF 사이클당 평균된 V(t)*I(t)로부터 도출될 수 있거나, 또는 V(t) 및 I(t)의 RMS 값과 cos(위상)의 곱에 의해 도출될 수 있다.
예 8. 위의 예 5, 6, 또는 7에서, RF 생성기들은 기판에서 바람직한 RF 전압, 전류, 및 커플링된 전력을 달성하기 위해 그 RF 생성기들의 각각의 주파수들을 변화시킬 수 있다. RF 생성기들은 비-연속파 또는 펄싱 동작을 제공할 수 있고, 여기서, 이들의 진폭은 펄싱 주파수에 의해 그리고 특정된 듀티 사이클 하에서 변조될 수 있다. RF 생성기들은 서로에 대하여 랜덤 또는 일관된 위상 관계를 나타내도록 프로그래밍될 수 있다.
예 9. 예 5 또는 6의 ESC를 위한 위의 DC 필터 회로는 다수의 유도성 엘리먼트들에 이은, 션트 캐패시터들을 갖는
Figure pct00007
타입 또는 다른 적절한 타입들의 저역 통과 필터들과 그 필터들 사이의 브리징 인덕터들의 여러 연접된 스테이지들을 포함한다. 특정한 공진 주파수에서 고 임피던스를 달성하기 위해, 브리징 인덕터가 인덕터와 캐패시터의 병렬 공진 회로로 교체될 수 있다. 그러한 필터 네트워크들은 원하는 동작 주파수들에서 실질적인 고 입력 임피던스 및 실질적인 고 감쇠 둘 모두를 나타낼 수 있다.
예 10. 유전체 페데스탈 표면에 대하여 기판을 즉각적으로 클램핑하고, 후속하여, 유전체 페데스탈 표면으로부터 동일한 기판을 릴리즈하는 장치 및 방법으로서, 페데스탈에 의해 클램핑되기 전에 기판이 다양한 정도들의 압축 휨 또는 인장 휨을 나타내었든지 또는 기판이 평탄하든지와 상관없이, 기판은 실질적으로 평탄하게 되고, 페데스탈 표면에 대하여 실질적으로 평행하게 유지된다.
예 11. 예 10에서 참조된 유전체 페데스탈은 반도체 박막 증착 애플리케이션들에 대해 바람직한 섭씨 100 도 내지 섭씨 700 도 온도의 범위에서 동작하고, 여기서, 동작 온도는 임의의 주어진 시간에서의 실시간 온도 측정들에 기초하여 또는 시간 기간에 걸쳐 폐쇄 루프로 제어되고, 그 시간 기간에서, 동작 온도는 실질적으로 일관적이거나, 또는 동작 온도는 미리 정의된 코스에 따르도록 변화된다.
예 12. 섭씨 100 도 내지 섭씨 700 도 온도의 범위에서 동작하는 유전체 페데스탈에서, 페데스탈의 표면에 걸친 유전체 페데스탈의 온도 변동은 실질적으로 작고, 하나의 예에서, 평균 동작 온도에 대하여 수 퍼센트 미만이다.
예 13. 섭씨 100 도 내지 섭씨 700 도의 범위에서 동작하는 유전체 페데스탈에서, 유전체 페데스탈은, 기판 배면과 페데스탈 상단 표면 사이에 반대 전하 극성을 제공하기 위해 폐쇄 루프 전기 회로망을 형성하는 매립된 전도성 전극을 통합하고, 폐쇄 루프는, 페데스탈 그 자체뿐만 아니라 다른 지원 파트들을 포함하는 전도성 벽들과 기판 사이에 지속되는 플라즈마를 포함할 수 있다.
예 14. 섭씨 100 도 내지 섭씨 700 도의 범위에서 동작하는 유전체 페데스탈에서, 유전페 페데스탈은 위에서 특정된 바와 같은 적절한 열적, 기계적, 및 전기적 특성들의 벌크 유전체 재료들로 구성되고, 여기서, 유전체 재료들은, 주로, 미리 정의된 기하형상의 페데스탈의 조밀한 바디를 형성하는, 섭씨 1000 도를 초과하는 온도 하에서 소결된 알루미늄 질화물로 구성되고, 여기서, 페데스탈 바디는 미리 정의된 기하형상 및 표면 조건들에 따라 추가로 머시닝 및 폴리싱될 수 있다. 전기적 특성들에 대해 특히, 유전체 재료들의 체적 저항률은, 유전체 재료들의 동작 온도에 따라, 1E7 W-cm 내지 1E10 W-cm의 범위에 속하도록 제어될 것이고, 그에 의해, 그러한 저 레벨의 체적 저항률은 매립된 척킹 전극으로부터 페데스탈의 상단 표면 쪽으로의 전하 마이그레이션을 가능하게 하고, 그에 의해, 그러한 표면 전하는 기판의 배면 상에 동일한 양의 그러나 반대 극성의 전하를 유도할 수 있다. 반대 극성 전하는 페데스탈에 대하여 기판을 클램핑하게 될 연속적인 쿨롱 인력을 생성하기 위해 방전에 대하여 지속될 수 있다. 전형적으로, ESC 동작의 그러한 체제는, 본 발명과 비교하여 상당히 더 낮은 온도 체제에서 동작하는 선행 기술들에서 존센-라벡 정전 척이라고 지칭된다. 신규한 존센-라벡 정전 척은 선행 기술들과 비교하여 훨씬 더 높은 온도 하에서 그리고 훨씬 더 넓은 온도 범위에서 동작한다.
예 15. 섭씨 100 도 내지 섭씨 700 도의 범위에서 동작하는 예 10에서의 유전체 페데스탈에서, 유전체 페데스탈은, 페데스탈의 바디 내부의 상이한 구역들을 점유하는, 특정한 패턴 또는 여러 특정한 패턴들을 형성하는 매립된 가열기 엘리먼트들을 통합한다. 이들 가열기 엘리먼트들은 하나 또는 다수의 DC 전력 공급부들에 의해 전력 공급되거나, 또는 AC 라인들을 사용하여 직접적으로 전력 공급된다.
예 16. 섭씨 100 도 내지 섭씨 700 도의 범위에서 동작하는 예 15에서의 유전체 페데스탈에서, 유전체 페데스탈은, 페데스탈 근처에 존재할 수 있거나 또는 다른 위치로부터 페데스탈에 커플링될 수 있는 무선 주파수 및 더 낮은 주파수 전압 및 전류로 인한 잠재적인 피해에 대항하는 전기 보호 회로망의 네트워크를 통합한다. 보호 회로망은, DC, AC 라인 주파수들, RF 주파수들, 최대 VHF 주파수들로부터 광범위한 주파수 스펙트럼에 걸쳐 확산될 수 있거나 또는 전적으로 하나의 주파수 내에서 분포될 수 있는 임의의 잠재적으로 유해한 전압 및 전류의 충분한 감쇠를 달성하기 위해, 퓨즈들, 스위치들, 접지로의 방전 경로들, 전류 제한 디바이스들, 전압 제한 디바이스들, 및 필터링 디바이스들로 구성될 수 있다.
예 17. 예 16에서의 전기 보호 회로망의 네트워크는, p, L의 아래에서 나열된 회로 토폴로지들, 및 토폴로지들의 다른 관련된, 동등한 또는 적절한 조합들, 이들의 입력 임피던스, 대역폭, 차단 주파수들(존재하는 경우), 이들의 주파수 응답 곡선들, 및 감쇠의 정도 등으로 구성된다(그러나 이에 제한되지는 않음).
예 18. 예 10에서의 유전체 페데스탈에서, 유전체 페데스탈의 표면은 클램핑 시에 균일한 또는 불-균일한 패턴을 형성하는 미세 피처들을 포함할 수 있고, 여기서, 패턴은 기판의 배면의 전체 영역의 전체 퍼센티지 또는 부분적 퍼센티지로서 기판의 배면에 제시될 수 있다. 패턴의 접촉 표면은 머시닝 및 폴리싱의 결과로서 미세 거칠기를 나타낼 수 있고, 그리고 페데스탈과 실질적으로 동일한 재료 또는 상이한 재료들의 적절한 두께의 코팅을 포함할 수 있다.
예 19. 예 10에서의 유전체 페데스탈에서, 유전체 페데스탈의 표면은, 별개의 아일랜드들 또는 메사 구조들의 형태의 피처들을 포함할 수 있고, 그 피처들의 상단 표면은 기판 배면과 접촉하며, 아일랜드들의 형상들은 동일하거나 또는 상이하고, 피처들은 ESC 표면에 걸쳐 균일한 밀도 또는 불-균일한 밀도로 분포된다. 또한, 표면은, 프로세싱 동안에 상단 표면이 기판과 접촉하지 않고 기판 레벨과 유사한 또는 기판 레벨보다 더 높은 레벨로 직립될 수 있는 피처들을 포함할 수 있다. 위에서 설명된 후자의 피처들은 기판 프로세싱 동안에 어떠한 목적들을 위한 역할도 하지 않을 수 있거나, 또는 기판이 척킹되기 전에 임의의 기판 이동이 발생할 수 있는 경우에, 필요에 따라 기판 정지부들로서 역할을 할 수 있다. 그러한 기판 정지부들의 수, 형상, 위치, 및 재료 조성은 본원에서 상세히 개시되는 구현에 제한되는 것이 아니라, 페데스탈에 대해 분리가능할 수 있는 연속적인 링 타입의 구조들로의 피처 확장들을 포함할 수 있다.
예 20. 전형적으로, 반도체 제조 환경 내에서 예 10에서의 페데스탈을 동작시키는 방법은 미리 정의된 압력 및 온도 하의 다양한 케미스트리들로 구성되고, 여기서, 프로세싱 시간에 걸쳐 척킹 전극 전압, 전류, 온도가 제어된다.
예 21. 플라즈마 강화 화학 기상 증착 프로세스에서 페데스탈을 사용하는 방법.
예 22. 다른 박막 증착 및 제거 프로세스들에서 예 10에서의 방법 및 장치의 사용은, 에칭, 물리 기상 증착, 원자 층 증착 및 에칭, 및 높은 온도의 동작 및 기판 클램핑 피처들 둘 모두를 채용하는 이외의 것을 포함한다(그러나 이에 제한되지는 않음).
[00109] 위에서 개시된 방법들 및 장치는 유리하게, 개선된 품질을 위해 높은 온도들에서 기판 상에 다수의 층들, 즉 피처들, 이를테면 게이트들이 형성될 수 있게 한다. 척킹 기법들은 막 증착 프로세스 동안에, 휘어진 기판들 상의 배면 막 증착을 제거하고, 이는 오염을 방지함으로써 리소그래피 툴 가동 시간을 실질적으로 증가시킨다. 본원에서 개시되는 방법들 및 장치는, 반도체 제조 프로세스에서 리소그래피 애플리케이션들을 위한 유전체 재료들의 하드 마스크들에 대해 사용되는 진보된 포토 막들뿐만 아니라, 메모리 디바이스들에서 게이트 스택들에 대해 사용되는 기판 상에 형성되는 다수의 막 층들, 즉 계단형 막들에 특히 적합하다. 따라서, 높은 온도 하에서 다수의 교번 층들이 증착된 후에, 게이트 스택의 중립 휨 또는 중립 응력 휨 사양이 달성가능하다.
[00110] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 지지 조립체로서,
    상부 표면, 원통형 측벽, 및 하부 표면을 갖는 실질적인 디스크-형상 세라믹 바디 ― 상기 상부 표면은 진공 프로세싱 챔버에서 상기 상부 표면 상에 기판을 지지하도록 구성되고, 상기 원통형 측벽은 상기 세라믹 바디의 외측 직경을 정의하고, 상기 하부 표면은 상기 상부 표면 반대편에 배치됨 ―;
    상기 세라믹 바디에 배치된 전극; 및
    상기 전극에 전기적으로 연결되고, 상기 전극에 척킹 전압(chucking voltage)을 제공하도록 구성된 메인 회로
    를 포함하며,
    상기 메인 회로는,
    DC 척킹 회로;
    제1 RF 구동 회로; 및
    제2 RF 구동 회로
    를 포함하고,
    상기 DC 척킹 회로, 상기 제1 RF 구동 회로, 및 상기 제2 RF 구동 회로는 상기 전극과 함께 전기적으로 커플링되는,
    기판 지지 조립체.
  2. 제1 항에 있어서,
    상기 메인 회로는 제3 RF 부하 회로를 더 포함하는,
    기판 지지 조립체.
  3. 제1 항에 있어서,
    상기 제1 RF 구동 회로는,
    고역 통과 필터; 및
    RF 구동부
    를 포함하는,
    기판 지지 조립체.
  4. 제3 항에 있어서,
    상기 제2 RF 구동 회로는 약 2 MHz로 RF 전력을 제공하도록 동작가능하고, 상기 제1 RF 구동 회로는 약 13.56 MHz로 RF 전력을 제공하도록 동작가능한,
    기판 지지 조립체.
  5. 프로세싱 챔버로서,
    내부 볼륨을 에워싸는 덮개 및 벽들을 갖는 바디; 및
    상기 내부 볼륨에서 상기 덮개 상에 배치된 기판 지지 조립체
    를 포함하며,
    상기 기판 지지 조립체는,
    상부 표면, 원통형 측벽, 및 하부 표면을 갖는 실질적인 디스크-형상 세라믹 바디 ― 상기 상부 표면은 진공 프로세싱 챔버에서 상기 상부 표면 상에 기판을 지지하도록 구성되고, 상기 원통형 측벽은 상기 세라믹 바디의 외측 직경을 정의하고, 상기 하부 표면은 상기 상부 표면 반대편에 배치됨 ―;
    상기 세라믹 바디에 배치된 하단 전극; 및
    상기 하단 전극에 전기적으로 연결된 메인 회로
    를 포함하고,
    상기 회로는,
    DC 척킹 회로;
    제1 RF 구동 회로; 및
    제2 RF 구동 회로
    를 포함하고,
    상기 DC 척킹 회로, 상기 제1 RF 구동 회로, 및 상기 제2 RF 구동 회로는 상기 전극과 함께 전기적으로 커플링되는,
    프로세싱 챔버.
  6. 제5 항에 있어서,
    상기 하단 전극과 상단 전극은 용량성 커플링 플라즈마 생성기를 형성하는,
    프로세싱 챔버.
  7. 제6 항에 있어서,
    상기 상단 전극을 구동하기 위한 제1 상단 회로를 더 포함하는,
    프로세싱 챔버.
  8. 제7 항에 있어서,
    상기 상단 전극을 구동하기 위한 제2 상단 회로를 더 포함하는,
    프로세싱 챔버.
  9. 제8 항에 있어서,
    상기 제2 상단 회로는 상기 상단 전극에 약 400 KHz로 RF 전력을 제공하도록 동작가능하고, 상기 제1 상단 회로는 상기 상단 전극에 약 27 MHz로 RF 전력을 제공하도록 동작가능한,
    프로세싱 챔버.
  10. 제5 항에 있어서,
    상기 제2 RF 구동 회로는 약 2 MHz로 RF 전력을 제공하도록 동작가능하고, 상기 제1 RF 구동 회로는 약 13.56 MHz로 RF 전력을 제공하도록 동작가능한,
    프로세싱 챔버.
  11. 제10 항에 있어서,
    상기 메인 회로는 제3 RF 부하 회로를 더 포함하는,
    프로세싱 챔버.
  12. 제5 항에 있어서,
    상기 제1 RF 구동 회로는,
    고역 통과 필터; 및
    RF 구동부
    를 포함하는,
    프로세싱 챔버.
  13. ESC를 구성하기 위한 방법으로서,
    ESC의 벌크(bulk) 재료 내부에 금속 전극을 삽입하는 단계 ― 상기 금속 전극은 상기 ESC의 기판 지지 표면과 유사한 사이즈로 이루어지고, 상기 기판 지지 표면에 실질적으로 평행함 ―; 및
    상기 전극에 전하를 제공하는 DC 전력 공급부에 회로를 통해 상기 금속 전극을 연결하는 단계
    를 포함하며,
    상기 전극으로부터의 전하는 상기 재료를 통해 상기 ESC의 상기 기판 지지 표면으로 이동하고, 상기 회로는 상기 금속 전극에 척킹 전압 및 전하들을 공급하도록 구성된 폐쇄 루프 전기 회로망인,
    ESC를 구성하기 위한 방법.
  14. 제13 항에 있어서,
    상기 벌크 재료는 알루미늄 질화물로 형성되는,
    ESC를 구성하기 위한 방법.
  15. 제13 항에 있어서,
    상이한 전압들에 독립적으로 연결되도록 구성된 다수의 전극들로 척킹 전극을 형성하는 단계를 더 포함하는,
    ESC를 구성하기 위한 방법.
KR1020187019487A 2015-12-07 2016-12-05 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치 KR102649333B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562264096P 2015-12-07 2015-12-07
US62/264,096 2015-12-07
PCT/US2016/065007 WO2017100136A1 (en) 2015-12-07 2016-12-05 Method and apparatus for clamping and declamping substrates using electrostatic chucks

Publications (2)

Publication Number Publication Date
KR20180082626A true KR20180082626A (ko) 2018-07-18
KR102649333B1 KR102649333B1 (ko) 2024-03-18

Family

ID=58798521

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187019487A KR102649333B1 (ko) 2015-12-07 2016-12-05 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치

Country Status (6)

Country Link
US (1) US20170162417A1 (ko)
JP (2) JP2019504481A (ko)
KR (1) KR102649333B1 (ko)
CN (1) CN108369921B (ko)
TW (2) TWI773296B (ko)
WO (1) WO2017100136A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230007899A (ko) * 2021-07-06 2023-01-13 한국표준과학연구원 플라즈마 진단기능을 갖는 정전척

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10867812B2 (en) 2017-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
US10904996B2 (en) * 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US11569072B2 (en) * 2018-05-03 2023-01-31 Applied Materials, Inc. RF grounding configuration for pedestals
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10546731B1 (en) * 2018-10-05 2020-01-28 Applied Materials, Inc. Method, apparatus and system for wafer dechucking using dynamic voltage sweeping
CN112970090B (zh) * 2018-10-30 2024-06-21 朗姆研究公司 用于等离子体处理工具的衬底状态检测
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020117421A1 (en) 2018-12-03 2020-06-11 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
WO2020149972A1 (en) 2019-01-15 2020-07-23 Applied Materials, Inc. Pedestal for substrate processing chambers
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
SG11202110823VA (en) * 2019-04-15 2021-10-28 Applied Materials Inc Electrostatic chucking process
US11189517B2 (en) * 2019-04-26 2021-11-30 Applied Materials, Inc. RF electrostatic chuck filter circuit
KR102344529B1 (ko) * 2019-07-01 2021-12-29 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11676804B2 (en) 2019-07-01 2023-06-13 Semes Co., Ltd. Apparatus and method for treating substrate
CN110284138A (zh) * 2019-07-16 2019-09-27 佛山市三高保温水箱有限公司 一种热泵热水器搪瓷内胆自动化搪瓷生产线
JP2022545774A (ja) 2019-08-19 2022-10-31 アプライド マテリアルズ インコーポレイテッド 複数の周波数においてrfパラメータを制御する方法および装置
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11776835B2 (en) * 2020-09-29 2023-10-03 Applied Materials, Inc. Power supply signal conditioning for an electrostatic chuck
US12057339B2 (en) 2020-10-23 2024-08-06 Applied Materials, Inc. Bipolar electrostatic chuck to limit DC discharge
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP7534235B2 (ja) * 2021-02-01 2024-08-14 東京エレクトロン株式会社 フィルタ回路及びプラズマ処理装置
US11955361B2 (en) 2021-04-15 2024-04-09 Applied Materials, Inc. Electrostatic chuck with mesas
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
WO2022235499A1 (en) * 2021-05-03 2022-11-10 Lam Research Corporation Wafer state detection
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
WO2022266784A1 (en) * 2021-06-21 2022-12-29 Applied Materials, Inc. Methods and apparatus for controlling radio frequency electrode impedances in process chambers
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007157696A (ja) * 2005-10-18 2007-06-21 Applied Materials Inc プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御
JP2011529273A (ja) * 2008-07-23 2011-12-01 アプライド マテリアルズ インコーポレイテッド プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート
JP2013175740A (ja) * 2008-05-21 2013-09-05 Tokyo Electron Ltd 載置台機構及びこれを用いたプラズマ処理装置
JP2014096594A (ja) * 2006-10-06 2014-05-22 Tokyo Electron Ltd プラズマエッチング装置およびプラズマエッチング方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2011A (en) * 1841-03-18 Appabatxts for piling saws
US3805165A (en) * 1972-06-08 1974-04-16 Bendix Corp Heterodyne amplifier circuits
JPH10241895A (ja) * 1996-11-04 1998-09-11 Applied Materials Inc プラズマシース発生高調波をフィルタリングすることによるプラズマプロセス効率の改善
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
JP4451098B2 (ja) * 2002-08-22 2010-04-14 住友大阪セメント株式会社 サセプタ装置
US7264688B1 (en) * 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20080084650A1 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
US7813103B2 (en) * 2007-10-11 2010-10-12 Applied Materials, Inc. Time-based wafer de-chucking from an electrostatic chuck having separate RF BIAS and DC chucking electrodes
WO2009062227A1 (en) * 2007-11-14 2009-05-22 Renergyx Pty Limited Electrical energy and distribution system
KR101582785B1 (ko) * 2008-08-12 2016-01-07 어플라이드 머티어리얼스, 인코포레이티드 정전 척 조립체
JP5332442B2 (ja) * 2008-09-19 2013-11-06 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
JP5960384B2 (ja) * 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8803424B2 (en) * 2010-10-20 2014-08-12 COMET Technologies USA, Inc. RF/VHF impedance matching, 4 quadrant, dual directional coupler with V RMS/IRMS responding detector circuitry
US8491759B2 (en) * 2010-10-20 2013-07-23 COMET Technologies USA, Inc. RF impedance matching network with secondary frequency and sub-harmonic variant
JP6207880B2 (ja) * 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US9177787B2 (en) * 2013-03-15 2015-11-03 Applied Materials, Inc. NH3 containing plasma nitridation of a layer of a three dimensional structure on a substrate
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007157696A (ja) * 2005-10-18 2007-06-21 Applied Materials Inc プラズマリアクタ内のイオン密度、イオンエネルギー分布及びイオン解離の独立した制御
JP2014096594A (ja) * 2006-10-06 2014-05-22 Tokyo Electron Ltd プラズマエッチング装置およびプラズマエッチング方法
JP2013175740A (ja) * 2008-05-21 2013-09-05 Tokyo Electron Ltd 載置台機構及びこれを用いたプラズマ処理装置
JP2011529273A (ja) * 2008-07-23 2011-12-01 アプライド マテリアルズ インコーポレイテッド プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230007899A (ko) * 2021-07-06 2023-01-13 한국표준과학연구원 플라즈마 진단기능을 갖는 정전척

Also Published As

Publication number Publication date
TW202139348A (zh) 2021-10-16
WO2017100136A1 (en) 2017-06-15
JP7279222B2 (ja) 2023-05-22
US20170162417A1 (en) 2017-06-08
CN108369921B (zh) 2023-12-12
TWI729042B (zh) 2021-06-01
KR102649333B1 (ko) 2024-03-18
JP2022084630A (ja) 2022-06-07
JP2019504481A (ja) 2019-02-14
TWI773296B (zh) 2022-08-01
CN108369921A (zh) 2018-08-03
TW201731131A (zh) 2017-09-01

Similar Documents

Publication Publication Date Title
KR102649333B1 (ko) 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
KR102377951B1 (ko) 정전 척들의 전극들의 파라미터들을 설정하고 조정하기 위한 임피던스들을 갖는 튜닝 회로들을 포함하는 rf 튜닝 시스템들
JP6868553B2 (ja) プラズマ化学気相堆積システムにおいて高温で圧縮又は引張応力を有するウェハを処理する方法及び装置
KR20140004718U (ko) 극단 엣지 튜닝성을 위한 연장형 및 독립형의 rf 전력공급형 음극 기판과 함께 사용하기 위한 프로세스 키트 구성요소들
US11776835B2 (en) Power supply signal conditioning for an electrostatic chuck
CN114730688A (zh) 调谐电路中基于频率的阻抗调整
KR20220143947A (ko) 플라즈마 프로세싱 디바이스의 에지 링에서의 전력을 조작하기 위한 장치 및 방법들
US11984306B2 (en) Plasma chamber and chamber component cleaning methods
TWI850242B (zh) 基板處理系統及其操作方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant