CN100423196C - 螺旋谐振器型等离子体处理设备 - Google Patents

螺旋谐振器型等离子体处理设备 Download PDF

Info

Publication number
CN100423196C
CN100423196C CNB2004100897723A CN200410089772A CN100423196C CN 100423196 C CN100423196 C CN 100423196C CN B2004100897723 A CNB2004100897723 A CN B2004100897723A CN 200410089772 A CN200410089772 A CN 200410089772A CN 100423196 C CN100423196 C CN 100423196C
Authority
CN
China
Prior art keywords
equipment
plasma
process chamber
helical coil
magnet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100897723A
Other languages
English (en)
Other versions
CN1614746A (zh
Inventor
金大一
马东俊
金国闰
崔圣圭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1614746A publication Critical patent/CN1614746A/zh
Application granted granted Critical
Publication of CN100423196C publication Critical patent/CN100423196C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了一种螺旋谐振器等离子体处理设备。该等离子体处理设备包括:处理室,该处理室具有衬底托架,该衬底托架支撑待处理的衬底;设置在处理室上以便与处理室的内部空间相连通的介电管;围绕介电管的外管缠绕的螺旋线圈;以及向螺旋线圈供给RF功率的RF电源。介电管为双管形式,包括内管和外管。而在外管内设置等离子体源气体入口,用以将等离子体源气体供给到内管和外管之间的空间内。在介电管内设置控制电极,用以控制等离子体电势。这种等离子体处理设备提供了沿晶片径向的均匀等离子体密度分布,并易于控制处理室内的等离子体电势。

Description

螺旋谐振器型等离子体处理设备
技术领域
本发明涉及一种等离子体处理设备,并尤其涉及一种利用螺旋线圈(helix coil)的螺旋谐振器型等离子体处理设备。
背景技术
目前,等离子体源在半导体工业中广泛用于处理精细半导体器件或平面显示面板。即,等离子体源越来越成为蚀刻薄膜或为了制造半导体器件而在晶片表面上沉积预定的薄材料膜或者为了制造诸如LCD的平板显示器而在衬底上沉积预定的薄材料膜中不可或缺的工具。于是,处理等离子体源的设备的发展成为半导体工业的核心需求。
近年来,随着半导体技术的迅猛发展,半导体器件的集成密度已经快速提高。而且,为了提高处理效率,必须增加制造半导体器件的晶片的直径。为了满足这些工业需求,对于蚀刻或沉积非常薄的膜来说,确保等离子体均匀性是必不可少的。为了这个目的,在等离子体产生机制,如电感耦合等离子体(ICP)机制、电子回旋共振机制、螺旋波等离子体机制以及螺旋谐振器等离子体机制方面,已经积极开展了各种尝试来以低温下的正规生产(normal production)获得高密度和更高密度均匀性的等离子体源。
在上述等离子体产生机制中,已经发现螺旋型谐振器是在低温下触发(igniting)和保持高密度等离子体的最适当的辐射源。尤其是,由螺旋型谐振器的结构特性而造成的飞溅颗粒的方向性为蚀刻设备提供了更高的适用性。尽管具有如此的结构优点,但是由于在处理室内难于确保在晶片径向上的等离子体密度均匀性,螺旋谐振器型等离子体源并未广泛用于蚀刻或沉积的半导体处理中。
图1是传统螺旋谐振器等离子体处理设备的局部剖开透视图的示意图,而图2示出在处理室内靠近衬底的等离子体密度分布。
参照图1,螺旋线圈20围绕介电管10缠绕,该介电管10通常由石英制成。用于供给等离子体源气体的气体入口12形成在介电管10的上部上。螺旋线圈20的下端接地,同时上端开路。在螺旋线圈20的预定位置上形成一个抽头22,RF电源26经匹配网络24连接到该抽头22上。金属圆筒30围绕螺旋线圈20放置。金属圆筒30、螺旋线圈20和介电管10同轴设置在处理室40内。处理室40保持在真空状态下。为此目的,连接到真空泵49上的真空吸取口48形成在处理室40的底壁上。用于支撑待处理的晶片50的晶片托架42设置在处理室40的下部。晶片托架42连接到RF电源46上,用于经由匹配网络44将偏压功率施加到晶片托架42上。
当等离子体源气体通过气体入口12提供到介电管10内且由RF电源26产生的RF功率经匹配网络24和抽头22供给到螺旋线圈20上时,在介电管10内产生高密度等离子体。所产生的等离子体在处理室40内朝要处理的晶片50散射,用来或是通过于晶片化学反映而蚀刻或是在晶片50的表面上沉积预定的材料膜。
高密度等离子体在具有相对小直径的介电管10内产生,并且漫射到具有相对大直径的处理室40内,漫射到处理室40内的高密度等离子体主要竖直地朝向晶片50行进,并且也在一定程度上沿径向行进。然而,如图2所示,尽管由在螺旋谐振器内产生的等离子体的方向性造成的径向漫射,晶片50的等离子体密度在其中心部分较高。这意味着沿着晶片50径向的等离子体密度分布不均匀。
即,传统螺旋谐振器等离子体处理设备在沿晶片50的径向上获得均匀的等离子体密度上存在困难。尤其是,当晶片5直径较大时,这个问题尤为严重。等离子体密度的非均匀性会在蚀刻深度以及沉积在晶片50上的材料的膜厚度方面产生差异。这些问题会显著降低半导体器件的质量以及生产率。
同时,需要根据处理类型或处理条件对所产生的等离子体电势加以适当控制。例如,当相对高的偏压电势从连接到晶片托架42的RF电源46施加到晶片50上时,会在具有高纵横比的薄膜的边缘上产生陡壁效应(cliffing effect),这是由于应力集中在边缘上所致。为了避免陡壁效应,需要提高等离子体电势并降低偏压电势。相反,为了更高的加工精度,对于蚀刻或沉积纳米单位的薄膜来说,保持等离子体电势低是必须的。然而,传统螺旋谐振器等离子体处理设备在针对特别处理和处理条件而充分控制等离子体电势方面存在缺陷。
于是,需要一种能够确保高等离子体密度、维持均匀的等离子体密度分布并易于控制等离子体电势的等离子体处理设备。
发明内容
为了解决上述问题,本发明提供了一种螺旋谐振器型等离子体处理设备,该设备被构造成改善靠近晶片的等离子体的密度均匀性,并在处理室内易于控制等离子体电势。
根据本发明的一个方面,螺旋谐振器等离子体处理设备包括:处理室,该处理室具有衬底托架,该衬底托架支撑待处理的衬底;介电管,该介电管设置在处理室上,以便与处理室的内部空间相连通,该介电管包括内管、围绕内管的外管、以及设置在外管上用来将等离子体源气体供给到处理室的内管和外管之间的内部空间中的源气体入口;围绕介电管的外管缠绕的螺旋线圈;以及RF电源,用于将RF功率提供给螺旋线圈。
根据本发明的另一方面,螺旋谐振器等离子体处理设备还包括设置在介电管内的控制电极,用以控制在介电管内产生的等离子体电势,并包括可变DC电源,用以向控制电极施加预定电势。
控制电极优选地设置在内管的上部和外管的上部之间。
优选的是,控制电极设置在源气体入口之下,并具有多个孔,源气体可以通过这些孔。
优选的是,具有多个孔的等离子体分配器(plasma distributor)设置在介电管的下部。在这种情况下,等离子体分配器优选地形成为与内管和外管之间的空间相对应的环形。
优选的是,一个螺旋线圈端部接地,而另一端部电开路,并且开路这端缠绕到陶瓷件中。
优选的是,在螺旋线圈的不同位置处形成多个抽头,而将RF功率选择性施加到抽头上的开关设置在抽头和RF电源之间。在这种情况下,多个抽头中的每个抽头设置在螺旋线圈的每隔一圈上。
优选的是,螺旋线圈具有方形横截面形状。
围绕螺旋线圈设置一个金属圆筒。将螺旋线圈产生的热量耗散到外部的辐射风扇(radiation fan)设置在金属圆筒内侧,而在金属圆筒的盖上可以形成多个将空气排到外侧的多个孔。
根据本发明的另一方面,螺旋谐振器等离子体处理设备还包括多个磁铁,这些磁铁围绕处理室的内周设置,间隔开预定距离。
靠近处理室的内周可以设置圆柱形的磁铁支撑单元,以支撑多个磁铁,优选地,在磁铁支撑单元上形成多个狭槽,以便将每个磁铁插入到狭槽中。优选地,在磁铁支撑单元上设置冷却磁铁的冷却线路(cooling line)。
优选地,在磁铁支撑单元的内侧上设置圆柱形状的保护单元,以保护磁铁不受等离子体影响。
根据本发明另一方面,螺旋谐振器等离子体处理设备还包括工艺气体注入单元,用以注入加工放置在处理室内的晶片的工艺气体。优选地,工艺气体注入单元包括通过处理室的侧壁安装的气体入口、设置在处理室内的环形喷射器、以及形成在喷射器内用以将工艺气体从气体入口分配到处理室内的多个气体分配孔。
RF电源可以通过匹配网络连接到螺旋线圈上。
衬底托架连接到偏压电源上,偏压电源是RF电源,而RF电源优选地经由匹配网络电连接到衬底托架上。
根据本发明的另一方面,螺旋谐振器等离子体处理设备包括:具有衬底托架以支撑待处理的衬底的处理室;设置在处理室内以便与处理室的内部空间相连通的介电管,在该介电管内设置源气体入口,以供给等离子体源气体;围绕介电管缠绕的螺旋线圈;将RF功率供给螺旋线圈的RF电源;设置在介电管内的控制电极,以控制介电管内产生的等离子体电势;以及将预定电势施加到控制电极上的可变DC电源。
根据本发明的等离子体处理设备的使用对沿着晶片的径向、靠近晶片的等离子体的密度均匀性提供了改善,并且提供了一种控制处理室内的等离子体电势的简便方法。
附图说明
本发明的上述和其他特征和优点将通过参照附图对其优选实施例的描述而变得更清楚,图中:
图1是传统螺旋谐振器等离子体处理设备的局部剖开的透视图;
图2是示出传统等离子体处理室内靠近晶片的等离子体密度分布的曲线;
图3是根据本发明优选实施例的螺旋谐振器等离子体处理设备的垂直横截面图;
图4是图3所示的介电管、控制电极、螺旋线圈和等离子体分配器的局部剖开的透视图;
图5是与图4中所示的不同的控制电极的透视图;
图6是图3所示的金属圆筒盖和辐射风扇的局部分解透视图;
图7是图3所示的磁铁安装结构的局部分解透视图;
图8是图3所示的工艺气体注入单元的局部剖开的透视图;以及
图9是示出在根据本发明的螺旋谐振器等离子体处理设备的处理室内、靠近晶片的等离子体密度分布的示意图。
具体实施方式
下面,将参照附图更全面地描述本分明,附图中以举例的形式示出本发明的优选实施例。在所有附图中,相同的附图标记标识相同的元件。
图3是根据本发明优选实施例的螺旋谐振器等离子体处理设备的垂直横截面图,图4是图3所示的介电管、控制电极、螺旋线圈和等离子体分配器的局部剖开的透视图。
参照图3和4,根据本发明的螺旋谐振器等离子体处理设备是一种半导体加工设备,用于借助于螺旋谐振器100产生的等离子体进行精细加工,如蚀刻衬底表面,或在衬底上沉积预定的材料层。装载在处理室150内的衬底可以是用于制造半导体器件的硅晶片W。
螺旋谐振器等离子体处理设备包括处理室150和设置在处理室内的用于产生等离子体的螺旋谐振器100,其中处理室具有用于支撑晶片W的衬底托架160。
螺旋谐振器100包括设置在处理室150内的介电管110、围绕介电管110缠绕的螺旋线圈120、以及向螺旋线圈120提供RF功率的RF电源128。
介电管110通过在处理室150顶壁(ceiling wall)上形成的连接孔152与处理室150连通,并优选地由石英制成。
介电管110由双管结构形成,包括内管112和围绕内管112的外管114。内管112的上部被封闭而下部开放。用于将源气体供给到内管112和外管114之间的空间内的源气体入口116形成在外管114的上部,而外管114的下部向处理室150开放。
在介电管110内,通过源气体入口116供给的等离子体源气体不填充内管112的内部空间,而是填充内管112和外管114之间的空间。于是,由供给到螺旋线圈120上的RF功率所产生的等离子体滞留在内管112和外管114之间的空间内。由此,等离子体为环形。环形的等离子体进入处理室150内、朝向晶片W运行,靠近晶片W具有均匀的密度分布,这将在后面具体描述。
优选的是,具有多个孔118A的等离子体分配器118设置在介电管110的下端处。等离子体分配器118设置在介电管110和处理室150之间。等离子体分配器118可以形成为与内管112和外管114之间的空间相对应的环形,并可以插入到处理室150顶壁上形成的连接孔152中。
等离子体分配器118被构造成通过使介电管110内产生的等离子体穿过多个孔118A将等离子体更均匀和广泛地分配到处理室150内。从而在处理室150内实现了在径向上的均匀等离子体密度分布。
螺旋线圈120围绕介电管110缠绕多圈,并且它的端部(即传统上为下端)接地(接地端),而另一端部(即上端)为电开路(开路端)。优选的是,开路端由陶瓷121缠绕。陶瓷的目的在于防止(protect)在螺旋线圈120的开路端和其他相邻部件之间可能出现的火花放电。
来自RF电源128的RF功率供给到螺旋线圈120上。为了更高的耦合效率,RF功率可以经匹配网络126施加到螺旋线圈120上。
当RF功率施加到螺旋线圈120上的任意点时,由流过螺旋线圈120的电流形成时变磁场,而由时变磁场感应出电磁场。所感应的电磁场通过离子化等离子体源气体而产生等离子体。此时,来自RF功率分接在螺旋线圈120上的点的一端,即接地端电感耦合,而另一端,即开路端电容耦合,由此产生谐振。在这种情况下,通过所产生的谐振(LC谐振)可以进行有效地螺旋线圈120传递能量。因此,可以通过螺旋谐振器产生高密度等离子体。
在螺旋线圈120上形成要连接到RF电源128上的多个抽头122。所述多个抽头被构造在螺旋线圈120的不同位置处。例如,一个抽头可以设置在螺旋线圈120的每隔一圈上。在多个抽头122和RF电源128之间可以设置开关124,以便可以选择性将RF功率施加到多个抽头122上。
传统上,为了找到获得优化谐振状态的最佳分接点,通过从一点到另一点地将RF功率分接到螺旋线圈上来测量不同点的谐振。然而,通过操纵抽头122和RF电源128之间的开关124,可以容易探测到获得本发明的最佳谐振的RF功率分接点。从而,可以有效地保持高密度等离子体。
螺旋线圈120可以具有圆形横截面,但是,优选的是,螺旋线圈120具有方形横截面,如图3和4所示。方形横截面的螺旋线圈120由于表面积增大而提高等离子体发生效率。更具体的说,螺旋线圈120增加的表面减小了在螺旋线圈120接地端的阻抗,由此通过增大电流减小了电感。于是,通过感应施加的RF能量,增大了电感耦合的等离子体发生效率。另一方面,在螺旋线圈120的另一端,即,开路端的电容由于螺旋线圈120的表面积的增大而增加,由此在开路端处增大了电容耦合的等离子体发生效率。
根据本发明的等离子体处理设备还可以包括位于介电管110内的控制电极130和用于将预定电势施加到控制电极130上的可变DC电源132。设置在介电管110内的控制电极130被构造成控制在介电管110内部空间中产生的等离子体电势。
控制电极130可以设置在内管112的上部上和外管114的上部上。当源气体入口116设置在控制电极130之上时,优选的是,在控制电极130内形成多个用于使源气体穿过的孔130A。当源气体入口116设置在控制电极130之下时,则不需要形成所述多个孔。
同时,图5示出另一种类型的控制电极130。如图所示,控制电极130可以构造成筛网形式,在其上具有孔130A的阵列。
控制电极130被构造成轻易控制介电管110内产生的等离子体电势。更具体的说,在蚀刻高纵横比的薄膜时,施加到衬底托架160上的偏压电势必须减小,同时必须增大等离子体电势。在这种情况下,可以通过从可变DC电源132向控制电极施加相同的电荷,即正电荷,来增加传统上充正电的等离子体电势。于是,通过降低施加到晶片W上的偏压电势,可以避免陡壁效应,由于该效应,高纵横比的薄膜边缘由于在蚀刻过程中在边缘上的应力集中而被腐蚀,从而蚀刻过程可以借助于增大的等离子体电势而高效进行。然而,当以非常高的精度沉积纳米单位厚度的薄膜,如原子层沉积(ALD)时或蚀刻纳米单位厚度的薄膜时,必须保持等离子体电势较低。在这种情况下,可以通过向控制电极130施加与等离子体电势相反的反电荷,即,负电荷来实现低的等离子体电势。
控制电极130使根据本发明的等离子体处理设备能够在不同条件下充分工作,并且能够有效控制精密加工。
同时,虽然控制电极130设置在具有两个管的介电管110中,但是控制电极也可以设置在如图1所示的具有单独一个管的介电管内。在这种情况下,也可以通过控制电极130轻易控制等离子体电势。
图6是图3所示的金属圆筒盖和辐射风扇的局部分解透视图。
参照图3和图6,在根据本发明的等离子体处理设备中,围绕螺旋线圈120可以设置金属圆筒140,该金属圆筒140为管状,用于保护介电管110和螺旋线圈120,并用于阻挡电磁波。金属圆筒140可以由铝形成,但是优选地由铜形成,铜具有上乘的导电和导热性以及更高的强度。
优选的是,在金属圆筒140内设置用于将螺旋线圈120产生的热量耗散到外面的辐射风扇146。辐射风扇146可以由金属圆筒140的盖142支撑。在金属圆筒140的盖142上形成多个孔144,金属圆筒140内的热空气可以通过这些孔排放到大气中。
防止螺旋谐振器100过热的辐射风扇146和盖142的结构可以使该设备能够连续并稳定工作。
为了加工大直径晶片,可以在处理室150内设置多个具有如上所述的相同结构的螺旋谐振器100。这是由于沿着晶片W的径向获得均匀等离子体密度的螺旋谐振器100的直径不能够增大许多所致。当螺旋谐振器100的直径过大时,就要升高施加到螺旋线圈120上的电压。在这种情况下,根据晶片W的尺寸,可以在一个处理室150内设置两到四个螺旋谐振器100,并从而在较大晶片W上获得更高的等离子体密度分布。
再次参照图3,在处理室内部保持真空,并为此目的,在处理室150的底壁上形成一个与真空泵156相连接的抽真空口154。支撑晶片W的衬底托架160形成在处理室150内。
偏压电源164可以连接到衬底托架160上。偏压电源164被构造成将偏压功率施加到衬底托架160上,使得螺旋谐振器100所产生的等离子体可以朝向放置在衬底托架160上的晶片W运动。RF电源或脉冲DC电源可以用作偏压电源164。当偏压电源164是RF电源时,可以在偏压电源164和衬底托架160之间设置用于增大RF功率传输效率的匹配网络162。
根据本发明的等离子体处理设备还包括多个设置在处理室150内的磁铁170,用于在处理室150内形成磁场。磁铁170可以是永久磁铁。
磁铁170沿着处理室150的内周等间距设置。
图7是图3所示的磁铁安装结构的局部分解透视图。
参照图7,圆筒形用于支撑磁铁170的磁铁支撑单元172设置在处理室150的内周附近。在磁铁支撑单元172上形成多个狭槽174,并且一个磁铁170通过插入到每个狭槽174中而得以支撑。多个狭槽174可以布置成两行,如图7所示,或者可以布置成一行或多于三行。
磁铁170的磁性通常随着温度升高而减小。因此,处理室150内的温度必须保持尽可能低。为此目的,优选的是,用于冷却磁铁170的冷却线路176设置在磁铁支撑单元172上。包括冷却剂入口176A和冷却剂出口176B的冷却线路可以沿着磁铁支撑单元172的边缘设置。
由于磁铁170可能被处理室150内等离子体损坏或被涂敷上材料膜,磁铁170的磁性会退化。为了应付这个问题,用于保护磁铁170免受等离子体影响的圆筒形保护单元178设置在磁铁支撑单元172的内侧。
由于等离子体扩散的方向性,从介电管110扩散到处理室150内的等离子体在处理室150的外部区域的密度分布低于在处理室150中央区域的。然而,在处理室150外部区域内的等离子体密度可以通过借助于沿着处理室150内周设置的磁铁170来形成磁场而予以补偿。即,由于在晶片W边缘处的等离子体密度变高,从而实现了沿晶片W径向上均匀的等离子体密度。
图8是图3所示的工艺气体注入单元的局部剖开的透视图。
参照图3和图8,根据本发明的等离子体处理设备还包括工艺气体注入单元180。工艺气体注入单元180被构造成将诸如用来化学汽相沉积的气体或用来干灰化(dry ashing)晶片W的气体之类的工艺气体注入到处理室150内。
工艺气体注入单元180包括气体入口182和环形喷射器184,该环形喷射器184具有多个气体分配孔186,用于将工艺气体分配到处理室150中。气体入口182通过处理室150的侧壁安装,喷射器184紧紧地接触处理室150的内壁来设置,而多个气体分配孔186设置在喷射器184的内周上,分配孔186基本等距间隔开。
工艺气体注入单元180的结构使得各种工艺气体能够均匀地分布到处理室150内。通过利用气体注入单元180,根据本发明的等离子体处理设备可以用于进行各种处理,如干蚀刻处理、化学汽相沉积处理、和干灰化处理。
图9是示出在根据本发明的螺旋谐振器等离子体处理设备的处理室内、靠近晶片的等离子体的密度分布。
参照图9,在双管形式的介电管110内产生的等离子体朝向晶片W流入处理室150中。由于在内管112中不产生等离子体,而是在介电管110的内管112和外管114之间的空间内产生等离子体,因此等离子体具有环形形状。与现有技术相比,等离子体的这种形状可以使晶片W边缘处的等离子体密度得以增加。由于在径向上扩散的两个环形等离子体可以在晶片W中央区域重叠,因此在晶片W中央部分的等离子体密度也可以增大到与晶片W其他区域相同的水平。靠近处理室150侧壁的等离子体的密度也可以由设置在处理室150内的磁铁170予以补偿。于是,靠近晶片W的等离子体密度沿着晶片W的径向均匀分布。此外,进入处理室150的等离子体可以借助于设置在介电管110下部上的具有多个孔118A的分配器118而得以更均匀分布。因此,可以改善等离子体密度分布的均匀性。
例如,在具有设计成容纳4英寸直径晶片的处理室的根据本发明的等离子体处理设备中测量朝向晶片径向的等离子体密度分布。测量结果表明平均等离子体密度是2×1011cm-3,而等离子体密度偏差,即,最大密度和最小密度之差被最大密度除,大约为4%。考虑到传统等离子体处理设备中测得的等离子体密度偏差在大约10到20%之间,可以说根据本发明的等离子体处理设备中测得的等离子体密度偏差得到显著改善。
根据上面的描述,根据本发明的螺旋谐振器等离子体处理设备具有如下优点:
第一、由于介电管形成为双管形式,在介电管内产生并向处理室内放置的晶片扩散的等离子体的密度分布沿着晶片的径向具有很高的均匀性。当具有多个孔的分配器设置在介电管的下部时,均匀分布的等离子体可以扩散到处理室内。于是,可以均匀地加工甚至大直径的晶片或用来制造平面显示面板的大衬底。
第二、可以通过设置在介电管内的控制电极轻易控制在介电管内形成的等离子体电势。因此,不论加工类型和条件如何,都可以精确并高效进行加工。
第三、通过提供多个抽头用来选择性向螺旋线圈施加RF功率,可以获得最佳的谐振状态,由此有效确保高密度等离子体。
第四、通过采用方形横截面线圈,螺旋线圈的表面得以增加,由此增加等离子体发生效率。
第五、通过沿着处理室的内周设置多个磁铁,以便磁铁补偿晶片边缘上的等离子体密度,从而实现沿着晶片径向的均匀等离子体密度分布。
第六、通过利用气体注入单元,根据本发明的等离子体处理设备可以用于进行各种处理,如干蚀刻处理、化学汽相沉积过程、和干灰化过程。
虽然已经参照本发明的优选实施例具体图示和描述了本发明,本领域技术人员可以理解到在不背离由所附权利要求书限定的本发明的精髓和范围前提下,可以对本发明作出形式和细节上的各种变化。

Claims (38)

1. 一种螺旋谐振器等离子体处理设备,包括:
处理室,该处理室具有支撑待处理的衬底的衬底托架;
介电管,该介电管设置在处理室上,以便与处理室的内部空间连通,在该介电管中设置源气体入口,用以提供等离子体源气体;
围绕介电管缠绕的螺旋线圈;
将RF功率施加到螺旋线圈上的RF电源;
设置在介电管内的控制电极,用以控制介电管内产生的等离子体电势;以及
可变DC电源,用以将预定电势施加到控制电极上。
2. 如权利要求1所述的设备,其中:
控制电极设置在源气体入口之下;并且
控制电极具有多个孔,等离子体源气体穿过这些孔。
3. 如权利要求2所述的设备,其中,控制电极为筛网状的。
4. 如权利要求1所述的设备,其中,衬底托架连接到偏压电源上。
5. 如权利要求1所述的设备,其中,螺旋线圈包括:
多个抽头,这些抽头形成在螺旋线圈的不同位置处;以及
设置在抽头和RF电源之间的开关,用以选择性将RF功率施加到抽头上。
6. 如权利要求1所述的设备,其中,螺旋线圈是方形横截面的。
7. 如权利要求1所述的设备,其中,围绕螺旋线圈设置一金属圆筒。
8. 如权利要求7所述的设备,其中,金属圆筒包括:
设置在金属圆筒内侧的辐射风扇,用以将螺旋线圈产生的热量耗散到外部;以及
形成在金属圆筒的盖上的多个孔,用以将空气排出到外部。
9. 如权利要求1所述的设备,还包括多个磁铁,这些磁铁沿处理室内周设置、间隔开预定距离。
10. 如权利要求1所述的设备,还包括工艺气体注入单元,用以注入加工处理室内放置的晶片的工艺气体。
11. 如权利要求1所述的设备,其中:
所述介电管具有双管形式,且还包括:
内管,
围绕内管的外管,且
所述源气体入口设置在外管上,以便将等离子体源气体供给到内管和外管之间的空间内。
12. 如权利要求11所述的设备,其中,控制电极设置在内管的上部和外管的上部之间。
13. 如权利要求12所述的设备,其中:
控制电极设置在源气体入口之下,并具有多个孔,源气体穿过这些孔。
14. 如权利要求13所述的设备,其中,控制电极是筛网状的。
15. 如权利要求11所述的设备,其中,介电管由石英制成。
16. 如权利要求11所述的设备,其中,介电管在其底部包括等离子体分配器,该等离子体分配器具有多个孔。
17. 如权利要求16所述的设备,其中,等离子体分配器形成为与内管和外管之间的空间相对应的环形。
18. 如权利要求11所述的设备,其中,螺旋线圈的一端接地,而另一端电开路,并且开路端缠绕到陶瓷件中。
19. 如权利要求11所述的设备,其中,螺旋线圈包括:
多个抽头,这些抽头形成在螺旋线圈的不同位置上;以及
设置在抽头和RF电源之间的开关,用以将RF功率选择性施加到抽头上。
20. 如权利要求19所述的设备,其中,每个抽头设置在螺旋线圈的每隔一圈上。
21. 如权利要求11所述的设备,其中,螺旋线圈为方形横截面。
22. 如权利要求11所述的设备,其中,金属圆筒围绕螺旋线圈设置。
23. 如权利要求22所述的设备,其中,金属圆筒由铜形成。
24. 如权利要求22所述的设备,其中,金属圆筒的内侧包括:
设置在金属圆筒内侧的辐射风扇,用以将螺旋线圈产生的热量耗散到外部;以及
形成在金属圆筒的盖上的多个孔,用以将空气排出到外部。
25. 如权利要求24所述的设备,其中,辐射风扇由金属圆筒的盖支撑。
26. 如权利要求11所述的设备,还包括沿着处理室的内周设置的多个磁铁,这些磁铁间隔开预定距离。
27. 如权利要求26所述的设备,其中,磁铁为永久磁铁。
28. 如权利要求26所述的设备,其中,处理室包括圆筒形的磁铁支撑单元,用以支撑靠近处理室内周设置的所述多个磁铁。
29. 如权利要求28所述的设备,其中,磁铁支撑单元具有多个狭槽,以便在每隔狭槽内插入永久磁铁。
30. 如权利要求29所述的设备,其中,所述多个狭槽布置成两行。
31. 如权利要求28所述的设备,其中,磁铁支撑单元具有冷却永久磁铁的冷却线路。
32. 如权利要求31所述的设备,其中,冷却线路设置在磁铁支撑单元的边缘上。
33. 如权利要求28所述的设备,其中,磁铁支撑单元包括圆筒形的保护单元,用以包括磁铁免受等离子体影响,该保护单元设置在磁铁支撑单元的内侧。
34. 如权利要求11所述的设备,还包括工艺气体注入单元,用以注入加工放置在处理室内的晶片的工艺气体。
35.如 权利要求34所述的设备,其中,工艺气体注入单元包括:
通过处理室的侧壁安装的气体入口;
喷射器,该喷射器为环形并设置在处理室内;以及
多个气体分配孔,这些孔形成在喷射器中,用以将穿过入口的工艺气体分布到处理室内。
36. 如权利要求11所述的设备,其中,RF电源经匹配网络电连接到螺旋线圈上。
37. 如权利要求11所述的设备,其中,衬底托架连接到偏压电源上。
38. 如权利要求37所述的设备,其中,偏压电源是RF电源,且该RF电源经匹配网络电连接到衬底托架上。
CNB2004100897723A 2003-11-04 2004-11-04 螺旋谐振器型等离子体处理设备 Expired - Fee Related CN100423196C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR77762/03 2003-11-04
KR77762/2003 2003-11-04
KR1020030077762A KR100561848B1 (ko) 2003-11-04 2003-11-04 헬리컬 공진기형 플라즈마 처리 장치

Publications (2)

Publication Number Publication Date
CN1614746A CN1614746A (zh) 2005-05-11
CN100423196C true CN100423196C (zh) 2008-10-01

Family

ID=34431719

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100897723A Expired - Fee Related CN100423196C (zh) 2003-11-04 2004-11-04 螺旋谐振器型等离子体处理设备

Country Status (5)

Country Link
US (1) US20050093460A1 (zh)
EP (1) EP1530230A3 (zh)
JP (1) JP4216243B2 (zh)
KR (1) KR100561848B1 (zh)
CN (1) CN100423196C (zh)

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4567979B2 (ja) * 2004-01-15 2010-10-27 キヤノンアネルバ株式会社 プラズマ処理システム及びプラズマ処理方法
US8187484B2 (en) * 2005-10-05 2012-05-29 Pva Tepla Ag Down-stream plasma etching with deflectable radical stream
US9137884B2 (en) * 2006-11-29 2015-09-15 Lam Research Corporation Apparatus and method for plasma processing
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor
US20090056877A1 (en) 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
KR101013729B1 (ko) 2008-11-24 2011-02-14 주식회사 디엠에스 콘 형상의 3차원 헬릭스 인덕티브 코일을 가지는 플라즈마 반응장치
JP5099101B2 (ja) 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR100989316B1 (ko) 2010-06-25 2010-10-25 이창경 플라즈마-강화 화학 증착장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101229793B1 (ko) * 2011-07-07 2013-02-08 참엔지니어링(주) 기판 처리 장치
TWI522489B (zh) * 2011-07-26 2016-02-21 Nat Applied Res Laboratories Plasma secondary atomic layer deposition system
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
JP5989119B2 (ja) * 2011-08-19 2016-09-07 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマリアクタ及びプラズマを生成する方法
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
CN102395243A (zh) * 2011-10-19 2012-03-28 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
CN103094038B (zh) * 2011-10-27 2017-01-11 松下知识产权经营株式会社 等离子体处理装置以及等离子体处理方法
US10115565B2 (en) * 2012-03-02 2018-10-30 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
JP5830651B2 (ja) * 2012-03-02 2015-12-09 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
US20130240147A1 (en) * 2012-03-19 2013-09-19 Sang Ki Nam Methods and apparatus for selectively modulating azimuthal non-uniformity in a plasma processing system
US9867238B2 (en) * 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
KR101412643B1 (ko) * 2012-06-29 2014-07-08 주식회사 티지오테크 복수의 가스를 공급하기 위한 가스 공급부 및 그 제조방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN102781155B (zh) * 2012-07-23 2015-06-24 西安电子科技大学 带冷却电极的大面积均匀高密度等离子体产生系统
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
CN103068137A (zh) * 2012-11-21 2013-04-24 中国科学院微电子研究所 一种进气结构及等离子体工艺设备
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
WO2014092856A1 (en) * 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103108482B (zh) * 2013-01-11 2015-08-05 哈尔滨工业大学 一种等离子体射流密度大范围调节器
CN103052249A (zh) * 2013-01-11 2013-04-17 哈尔滨工业大学 一种射流等离子体密度分布调节器
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US8907300B2 (en) 2013-03-14 2014-12-09 Varian Semiconductor Equipment Associates, Inc. System and method for plasma control using boundary electrode
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10249475B2 (en) * 2014-04-01 2019-04-02 Applied Materials, Inc. Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation
KR101712263B1 (ko) * 2014-04-22 2017-03-03 김일욱 헬리컬공명플라즈마 안테나 및 이를 구비하는 플라즈마 발생 장치
CN103974517A (zh) * 2014-05-22 2014-08-06 哈尔滨工业大学 高频电磁场条件下的束缚等离子体聚集器及采用该聚集器实现的聚集方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6473889B2 (ja) * 2014-09-19 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法、電子デバイスの製造方法
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6064176B2 (ja) * 2015-08-05 2017-01-25 パナソニックIpマネジメント株式会社 誘導結合型プラズマ処理装置及び方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9972511B2 (en) * 2015-10-01 2018-05-15 Applied Materials, Inc. Substrate processing apparatus and methods
CN106653549B (zh) * 2015-11-03 2020-02-11 中微半导体设备(上海)股份有限公司 一种半导体加工设备
JP7166759B2 (ja) 2015-12-04 2022-11-08 アプライド マテリアルズ インコーポレイテッド Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料
KR20170123740A (ko) * 2016-04-29 2017-11-09 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10128083B2 (en) * 2016-06-01 2018-11-13 Vebco Instruments Inc. Ion sources and methods for generating ion beams with controllable ion current density distributions over large treatment areas
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
WO2018161511A1 (zh) * 2017-03-09 2018-09-13 北京北方华创微电子装备有限公司 反应腔室的磁场产生机构和反应腔室
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102432857B1 (ko) * 2017-09-01 2022-08-16 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자의 제조 방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN108770173B (zh) * 2018-07-27 2020-11-20 上海工程技术大学 一种等离子体射流产生装置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102444786B1 (ko) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 냉각 효율을 향상시키는 고압챔버
KR102441994B1 (ko) * 2021-12-27 2022-09-08 주식회사 에이치피에스피 고속 냉각 고압 챔버

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1164125A (zh) * 1996-02-20 1997-11-05 株式会社日立制作所 等离子体处理方法和装置
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20030015293A1 (en) * 2001-07-23 2003-01-23 Tokyo Ohka Kogyo Co., Ltd. Apparatus for plasma treatment
US20030087530A1 (en) * 2001-11-07 2003-05-08 Carr Jeffrey W. Apparatus and method for reactive atom plasma processing for material deposition
CN1426090A (zh) * 2001-12-14 2003-06-25 三星电子株式会社 感应耦合式等离子体装置

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3522609A (en) * 1968-07-23 1970-08-04 Robert Ellis Airborne antenna coupled to adjustable helical counterpoise
US4035604A (en) * 1973-01-17 1977-07-12 Rolls-Royce (1971) Limited Methods and apparatus for finishing articles
US5057809A (en) * 1989-12-26 1991-10-15 Advanced Electronics, Inc. Variable inductance RF coil assembly
JPH04120732A (ja) * 1990-09-12 1992-04-21 Hitachi Ltd 固体素子及びその製造方法
US5120908A (en) * 1990-11-01 1992-06-09 Gazelle Graphic Systems Inc. Electromagnetic position transducer
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
US5304282A (en) * 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
WO1994006263A1 (en) * 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
JP3288490B2 (ja) * 1993-07-09 2002-06-04 富士通株式会社 半導体装置の製造方法及び半導体装置の製造装置
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5413821A (en) * 1994-07-12 1995-05-09 Iowa State University Research Foundation, Inc. Process for depositing Cr-bearing layer
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5609921A (en) * 1994-08-26 1997-03-11 Universite De Sherbrooke Suspension plasma spray
JP3150058B2 (ja) * 1994-12-05 2001-03-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
JPH0922796A (ja) * 1995-07-07 1997-01-21 Nippon Telegr & Teleph Corp <Ntt> ドライエッチング装置
JP3328498B2 (ja) * 1996-02-16 2002-09-24 株式会社荏原製作所 高速原子線源
US5944899A (en) * 1996-08-22 1999-08-31 Applied Materials, Inc. Inductively coupled plasma processing chamber
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6715441B2 (en) * 1997-12-31 2004-04-06 Plasma Optical Fibre B.V. PCVD apparatus and a method of manufacturing an optical fiber, a preform rod and a jacket tube as well as the optical fiber manufactured therewith
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
KR20000055919A (ko) * 1999-02-11 2000-09-15 윤종용 박막 형성 방법 및 장치
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
CN1241316C (zh) * 1999-07-13 2006-02-08 东京电子株式会社 产生感性耦合的等离子的射频电源
TW578448B (en) * 2000-02-15 2004-03-01 Tokyo Electron Ltd Active control of electron temperature in an electrostatically shielded radio frequency plasma source
US7067034B2 (en) * 2000-03-27 2006-06-27 Lam Research Corporation Method and apparatus for plasma forming inner magnetic bucket to control a volume of a plasma
US6863835B1 (en) * 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7127294B1 (en) * 2002-12-18 2006-10-24 Nanoset Llc Magnetically shielded assembly
KR100557292B1 (ko) * 2003-10-14 2006-03-15 주식회사 뉴파워 프라즈마 다중 방전관 브리지를 구비한 유도 플라즈마 챔버
US7465430B2 (en) * 2004-07-20 2008-12-16 E. I. Du Pont De Nemours And Company Apparatus for making metal oxide nanopowder

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1164125A (zh) * 1996-02-20 1997-11-05 株式会社日立制作所 等离子体处理方法和装置
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20030015293A1 (en) * 2001-07-23 2003-01-23 Tokyo Ohka Kogyo Co., Ltd. Apparatus for plasma treatment
US20030087530A1 (en) * 2001-11-07 2003-05-08 Carr Jeffrey W. Apparatus and method for reactive atom plasma processing for material deposition
CN1426090A (zh) * 2001-12-14 2003-06-25 三星电子株式会社 感应耦合式等离子体装置

Also Published As

Publication number Publication date
CN1614746A (zh) 2005-05-11
KR20050042701A (ko) 2005-05-10
EP1530230A2 (en) 2005-05-11
US20050093460A1 (en) 2005-05-05
EP1530230A3 (en) 2006-06-07
KR100561848B1 (ko) 2006-03-16
JP2005142568A (ja) 2005-06-02
JP4216243B2 (ja) 2009-01-28

Similar Documents

Publication Publication Date Title
CN100423196C (zh) 螺旋谐振器型等离子体处理设备
US11450509B2 (en) Inductive plasma source with metallic shower head using b-field concentrator
TWI771541B (zh) 具有低頻射頻功率分佈調節功能的等離子反應器
KR101976852B1 (ko) 플라즈마 프로세싱 장치들을 위한 이동가능 챔버 라이너 플라즈마 한정 스크린 조합
CN100388434C (zh) 半导体处理用的基板保持结构和等离子体处理装置
US5082542A (en) Distributed-array magnetron-plasma processing module and method
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
JP4387299B2 (ja) 磁気プラズマ制御を伴う容量結合プラズマリアクタ
CN102056396B (zh) 等离子体处理装置和等离子体处理方法
US8317970B2 (en) Ceiling electrode with process gas dispersers housing plural inductive RF power applicators extending into the plasma
CN102056394B (zh) 等离子体处理装置
KR200478935Y1 (ko) 플라즈마 처리 챔버를 위한 c-형상 한정 링
US10741368B2 (en) Plasma processing apparatus
CN101546697A (zh) 等离子体处理装置
US20110240222A1 (en) Plasma processing apparatus
JPH08227800A (ja) プラズマ処理装置及びプラズマ処理方法
KR100888807B1 (ko) 플라즈마 발생장치
CN101295629B (zh) 消除感应耦合等离子体反应器中m形状蚀刻率分布的方法
CN109841476A (zh) 半导体制造装置用的部件以及半导体制造装置
US20040163595A1 (en) Plasma processing apparatus
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
KR20210005367A (ko) 기판 처리 장치 및 기판 처리 방법
JP3814267B2 (ja) 給電装置及びこれを有する半導体製造装置
JP2006140534A (ja) 給電アンテナ及びこれを有する半導体製造装置
JP2011040786A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081001

Termination date: 20091204