KR20050042701A - 헬리컬 공진기형 플라즈마 처리 장치 - Google Patents

헬리컬 공진기형 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20050042701A
KR20050042701A KR1020030077762A KR20030077762A KR20050042701A KR 20050042701 A KR20050042701 A KR 20050042701A KR 1020030077762 A KR1020030077762 A KR 1020030077762A KR 20030077762 A KR20030077762 A KR 20030077762A KR 20050042701 A KR20050042701 A KR 20050042701A
Authority
KR
South Korea
Prior art keywords
processing apparatus
plasma processing
process chamber
plasma
resonator type
Prior art date
Application number
KR1020030077762A
Other languages
English (en)
Other versions
KR100561848B1 (ko
Inventor
김대일
마동준
김국윤
최성규
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030077762A priority Critical patent/KR100561848B1/ko
Priority to EP04256330A priority patent/EP1530230A3/en
Priority to US10/978,391 priority patent/US20050093460A1/en
Priority to JP2004320539A priority patent/JP4216243B2/ja
Priority to CNB2004100897723A priority patent/CN100423196C/zh
Publication of KR20050042701A publication Critical patent/KR20050042701A/ko
Application granted granted Critical
Publication of KR100561848B1 publication Critical patent/KR100561848B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

헬리컬 공진기형 플라즈마 처리장치가 개시된다. 개시된 플라즈마 처리 장치는, 처리 기판을 지지하는 기판 홀더를 가진 공정 챔버와, 공정 챔버 내부와 연통되도록 공정 챔버의 상부에 설치되는 유전체 관과, 유전체 관의 외부관 둘레에 감겨진 헬릭스 코일과, 헬릭스 코일에 RF 전력을 공급하기 위한 RF 전원을 구비한다. 상기 유전체 관은 내부관과 외부관으로 이루어진 2중 관 형태를 가지며, 상기 외부관에는 내부관과 외부관 사이의 공간에 플라즈마 소스가스를 공급하기 위한 소스가스 공급구가 형성된다. 상기 유전체 관의 내부에는 플라즈마의 전위를 제어하기 위한 제어 전극이 설치되며, 상기 제어 전극에는 소정의 전위를 인가하기 위한 가변 DC 전원이 연결된다. 이와 같은 구성에 의하면, 처리 기판의 반경방향을 따라 플라즈마의 밀도 분포가 보다 균일하게 이루어질 수 있으며, 플라즈마의 전위도 용이하게 제어할 수 있게 된다.

Description

헬리컬 공진기형 플라즈마 처리 장치{Helical resonator type plasma processing apparatus}
본 발명은 플라즈마 처리 장치에 관한 것으로, 보다 상세하게는 헬릭스 코일을 이용한 헬리컬 공진기형 플라즈마 처리 장치에 관한 것이다.
현재 반도체 소자나 평판 디스플레이 장치(flat display panel)의 제조를 위한 기판의 미세가공 공정에는 플라즈마를 응용한 기술이 많이 이용되고 있다. 즉, 플라즈마는 반도체 소자 제조용 웨이퍼나 LCD(liquid crystal display) 제조용 기판의 표면을 식각하거나 그 표면상에 소정의 물질막을 증착하는데 널리 사용되고 있다. 이에 따라, 각각의 공정에 적합한 플라즈마 처리 장치의 개발은 반도체 소자 및 평판 디스플레이 장치의 제조와 이에 필요한 장치의 개발에 있어 핵심적인 요소가 되고 있다.
최근의 반도체 기술의 비약적인 발전으로 인하여 반도체 소자의 집적도는 급격히 높아지고 있으며, 반도체 소자 제조 공정의 효율을 올리기 위하여 반도체 소자의 제조에 사용되는 웨이퍼의 크기도 대구경화 되고 있다. 이와 같은 반도체 산업의 경향에 부응하기 위해서는 박막 증착과 식각 공정에 사용되는 플라즈마의 균일도 확보가 필수적이다. 이에 따라, 저온 상태에서 고밀도, 고균일의 플라즈마를 안정적으로 얻기 위한 시도로서, 유도결합 플라즈마(ICP:Inductively Coupled Plasma), 전자 싸이클로트론 공진(ECR:Electron Cyclotron Resonance), 헬리콘 플라즈마(Helicon Plasma)를 비롯하여 헬리컬 공진기형 플라즈마(Helical Resonator type Plasma)를 근본으로 하는 많은 연구가 활발하게 진행되고 있다.
위에서 언급한 여러가지 종류의 플라즈마 발생 기구(mechanism) 중에 저온의 고밀도 플라즈마를 방전 및 유지하기 위한 소스로서 헬리컬 공진기가 적합하다는 많은 연구 결과들이 발표되고 있는 실정이다. 특히, 헬리컬 공진기의 구조적 특성에 따른 이온 입자의 직진성은 식각 장치로서의 응용성을 더욱 높이는 것이다. 그러나, 이러한 장점에도 불구하고 헬리컬 공진기형 플라즈마 소스가 현재의 반도체 공정, 예컨대 박막 증착 및 식각 공정에 널리 사용되지 못하고 있는 것은 공정챔버 내부에서 반경방향으로의 플라즈마 균일도를 확보하는 것이 상당히 어렵기 때문이다.
도 1은 종래의 헬리컬 공진기형 플라즈마 처리 장치의 개략적인 구성을 도시한 도면이고, 도 2는 종래의 플라즈마 처리 장치에 있어서, 공정챔버 내의 웨이퍼 가까이에서의 플라즈마 밀도 분포를 나타낸 그래프이다.
도 1을 참조하면, 일반적으로 석영으로 만들어진 유전체 관(10)의 둘레에는 헬릭스 코일(20)이 감겨져 있다. 유전체 관(10)의 상단부에는 플라즈마 소스 가스가 유입되는 가스 유입구(12)가 형성되어 있다. 헬릭스 코일(20)의 하측단은 접지되어 있고, 상측단은 개방되어 있다. 헬릭스 코일(20)의 소정 위치에는 탭(22)이 마련되어 있으며, 이 탭(22)에는 정합 회로(matching network, 24)를 통해 RF 전원(26)이 연결되어 있다. 헬릭스 코일(20)의 둘레에는 금속 실린더(30)가 배치된다. 금속 실린더(30), 헬릭스 코일(20) 및 유전체 관(10)은 공정 챔버(40) 위에 동축적으로 배치되어 있다. 공정 챔버(10)의 내부는 진공상태로 유지되며, 이를 위해 공정 챔버(10)의 바닥벽에는 진공펌프(49)에 연결되는 진공흡입구(48)가 형성되어 있다. 공정 챔버(10) 내부의 아래쪽에는 처리될 기판, 예컨대 웨이퍼(50)를 지지하는 웨이퍼 홀더(42)가 마련되어 있다. 이 웨이퍼 홀더(42)에는 바이어스 전력을 인가하기 위한 RF 전원(46)이 정합 회로(44)를 통해 연결된다.
상기 가스 유입구(12)를 통해 유전체 관(10) 내부에 플라즈마 소스 가스가 공급되고, RF 전원(26)으로부터 생성된 RF 전력이 정합 회로(matching network, 24)와 탭(22)을 통해 헬릭스 코일(20)에 공급되면, 유전체 관(10) 내부에는 고밀도의 플라즈마가 생성된다. 이와 같이 생성된 플라즈마는 공정 챔버(40) 내부로 확산되어 웨이퍼(50) 표면과의 화학반응과정을 통해 웨이퍼(50)의 표면을 식각하거나 웨이퍼(50)의 표면에 소정의 물질층을 증착하게 된다.
플라즈마는 보다 작은 직경의 유전체 관(10) 내부에서 생성되며, 이로부터 보다 큰 직경의 공정 챔버(40) 내부로 도입된다. 일단 고밀도의 플라즈마가 공정 챔버(40) 내부에 도입되면, 플라즈마는 웨이퍼(50) 쪽으로의 수직 이동과 함께 웨이퍼(50)의 반경방향으로도 어느 정도 확산된다. 그러나, 도 2에 도시된 바와 같이, 플라즈마의 반경방향의 확산에도 불구하고, 헬리컬 공진기의 특성인 플라즈마의 직진성에 의해 웨이퍼(50)의 중심 부위에서 플라즈마의 밀도가 가장 높고 웨이퍼(50)의 가장자리 부위에서는 플라즈마의 밀도가 낮아지게 된다. 즉, 웨이퍼(50)의 반경방향을 따라 불균일한 플라즈마 밀도 분포가 형성되는 것이다.
상기한 바와 같이, 종래의 헬리컬 공진기형 플라즈마 처리 장치는 플라즈마 밀도 분포의 균일도를 확보하기가 곤란한 문제점을 가지고 있다. 특히, 이러한 문제점은 웨이퍼(50)의 대구경화에 따라 더욱 심해지게 된다. 이와 같이 플라즈마 밀도 분포가 비균일하게 되면, 웨이퍼(50)의 식각 깊이나 웨이퍼(50) 표면에 증착되는 물질막의 두께 및 성질이 위치에 따라 차이가 나게 된다. 이는 반도체 소자의 품질이나 수율을 현저하게 떨어뜨리게 된다.
한편, 생성된 플라즈마의 전위는 공정 종류나 조건에 따라 적절히 조절될 필요가 있다. 예컨대, 웨이퍼 홀더(42)에 연결된 RF 전원(46)으로부터 웨이퍼(50) 자체에 비교적 높은 바이어스 전위가 인가되면, 고종횡비를 가진 박막의 모서리 부위에 전계가 집중되어 그 부위가 플라즈마에 의해 심하게 깍여 나가는 클리핑(cliffing) 현상이 발생하게 된다. 따라서, 고종횡비를 가진 박막의 식각 공정에서는 이러한 클리핑(cliffing) 현상을 방지하기 위해 바이어스 전위는 낮추는 대신에 플라즈마의 전위는 높여줄 필요가 있다. 반대로, 나노 단위의 박막 증착이나 식각 공정에서는 공정의 정밀도를 위해 플라즈마의 전위를 낮게 유지할 필요가 있다. 그러나, 종래의 헬리컬 공진기형 플라즈마 처리 장치에 있어서는, 이러한 플라즈마의 전위 제어가 곤란하여 공정의 종류나 조건에 적절히 대처하지 못하는 단점이 있다.
따라서, 플라즈마 처리 장치의 개발에 있어서, 높은 플라즈마 밀도의 유지와 아울러 플라즈마 밀도 분포의 균일도 및 플라즈마의 전위 제어의 용이성은 가장 먼저 확보되어야 하는 요소기술이다.
본 발명은 상기와 같은 종래 기술의 문제점을 해결하기 위하여 창출된 것으로서, 특히 처리될 기판 가까이에서 플라즈마 밀도 분포의 균일도를 향상시킬 수 있으며 플라즈마의 전위를 용이하게 제어할 수 있는 구조를 가진 헬리컬 공진기형 플라즈마 처리 장치를 제공하는데 그 목적이 있다.
상기한 목적을 달성하기 위하여, 본 발명은,
처리 기판을 지지하는 기판 홀더를 가진 공정 챔버;
상기 공정 챔버 내부와 연통되도록 상기 공정 챔버의 상부에 설치되는 것으로, 내부관과 상기 내부관을 둘러싸는 외부관으로 이루어진 2중 관 형태를 가지며, 상기 외부관에 상기 내부관과 외부관 사이의 공간에 플라즈마 소스가스를 공급하기 위한 소스가스 공급구가 형성된 유전체 관;
상기 유전체 관의 외부관 둘레에 감겨진 헬릭스 코일; 및
상기 헬릭스 코일에 RF 전력을 공급하기 위한 RF 전원;을 구비하는 헬리컬 공진기형 플라즈마 처리 장치를 제공한다.
여기에서, 본 발명에 따른 헬리컬 공진기형 플라즈마 처리 장치는, 상기 유전체 관의 내부에 설치되어 상기 유전체 관 내부에 생성된 플라즈마의 전위를 제어하기 위한 제어 전극과, 상기 제어 전극에 소정의 전위를 인가하기 위한 가변 DC 전원을 더 구비할 수 있다.
상기 제어 전극은 상기 내부관의 상단부와 상기 외부관의 상단부 사이에 배치된 것이 바람직하다.
상기 소스가스 공급구는 상기 외부관의 상단부에 형성되고, 상기 제어 전극은 상기 소스가스 공급구의 아래쪽에 배치되며 플라즈마 소스가스가 통과할 수 있도록 다수의 구멍을 가진 것이 바람직하다.
상기 유전체 관의 하단부에는 다수의 구멍이 형성된 플라즈마 분배판이 배치된 것이 바람직하다. 이 경우, 상기 플라즈마 분배판은 상기 유전체 관의 내부관과 외부관 사이의 공간에 대응되는 링 형상을 가진 것이 바람직하다.
상기 헬릭스 코일의 일단은 접지되고 타단은 전기적으로 개방되며, 개방된 상기 타단은 세라믹으로 감싸인 것이 바람직하다.
상기 헬릭스 코일에는 다수의 탭이 서로 다른 위치에 마련되고, 상기 다수의 탭과 상기 RF 전원 사이에는 스위치가 마련되어, 상기 다수의 탭에 택일적으로 RF 전력이 인가될 수 있도록 된 것이 바람직하다. 이 경우, 상기 다수의 탭은 상기 헬릭스 코일의 두 개의 턴마다 하나씩 마련될 수 있다.
상기 헬릭스 코일은 사각형의 단면 형상을 가진 것이 바람직하다.
상기 헬릭스 코일의 둘레에는 금속 실린더가 설치될 수 있다. 이 경우, 상기 금속 실린더의 내부에는 상기 헬릭스 코일에서 발생된 열을 외부로 발산시키기 위한 방열 팬이 설치되고, 상기 금속 실린더의 덮개에는 다수의 공기 배출구가 형성된 것이 바람직하다.
그리고, 본 발명에 따른 헬리컬 공진기형 플라즈마 처리 장치는, 상기 공정 챔버의 내부에 그 내주면을 따라 소정 간격을 두고 배치된 다수의 마그네트를 더 구비할 수 있다.
상기 공정 챔버의 내부에는 그 내주면 가까이에 상기 다수의 마그네트를 지지하기 위한 원통 형상의 마그네트 지지부재가 설치될 수 있으며, 상기 마그네트 지지부재에는 상기 다수의 마그네트가 각각 끼워지는 다수의 슬롯이 형성된 것이 바람직하다. 또한, 상기 마그네트 지지부재에는 상기 마그네트를 냉각시키기 위한 냉각 라인이 마련된 것이 바람직하다.
상기 마그네트 지지부재의 내측에는 플라즈마로부터 상기 마그네트를 보호하기 위한 원통 형상의 보호부재가 설치된 것이 바람직하다.
또한, 본 발명에 따른 헬리컬 공진기형 플라즈마 처리 장치는, 상기 공정 챔버 내부에 기판 처리를 위한 공정가스를 주입하기 위한 공정가스 주입 유닛을 더 구비할 수 있다.
상기 공정가스 주입 유닛은, 상기 공정 챔버의 벽을 관통하여 설치되는 가스 유입구와; 상기 공정 챔버의 내부에 설치되며, 상기 가스 유입구를 통해 유입된 공정가스를 상기 공정 챔버 내부로 분배하여 주입하는 다수의 가스 분배구를 가진 링 형상의 인젝터;를 구비하는 것이 바람직하다.
상기 RF 전원은 정합회로를 통해 상기 헬릭스 코일에 전기적으로 연결될 수 있다.
상기 기판 홀더에는 바이어스 전원이 연결될 수 있으며, 상기 바이어스 전원은 RF 전원이고, 상기 RF 전원은 정합회로를 통해 상기 기판 홀더에 전기적으로 연결되는 것이 바람직하다.
그리고, 본 발명은,
처리 기판을 지지하는 기판 홀더를 가진 공정 챔버;
상기 공정 챔버 내부와 연통되도록 상기 공정 챔버의 상부에 설치되는 것으로, 그 내부 공간에 플라즈마 소스가스를 공급하기 위한 소스가스 공급구를 가진 유전체 관;
상기 유전체 관의 외부관 둘레에 감겨진 헬릭스 코일;
상기 헬릭스 코일에 RF 전력을 공급하기 위한 RF 전원;
상기 유전체 관의 내부에 설치되어 상기 유전체 관 내부에 생성된 플라즈마의 전위를 제어하기 위한 제어 전극; 및
상기 제어 전극에 소정의 전위를 인가하기 위한 가변 DC 전원;을 구비하는 헬리컬 공진기형 플라즈마 처리 장치를 제공한다.
이와 같은 본 발명에 의하면, 유전체 관 내에서 생성되어 공정 챔버 내의 처리 기판쪽으로 확산되는 플라즈마의 밀도 분포가 처리 기판의 반경방향을 따라 보다 균일하게 이루어지게 되며, 플라즈마의 전위를 용이하게 제어할 수 있게 된다.
이하, 첨부된 도면을 참조하면서 본 발명의 바람직한 실시예들을 상세히 설명한다. 이하의 도면들에서 동일한 참조부호는 동일한 구성요소를 가리킨다.
도 3은 본 발명의 바람직한 실시예에 따른 헬리컬 공진기형 플라즈마 처리 장치의 구성을 도시한 수직 단면도이고, 도 4는 도 3에 도시된 유전체 관, 제어 전극, 헬릭스 코일 및 플라즈마 분배판을 도시한 사시도이다.
도 3과 도 4를 함께 참조하면, 본 발명에 따른 헬리컬 공진기형 플라즈마 처리 장치는 헬리컬 공진기(Helical resonator, 100)에 의해 생성된 플라즈마를 이용하여 공정 챔버(Processing chamber, 150) 내에 로딩된 처리 기판, 예컨대 반도체 소자 제조용 실리콘 웨이퍼(W)의 표면을 식각하거나 그 표면 상에 소정의 물질막을 증착하는 등 미세가공하기 위한 반도체 제조 장치이다.
상기 헬리컬 공진기형 플라즈마 처리 장치는, 웨이퍼(W)를 지지하는 기판 홀더(160)를 가진 공정 챔버(150)와, 상기 공정 챔버(150)의 상부에 설치되어 플라즈마를 발생시키는 헬리컬 공진기(100)를 구비한다.
상기 헬리컬 공진기(100)는, 공정 챔버(150)의 상부에 설치되는 유전체 관(Dielectric tube, 110)과, 상기 유전체 관(110) 둘레에 감겨진 헬릭스 코일(Helix coil, 120)과, 상기 헬릭스 코일(120)에 RF 전력을 공급하기 위한 RF 전원(128)을 구비한다.
상기 유전체 관(110)은 바람직하게는 석영으로 제조되며, 상기 공정 챔버(150)의 천정벽에 형성된 연결공(152)을 통해 공정 챔버(150) 내부와 연통된다. 그리고, 상기 유전체 관(110)은 내부관(inner tube, 112)과 상기 내부관(112)을 둘러싸는 외부관(outer tube, 114)으로 이루어진 2중 관 형태를 가진다. 상기 내부관(112)의 상단부는 막혀 있고, 그 하단부는 개방되어 있다. 상기 외부관(114)의 상단부에는 내부관(112)과 외부관(114) 사이의 공간에 플라즈마 소스가스를 공급하기 위한 소스가스 공급구(116)가 형성되어 있으며, 외부관(114)의 하단부는 공정 챔버(150) 내부를 향해 개방되어 있다.
이와 같이 2중 관 형태를 가진 유전체 관(110)에 의하면, 소스가스 공급구(116)를 통해 공급된 플라즈마 소스가스는 내부관(112)의 내부 공간에는 채워지지 못하고 내부관(112)과 외부관(114) 사이의 공간에만 채워지게 된다. 따라서, 상기 헬릭스 코일(120)을 통한 RF 전력의 공급에 의해 생성되는 플라즈마도 내부관(112)과 외부관(114) 사이의 공간에만 존재하게 된다. 이에 따라, 플라즈마는 도우넛 형상을 가지게 되며, 이러한 플라즈마는 공정 챔버(150) 내에 로딩된 웨이퍼(W) 가까이에서 웨이퍼(W)의 반경 방향으로 보다 균일한 밀도 분포를 나타내게 된다. 이에 대해서는 뒤에서 다시 설명하기로 한다.
그리고, 상기 유전체 관(110)의 하단부에는 다수의 구멍(118a)이 형성된 플라즈마 분배판(118)이 배치된 것이 바람직하다. 즉, 상기 플라즈마 분배판(118)은 유전체 관(110)과 공정 챔버(150) 사이에 배치된다. 상기 플라즈마 분배판(118)은 상기 유전체 관(110)의 내부관(112)과 외부관(114) 사이의 공간에 대응되는 링 형상을 가질 수 있으며, 공정 챔버(150)의 천정벽에 형성된 연결공(152)에 끼워질 수 있다.
이와 같은 플라즈마 분배판(118)은, 유전체 관(110) 내부에서 생성되어 공정 챔버(150) 내부로 확산되는 플라즈마가 다수의 구멍(118a)을 통과하면서 보다 균일하게 분배되고 넓게 확산되도록 한다. 따라서, 공정 챔버(150) 내부에서의 플라즈마의 밀도 분포가 보다 균일하게 이루어질 수 있게 된다.
상기 헬릭스 코일(120)은 유전체 관(110)의 둘레에 다수의 턴으로 감겨지며, 그 일단, 통상 하측단은 접지되고, 타단, 통상 상측단은 전기적으로 개방된다. 이하에서는 하측단을 접지단이라고 하고 상측단을 개방단이라고 한다. 상기 헬릭스 코일(120)의 개방단은 세라믹(121)으로 감싸여 마감된 것이 바람직하다. 상기 세라믹(121)은 헬릭스 코일(120)의 개방단과 이에 인접한 다른 구성요소 사이에서 발생할 수 있는 방전(spark discharge)을 방지하게 된다.
상기 헬릭스 코일(120)에는 상기한 바와 같이 RF 전원(128)으로부터 RF 전력이 공급된다. 이 때, RF 전력의 전달 효율을 높이기 위해, RF 전원(128)에서 생성된 RF 전력은 정합회로(Matching network, 126)를 통해 헬릭스 코일(120)에 공급될 수 있다.
상기 헬릭스 코일(120)의 임의의 지점에 RF 전력이 인가되면, 헬릭스 코일(120)에 흐르는 전류에 의해 시변화 자기장이 생성되고, 시변화 자기장에 의해 전기장이 유도된다. 유도된 전기장은 플라즈마 소스가스를 이온화하여 플라즈마를 생성하게 된다. 이 때, 헬릭스 코일(120)의 RF 전력 인가 지점으로부터 한 쪽, 즉 접지단 쪽에는 인덕턴스가 유도되고, 다른 쪽, 즉 개방단 쪽에는 커패시턴스가 유도되어 이들의 공진(LC 공진)에 의해 헬릭스 코일(120)로의 효율적인 에너지 전달이 가능하게 된다. 따라서, 헬리컬 공진기(100)에 의하면 고밀도의 플라즈마가 생성될 수 있는 것이다.
상기 헬릭스 코일(120)에는 RF 전원(128)이 연결되는 다수의 탭(Tap, 122)이 마련된다. 상기 다수의 탭(122) 각각은 헬리스 코일(120)의 서로 다른 위치에 배치된다. 예컨대, 상기 다수의 탭(122)은 헬릭스 코일(120)의 두 개의 턴마다 하나씩 마련될 수 있다. 그리고, 상기 다수의 탭(122)과 RF 전원(128) 사이에는 스위치(124)가 마련되어, 상기 다수의 탭(122)에 택일적으로 RF 전력이 인가될 수 있도록 되어 있다.
종래에는 최적의 공진 상태를 얻을 수 있는 RF 전력 인가 지점을 찾기 위해서는 탭의 위치를 옮겨 가며 측정을 하여야 하는 불편한 점이 있었다. 그러나, 본 발명에 의하면 상기 스위치(124)의 조작에 의해 최적의 공진 상태를 얻을 수 있는 RF 전력 인가 지점을 편리하게 찾을 수 있게 된다. 이와 같이, 상기 다수의 탭(122)과 스위치(124) 구조에 의하면, 최적의 공진 상태를 용이하게 얻을 수 있으므로, 고밀도 플라즈마를 효율적으로 확보할 수 있게 된다.
상기 헬릭스 코일(120)은 일반적인 원형의 단면 형상을 가질 수도 있으나. 도 3과 도 4에 도시된 바와 같이 사각형의 단면 형상을 가진 것이 바람직하다. 이와 같이 사각형의 단면 형상을 가진 헬릭스 코일(120)은 그 표면적이 넓어지게 되어 플라즈마 발생 효율이 보다 높아지게 된다. 보다 상세하게 설명하면, 헬릭스 코일(120)의 표면적이 넓어지게 되면, 헬릭스 코일(120)의 접지단 쪽에서는 저항이 감소하게 되고, 이에 따라 전류가 증가하게 되어 인덕턴스가 낮아지게 된다. 따라서, 유도 결합 플라즈마 발생 효율이 높아지게 된다. 한편, 헬릭스 코일(120)의 표면적이 넓어지게 되면, 헬릭스 코일(120)의 개방단 쪽에서는 커패시턴스가 높아지게 되므로, 용량 결합 플라즈마의 발생 효율도 높아지게 되는 것이다.
그리고, 본 발명에 따른 플라즈마 처리 장치는, 상기 유전체 관(110)의 내부에 설치되어 유전체 관(110) 내부에 생성된 플라즈마의 전위를 제어하기 위한 제어 전극(130)과, 상기 제어 전극(130)에 소정의 전위를 인가하기 위한 가변 DC 전원(132)을 더 구비할 수 있다.
상기 제어 전극(130)은 상기 내부관(112)의 상단부와 외부관(114)의 상단부 사이에 배치될 수 있다. 그리고, 상기 소스가스 공급구(132)가 상기 외부관(114)의 상단부에 형성되어 상기 제어 전극(130)이 소스가스 공급구(132)보다 아래쪽에 위치한 경우에는, 상기 제어 전극(130)에는 플라즈마 소스가스가 통과할 수 있도록 다수의 구멍(130a)이 형성된다. 그런데, 소스가스 공급구(116)가 제어 전극(130)보다 아래쪽에 위치한 경우에는, 제어 전극(130)에 다수의 구멍(130a)을 형성할 필요가 없다.
한편, 도 5에는 상기 제어 전극(130)의 다른 형상이 도시되어 있다. 도 5에 도시된 바와 같이, 상기 제어 전극(130)은 다수의 구멍(130a)이 배열되어 있는 메쉬 형상을 가질 수도 있다.
이러한 제어 전극(130)은, 상기한 바와 같이, 유전체 관(110) 내부에 생성된 플라즈마의 전위를 용이하게 제어할 수 있게 한다. 상세하게 설명하면, 전술한 바와 같이, 고종횡비를 가진 박막의 식각 공정에서는 기판 홀더(160)에 인가되는 바이어스 전위는 낮추는 대신에 플라즈마의 전위는 높여줄 필요가 있다. 이 경우에는, 상기 가변 DC 전원(132)으로부터 상기 제어 전극(130)에 플라즈마와 동일한 극성, 즉 양의 전위를 인가함으로써 통상적으로 양의 극성을 가진 플라즈마의 전위를 높여 준다. 이에 따라, 웨이퍼(W) 자체에 인가되는 바이어스 전위가 낮아져 고종횡비를 가진 박막의 모서리 부위에 전계가 집중되어 발생되는 클리핑(cliffing) 현상이 방지될 수 있으며, 또한 높아진 전위를 가진 플라즈마에 의해 식각 공정도 효율적으로 진행될 수 있다. 반대로, 원자층 증착(ALD: Atomic Layer Deposition)과 같은 나노 단위의 박막 증착이나 나노 단위의 미세한 식각 공정에서는 공정의 정밀도를 위해 플라즈마의 전위를 낮게 유지할 필요가 있다. 이 경우에는, 상기 가변 DC 전원(132)으로부터 제어 전극(130)에 플라즈마와 반대의 극성, 즉 음의 전위를 인가함으로써 플라즈마의 전위를 낮추어 준다.
이와 같이, 본 발명에 따른 플라즈마 처리 장치는 상기 제어 전극(130)을 구비함으로써, 공정의 종류나 조건에 적절히 대처하여 효율적이고 정밀한 공정 수행이 가능하게 된다.
한편, 위에서 상기 제어 전극(130)은 2중 관 형태의 유전체 관(110) 내부에 설치된 것으로 도시되고 설명되었지만, 도 1에 도시된 바와 같은 일반적인 단일 관 형태의 유전체 관 내부에 마련될 수도 있다. 이 경우에도, 상기 제어 전극(130)에 의해 플라즈마의 전위를 용이하게 제어할 수 있다. 그리고, 위 또는 아래에서 기술되는 본 발명의 다른 여러가지 특징들에 의해 플라즈마 밀도 분포의 균일도도 향상될 수 있다.
도 6은 도 3에 도시된 금속 실린더의 덮개와 방열 팬을 분리하여 도시한 사시도이다.
도 3과 도 6을 함께 참조하면, 본 발명에 따른 플라즈마 처리 장치에 있어서, 상기 헬릭스 코일(120)의 둘레에는 상기 유전체 관(110)과 헬릭스 코일(120)을 보호하고 전자파를 차단하기 위한 원통 형상의 금속 실린더(140)가 설치될 수 있다. 상기 금속 실린더(140)는 알루미늄으로 제조될 수도 있으나, 열 및 전기 전도성이 보다 우수하고 강도가 높은 구리로 제조된 것이 바람직하다.
그리고, 상기 금속 실린더(140)의 내부에는 헬릭스 코일(120)에서 발생된 열을 외부로 발산시키기 위한 방열 팬(146)이 설치된 것이 바람직하다. 상기 방열 팬(146)은 상기 금속 실린더(140)의 덮개(142)에 지지될 수 있다. 그리고, 상기 금속 실린더(142)의 덮개(142)에는 금속 실린더(140) 내부의 뜨거운 공기가 외부로 방출될 수 있도록 다수의 공기 배출구(144)가 형성된다.
이와 같은 방열 팬(146)과 덮개(142) 구조에 의해 헬리컬 공진기(100)의 과열을 방지할 수 있어서 보다 안정적이고 지속적인 작동이 가능하게 된다.
한편, 상기한 바와 같은 구성을 가진 헬리컬 공진기(100)는 하나의 공정 챔버(150) 위에 다수개가 배열되어 설치될 수 있다. 즉, 처리 기판의 크기가 매우 큰 경우에는, 헬리컬 공진기(100)의 직경을 키우는 것만으로는 기판의 반경방향으로 균일한 플라즈마 분포를 확보하는데 한계가 있다. 이는, 헬리컬 공진기(100)의 직경이 과도하게 커지게 되면, 헬릭스 코일(120)에 인가되는 전압이 매우 높아져야 하는 문제점이 있기 때문이다. 따라서, 처리 기판의 크기에 따라 적절한 수, 예컨대 2~4 개의 헬리컬 공진기(100)를 하나의 공정 챔버(150) 위에 설치하게 되면, 매우 큰 처리 기판에 대해서도 균일한 플라즈마 밀도 분포를 얻을 수 있게 된다.
다시 도 3을 참조하면, 상기 공정 챔버(150)의 내부는 진공상태로 유지되며, 이를 위해 공정 챔버(150)의 바닥벽에는 진공펌프(156)에 연결되는 진공흡입구(154)가 형성된다. 그리고, 상기 공정 챔버(110) 내부의 아래쪽에는 웨이퍼(W)를 지지하는 기판 홀더(160)가 마련된다.
상기 기판 홀더(160)에는 바이어스 전원(164)이 연결될 수 있다. 상기 바이어스 전원(164)은 헬리컬 공진기(100)에 의해 생성된 플라즈마가 기판 홀더(160)에 놓여진 웨이퍼(W) 쪽으로 이동할 수 있도록 기판 홀더(160)에 바이어스 전압을 제공하는 역할을 하게 된다. 이러한 바이어스 전원(164)으로는 RF 전원이 사용될 수 있으며, 이 외에도 펄스 DC 전원이 사용될 수 있다. 상기 바이어스 전원(164)이 RF 전원인 경우에는, RF 전력의 전달 효율을 높이기 위해, 바이어스 전원(164)과 기판 홀더(160) 사이에 정합회로(162)가 마련될 수 있다.
그리고, 본 발명에 따른 플라즈마 처리 장치는, 상기 공정 챔버(150) 내부에 자기장을 형성하기 위한 수단으로서, 공정 챔버(150)의 내부에 설치된 다수의 마그네트(170)를 더 구비할 수 있다. 상기 마그네트(170)로는 영구자석이 사용될 수 있다.
상기 마그네트(170)는 공정 챔버(150)의 내주면을 따라 소정 간격을 두고 다수 개가 배치된다. 따라서, 상기 다수의 마그네트(170)를 위한 설치 구조가 공정 챔버(150) 내에 마련된다.
도 7은 도 3에 도시된 마그네트의 설치 구조를 도시한 사시도이다.
도 7을 참조하면, 상기 공정 챔버(150)의 내부에는 그 내주면 가까이에 상기 다수의 마그네트(170)를 지지하기 위한 원통 형상의 마그네트 지지부재(172)가 설치된다. 상기 마그네트 지지부재(172)에는 다수의 슬롯(174)이 형성되어 있으며, 상기 다수의 마그네트(170)는 상기 다수의 슬롯(174) 각각에 하나씩 끼워져 지지된다. 상기 다수의 슬롯(174)은, 도 7에 도시된 바와 같이 2열로 배열될 수 있으며, 1열 또는 3열 이상으로 배열될 수도 있다.
상기 마그네트(170)의 자기적 특성은 통상적으로 온도가 높아질수록 저하된다. 따라서, 상기 마그네트(170)를 가능한 한 낮은 온도로 유지할 필요가 있다. 이를 위해, 상기 마그네트 지지부재(172)에는 상기 다수의 마그네트(170)를 냉각시키기 위한 냉각 라인(176)이 마련되는 것이 바람직하다. 상기 냉각 라인(176)은 유입구(176a)와 배출구(176b)를 가지며, 상기 지지부재(172)의 가장자리 부위를 따라 배치될 수 있다.
그리고, 상기 마그네트(170)는 공정 챔버(150) 내의 플라즈마에 의해 그 표면이 손상되거나 물질막으로 덮여질 수 있다. 이 경우, 마그네트(170)의 자기적 특성이 저하되는 문제점이 발생하게 된다. 따라서, 이와 같은 문제점을 방지하기 위해, 상기 마그네트 지지부재(172)의 내측에는 플라즈마로부터 상기 마그네트(170)를 보호하기 위한 원통 형상의 보호부재(178)가 설치될 수 있다.
상기 유전체 관(110)으로부터 공정 챔버(150) 내부로 확산되는 플라즈마는 공정 챔버(150) 내부의 주변 영역에서 그 밀도가 낮아지게 된다. 그러나, 상기 마그네트(170)에 의해 공정 챔버(110)의 내측면 가까이에 자기장이 형성되어 있으므로, 공정 챔버(150) 내부의 주변 영역에서의 플라즈마 밀도가 보상될 수 있다. 따라서, 웨이퍼(W)의 가장자리 부위에서의 플라즈마 밀도가 보다 높아지게 되어 웨이퍼(W)의 반경방향을 따라 보다 균일한 플라즈마 밀도 분포를 확보할 수 있게 된다.
도 8은 도 3에 도시된 공정가스 주입 유닛을 보여주는 사시도이다.
도 3과 도 8을 함께 참조하면, 본 발명에 따른 플라즈마 처리 장치는 공정가스 주입 유닛(180)을 더 구비할 수 있다. 상기 공정가스 주입 유닛(180)은 공정 챔버(150) 내부에 웨이퍼(W) 처리를 위한 공정가스, 예컨대 화학기상증착용 가스와 건식 에슁(ashing)용 가스 등을 주입하기 위한 장치이다.
상기 공정가스 주입 유닛(180)은, 외부로부터 공정가스가 유입되는 통로인 가스 유입구(gas inlet, 182)와, 상기 가스 유입구(182)를 통해 유입된 공정가스를 공정 챔버(150) 내부로 분배하여 주입하는 다수의 가스 분배구(186)를 가진 링 형상의 인젝터(184)를 포함한다. 상기 가스 유입구(110)는 공정 챔버(150)의 벽을 관통하여 설치되고, 상기 인젝터(184)는 공정 챔버(150)의 내면에 밀착되도록 설치되며, 상기 다수의 가스 분배구(186)는 상기 인젝터(184)의 내주면을 따라 등간격으로 배치된다.
이와 같은 공정가스 주입 유닛(180)에 의하면, 다양한 공정가스를 공정 챔버(150) 내부에 균일하게 주입할 수 있다. 따라서, 본 발명에 따른 플라즈마 처리 장치를 사용하여 건식 식각 공정 뿐만 아니라 화학기상증착 공정 및 건식 에슁 공정 등 다양한 공정을 수행할 수 있게 된다.
도 9는 본 발명에 따른 헬리컬 공진기형 플라즈마 처리 장치에 있어서, 공정 챔버 내의 웨이퍼 가까이에서의 플라즈마 밀도 분포를 보여주는 개략적인 도면이다.
도 9를 참조하면, 2중 관 형상을 가진 유전체 관(110) 내에서 생성된 플라즈마는 공정 챔버(150) 내부의 웨이퍼(W)쪽으로 흐르게 된다. 상기 플라즈마는 유전체 관(110)의 내부관(112) 내에서는 생성되지 않고 내부관(112)과 외부관(114) 사이의 공간에서만 생성되므로 도우넛 형상을 가지게 된다. 이러한 형상의 플라즈마로 인해 웨이퍼(W)의 가장자리 가까이에서의 플라즈마 밀도가 종래에 비해 높아지게 된다. 그리고, 도우넛 형상의 플라즈마가 웨이퍼(W)의 반경방향으로 확산되면서 웨이퍼(W)의 중심부위에서 겹쳐져 웨이퍼(W) 중심부위에서의 플라즈마 밀도도 다른 부위의 플라즈마 밀도만큼 높아질 수 있다. 또한, 공정 챔버(150) 내부에 마련된 마그네트(170)에 의해 공정 챔버(150)의 벽면 가까이에서의 플라즈마 밀도도 보상될 수 있다. 따라서, 웨이퍼(W) 가까이에서의 플라즈마 밀도 분포가 웨이퍼(W)의 반경방향을 보다 균일하게 이루어지게 된다. 그리고, 유전체 관(110)의 하단부에 다수의 구멍이 형성된 플라즈마 분배판(118)이 마련됨으로써, 플라즈마가 공정 챔버(150) 내부로 보다 균일하게 분배되어 확산될 수 있으므로, 플라즈마 밀도 분포의 균일도가 보다 향상될 수 있다.
예를 들어, 4 인치(inch) 웨이퍼용으로 제작된 본 발명에 따른 플라즈마 처리 장치의 공정 챔버 내에서, 웨이퍼의 반경 방향의 플라즈마 밀도 분포를 측정한 결과, 플라즈마 밀도는 평균적으로 2 x 1011cm-3 이었고, 플라즈마의 밀도 편차, 즉 최대 밀도와 최소 밀도의 차이를 최대 밀도로 나눈 값은 대략 4% 정도인 것으로 나타났다. 이러한 결과로 비추어 보면, 종래의 플라즈마 처리 장치에서 플라즈마 밀도 편차가 10~20%인 점에 비하여 본 발명에 따른 플라즈마 처리 장치에서의 플라즈마 밀도 편차가 상당히 개선되었음을 알 수 있다.
본 발명은 개시된 실시예를 참고로 설명되었으나, 이는 예시적인 것에 불과하며, 당해 분야에서 통상적 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위에 의해서 정해져야 할 것이다.
이상에서 설명된 바와 같이, 본 발명에 따른 헬리컬 공진기형 플라즈마 처리 장치는 다음과 같은 효과가 있다.
첫째, 유전체 관이 2중 관 형태를 가짐으로써, 유전체 관 내에서 생성되어 공정 챔버 내의 처리 기판쪽으로 확산되는 플라즈마의 밀도 분포가 처리 기판의 반경방향을 따라 보다 균일하게 이루어지게 된다. 그리고, 유전체 관의 하단부에 다수의 구멍이 형성된 플라즈마 분배판을 설치한 경우에는, 플라즈마가 공정 챔버 내부로 균일하게 분배되어 확산될 수 있다. 따라서, 대구경의 웨이퍼 및 평판 디스플레이 장치 제조용 대면적의 기판에 대해서도 균일한 처리가 가능하게 된다.
둘째, 유전체 관 내부에 제어 전극이 마련됨으로써, 유전체 관 내부에 생성된 플라즈마의 전위를 용이하게 제어할 수 있다. 따라서, 공정의 종류나 조건에 적절히 대처하여 효율적이고 정밀한 공정 수행이 가능하게 된다.
셋째, 헬릭스 코일에 RF 전력이 택일적으로 인가되는 다수의 탭이 마련됨으로써, 최적의 공진 상태를 용이하게 얻을 수 있으므로 고밀도 플라즈마를 효율적으로 확보할 수 있게 된다.
넷째, 사각형의 단면 형상을 가진 헬릭스 코일이 사용됨으로써, 그 표면적이 보다 넓어지게 되어 플라즈마 발생 효율이 보다 높아지게 된다.
다섯째, 공정 챔버의 내측 벽면을 따라 다수의 마그네트가 설치됨으로써, 처리 기판의 가장자리 부위에서의 플라즈마 밀도가 보상되어 처리 기판의 반경방향을 따라 보다 균일한 플라즈마 밀도 분포를 확보할 수 있게 된다.
여섯째, 공정 챔버 내에 공정가스 주입 유닛이 설치됨으로써, 건식 식각 뿐만 아니라 화학기상증착 공정 및 건식 에슁 공정 등 다양한 공정을 수행할 수 있게 된다.
도 1은 종래의 헬리컬 공진기형 플라즈마 처리 장치의 개략적인 구성을 도시한 도면이다.
도 2는 종래의 플라즈마 처리 장치에 있어서, 공정 챔버 내의 웨이퍼 가까이에서의 플라즈마 밀도 분포를 나타낸 그래프이다.
도 3은 본 발명의 바람직한 실시예에 따른 헬리컬 공진기형 플라즈마 처리 장치의 구성을 도시한 수직 단면도이다.
도 4는 도 3에 도시된 유전체 관, 제어 전극, 헬릭스 코일 및 플라즈마 분배판을 도시한 사시도이다.
도 5는 도 4에 도시된 제어 전극의 다른 형상을 보여주는 사시도이다.
도 6은 도 3에 도시된 금속 실린더의 덮개와 방열 팬을 분리하여 도시한 사시도이다.
도 7은 도 3에 도시된 마그네트의 설치 구조를 도시한 사시도이다.
도 8은 도 3에 도시된 공정가스 주입 유닛을 보여주는 사시도이다.
도 9는 본 발명에 따른 헬리컬 공진기형 플라즈마 처리 장치에 있어서, 공정 챔버 내의 웨이퍼 가까이에서의 플라즈마 밀도 분포를 보여주는 개략적인 도면이다.
<도면의 주요 부분에 대한 부호의 설명>
100...헬리컬 공진기 110...유전체 관
112...내부관 114...외부관
116...소스가스 공급구 118...플라즈마 분배판
120...헬릭스 코일 122...탭
124...스위치 126...정합회로
128...RF 전원 130...제어 전극
132...가변 DC 전원 140...금속 실린더
142...실린더 덮개 144...공기 배출구
146...방열 팬 150...공정 챔버
152...연결공 154...진공 흡입구
156...진공 펌프 160...기판 홀더
162...정합회로 164...바이어스 전원
170...마그네트 172...마그네트 지지부재
174...슬롯 176...냉각 라인
178...보호부재 180...공정가스 주입 유닛
182...가스 유입구 184...인젝터
186...가스 분배구

Claims (39)

  1. 처리 기판을 지지하는 기판 홀더를 가진 공정 챔버;
    상기 공정 챔버 내부와 연통되도록 상기 공정 챔버의 상부에 설치되는 것으로, 내부관과 상기 내부관을 둘러싸는 외부관으로 이루어진 2중 관 형태를 가지며, 상기 외부관에 상기 내부관과 외부관 사이의 공간에 플라즈마 소스가스를 공급하기 위한 소스가스 공급구가 형성된 유전체 관;
    상기 유전체 관의 외부관 둘레에 감겨진 헬릭스 코일; 및
    상기 헬릭스 코일에 RF 전력을 공급하기 위한 RF 전원;을 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  2. 제 1항에 있어서,
    상기 유전체 관의 내부에 설치되어 상기 유전체 관 내부에 생성된 플라즈마의 전위를 제어하기 위한 제어 전극과, 상기 제어 전극에 소정의 전위를 인가하기 위한 가변 DC 전원을 더 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  3. 제 2항에 있어서,
    상기 제어 전극은 상기 내부관의 상단부와 상기 외부관의 상단부 사이에 배치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  4. 제 3항에 있어서,
    상기 소스가스 공급구는 상기 외부관의 상단부에 형성되고, 상기 제어 전극은 상기 소스가스 공급구의 아래쪽에 배치되며 플라즈마 소스가스가 통과할 수 있도록 다수의 구멍을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  5. 제 4항에 있어서,
    상기 제어 전극은 메쉬 형상을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  6. 제 1항 또는 제 2항에 있어서,
    상기 유전체 관은 석영으로 이루어진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  7. 제 1항 또는 제 2항에 있어서,
    상기 유전체 관의 하단부에는 다수의 구멍이 형성된 플라즈마 분배판이 배치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  8. 제 7항에 있어서,
    상기 플라즈마 분배판은 상기 유전체 관의 내부관과 외부관 사이의 공간에 대응되는 링 형상을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  9. 제 1항 또는 제 2항에 있어서,
    상기 헬릭스 코일의 일단은 접지되고 타단은 전기적으로 개방되며, 개방된 상기 타단은 세라믹으로 감싸인 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  10. 제 1항 또는 제 2항에 있어서,
    상기 헬릭스 코일에는 다수의 탭이 서로 다른 위치에 마련되고, 상기 다수의 탭과 상기 RF 전원 사이에는 스위치가 마련되어, 상기 다수의 탭에 택일적으로 RF 전력이 인가될 수 있도록 된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  11. 제 10항에 있어서,
    상기 다수의 탭은 상기 헬릭스 코일의 두 개의 턴마다 하나씩 마련되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  12. 제 1항 또는 제 2항에 있어서,
    상기 헬릭스 코일은 사각형의 단면 형상을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  13. 제 1항 또는 제 2항에 있어서,
    상기 헬릭스 코일의 둘레에는 금속 실린더가 설치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  14. 제 13항에 있어서,
    상기 금속 실린더는 구리로 제조된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  15. 제 13항에 있어서,
    상기 금속 실린더의 내부에는 상기 헬릭스 코일에서 발생된 열을 외부로 발산시키기 위한 방열 팬이 설치되고, 상기 금속 실린더의 덮개에는 다수의 공기 배출구가 형성된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  16. 제 15항에 있어서,
    상기 방열 팬은 상기 금속 실린더의 덮개에 지지되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  17. 제 1항 또는 제 2항에 있어서,
    상기 공정 챔버의 내부에 그 내주면을 따라 소정 간격을 두고 배치된 다수의 마그네트를 더 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  18. 제 17항에 있어서,
    상기 마그네트는 영구자석인 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  19. 제 17항에 있어서,
    상기 공정 챔버의 내부에는 그 내주면 가까이에 상기 다수의 마그네트를 지지하기 위한 원통 형상의 마그네트 지지부재가 설치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  20. 제 19항에 있어서,
    상기 마그네트 지지부재에는 상기 다수의 마그네트가 각각 끼워지는 다수의 슬롯이 형성된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  21. 제 20항에 있어서,
    상기 다수의 슬롯은 2열로 배열된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  22. 제 19항에 있어서,
    상기 마그네트 지지부재에는 상기 마그네트를 냉각시키기 위한 냉각 라인이 마련된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  23. 제 22항에 있어서,
    상기 냉각 라인은 상기 지지부재의 가장자리 부위를 따라 배치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  24. 제 19항에 있어서,
    상기 마그네트 지지부재의 내측에는 플라즈마로부터 상기 마그네트를 보호하기 위한 원통 형상의 보호부재가 설치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  25. 제 1항 또는 제 2항에 있어서,
    상기 공정 챔버 내부에 기판 처리를 위한 공정가스를 주입하기 위한 공정가스 주입 유닛을 더 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  26. 제 25항에 있어서, 상기 공정가스 주입 유닛은,
    상기 공정 챔버의 벽을 관통하여 설치되는 가스 유입구와;
    상기 공정 챔버의 내부에 설치되며, 상기 가스 유입구를 통해 유입된 공정가스를 상기 공정 챔버 내부로 분배하여 주입하는 다수의 가스 분배구를 가진 링 형상의 인젝터;를 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  27. 제 1항 또는 제 2항에 있어서,
    상기 RF 전원은 정합회로를 통해 상기 헬릭스 코일에 전기적으로 연결되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  28. 제 1항 또는 제 2항에 있어서,
    상기 기판 홀더에는 바이어스 전원이 연결되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  29. 제 28항에 있어서,
    상기 바이어스 전원은 RF 전원이고, 상기 RF 전원은 정합회로를 통해 상기 기판 홀더에 전기적으로 연결되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  30. 처리 기판을 지지하는 기판 홀더를 가진 공정 챔버;
    상기 공정 챔버 내부와 연통되도록 상기 공정 챔버의 상부에 설치되는 것으로, 그 내부 공간에 플라즈마 소스가스를 공급하기 위한 소스가스 공급구를 가진 유전체 관;
    상기 유전체 관의 외부관 둘레에 감겨진 헬릭스 코일;
    상기 헬릭스 코일에 RF 전력을 공급하기 위한 RF 전원;
    상기 유전체 관의 내부에 설치되어 상기 유전체 관 내부에 생성된 플라즈마의 전위를 제어하기 위한 제어 전극; 및
    상기 제어 전극에 소정의 전위를 인가하기 위한 가변 DC 전원;을 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  31. 제 30항에 있어서,
    상기 소스가스 공급구는 상기 유전체 관의 상단부에 형성되고, 상기 제어 전극은 상기 소스가스 공급구의 아래쪽에 배치되며 플라즈마 소스가스가 통과할 수 있도록 다수의 구멍을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  32. 제 31항에 있어서,
    상기 제어 전극은 메쉬 형상을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  33. 제 30항에 있어서,
    상기 기판 홀더에는 바이어스 전원이 연결되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  34. 제 30항에 있어서,
    상기 헬릭스 코일에는 다수의 탭이 서로 다른 위치에 마련되고, 상기 다수의 탭과 상기 RF 전원 사이에는 스위치가 마련되어, 상기 다수의 탭에 택일적으로 RF 전력이 인가될 수 있도록 된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  35. 제 30항에 있어서,
    상기 헬릭스 코일은 사각형의 단면 형상을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  36. 제 30항에 있어서,
    상기 헬릭스 코일의 둘레에는 금속 실린더가 설치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  37. 제 36항에 있어서,
    상기 금속 실린더의 내부에는 상기 헬릭스 코일에서 발생된 열을 외부로 발산시키기 위한 방열 팬이 설치되고, 상기 금속 실린더의 덮개에는 다수의 공기 배출구가 형성된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  38. 제 30항에 있어서,
    상기 공정 챔버의 내부에 그 내주면을 따라 소정 간격을 두고 배치된 다수의 마그네트를 더 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
  39. 제 30항에 있어서,
    상기 공정 챔버 내부에 기판 처리를 위한 공정가스를 주입하기 위한 공정가스 주입 유닛을 더 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
KR1020030077762A 2003-11-04 2003-11-04 헬리컬 공진기형 플라즈마 처리 장치 KR100561848B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020030077762A KR100561848B1 (ko) 2003-11-04 2003-11-04 헬리컬 공진기형 플라즈마 처리 장치
EP04256330A EP1530230A3 (en) 2003-11-04 2004-10-14 Helical resonator type plasma processing apparatus
US10/978,391 US20050093460A1 (en) 2003-11-04 2004-11-02 Helical resonator type plasma processing apparatus
JP2004320539A JP4216243B2 (ja) 2003-11-04 2004-11-04 ヘリカル共振器型のプラズマ処理装置
CNB2004100897723A CN100423196C (zh) 2003-11-04 2004-11-04 螺旋谐振器型等离子体处理设备

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030077762A KR100561848B1 (ko) 2003-11-04 2003-11-04 헬리컬 공진기형 플라즈마 처리 장치

Publications (2)

Publication Number Publication Date
KR20050042701A true KR20050042701A (ko) 2005-05-10
KR100561848B1 KR100561848B1 (ko) 2006-03-16

Family

ID=34431719

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030077762A KR100561848B1 (ko) 2003-11-04 2003-11-04 헬리컬 공진기형 플라즈마 처리 장치

Country Status (5)

Country Link
US (1) US20050093460A1 (ko)
EP (1) EP1530230A3 (ko)
JP (1) JP4216243B2 (ko)
KR (1) KR100561848B1 (ko)
CN (1) CN100423196C (ko)

Cited By (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8336490B2 (en) 2007-08-31 2012-12-25 Tokyo Electron Limited Plasma processing apparatus
KR101229793B1 (ko) * 2011-07-07 2013-02-08 참엔지니어링(주) 기판 처리 장치
US8608902B2 (en) 2009-01-23 2013-12-17 Tokyo Electron Limited Plasma processing apparatus
WO2014022192A1 (en) * 2012-08-02 2014-02-06 Applied Materials, Inc. Semiconductor processing with dc assisted rf power for improved control
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
KR20150122063A (ko) * 2014-04-22 2015-10-30 김일욱 헬리컬공명플라즈마 안테나 및 이를 구비하는 플라즈마 발생 장치
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
WO2017095561A1 (en) * 2015-12-04 2017-06-08 Applied Materials, Inc. Advanced coating method and materials to prevent hdp-cvd chamber arcing
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
KR20170123740A (ko) * 2016-04-29 2017-11-09 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102441994B1 (ko) * 2021-12-27 2022-09-08 주식회사 에이치피에스피 고속 냉각 고압 챔버
KR102444786B1 (ko) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 냉각 효율을 향상시키는 고압챔버
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US12009228B2 (en) 2023-02-27 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4567979B2 (ja) * 2004-01-15 2010-10-27 キヤノンアネルバ株式会社 プラズマ処理システム及びプラズマ処理方法
US8187484B2 (en) * 2005-10-05 2012-05-29 Pva Tepla Ag Down-stream plasma etching with deflectable radical stream
US9137884B2 (en) * 2006-11-29 2015-09-15 Lam Research Corporation Apparatus and method for plasma processing
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor
KR101013729B1 (ko) 2008-11-24 2011-02-14 주식회사 디엠에스 콘 형상의 3차원 헬릭스 인덕티브 코일을 가지는 플라즈마 반응장치
KR100989316B1 (ko) 2010-06-25 2010-10-25 이창경 플라즈마-강화 화학 증착장치
TWI522489B (zh) * 2011-07-26 2016-02-21 Nat Applied Res Laboratories Plasma secondary atomic layer deposition system
JP5989119B2 (ja) * 2011-08-19 2016-09-07 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマリアクタ及びプラズマを生成する方法
CN102395243A (zh) * 2011-10-19 2012-03-28 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
CN103094038B (zh) * 2011-10-27 2017-01-11 松下知识产权经营株式会社 等离子体处理装置以及等离子体处理方法
US10115565B2 (en) * 2012-03-02 2018-10-30 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
JP5830651B2 (ja) * 2012-03-02 2015-12-09 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
US20130240147A1 (en) * 2012-03-19 2013-09-19 Sang Ki Nam Methods and apparatus for selectively modulating azimuthal non-uniformity in a plasma processing system
US9867238B2 (en) * 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
KR101412643B1 (ko) * 2012-06-29 2014-07-08 주식회사 티지오테크 복수의 가스를 공급하기 위한 가스 공급부 및 그 제조방법
CN102781155B (zh) * 2012-07-23 2015-06-24 西安电子科技大学 带冷却电极的大面积均匀高密度等离子体产生系统
CN103068137A (zh) * 2012-11-21 2013-04-24 中国科学院微电子研究所 一种进气结构及等离子体工艺设备
WO2014092856A1 (en) * 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
CN103108482B (zh) * 2013-01-11 2015-08-05 哈尔滨工业大学 一种等离子体射流密度大范围调节器
CN103052249A (zh) * 2013-01-11 2013-04-17 哈尔滨工业大学 一种射流等离子体密度分布调节器
US8907300B2 (en) 2013-03-14 2014-12-09 Varian Semiconductor Equipment Associates, Inc. System and method for plasma control using boundary electrode
US10249475B2 (en) * 2014-04-01 2019-04-02 Applied Materials, Inc. Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation
CN103974517A (zh) * 2014-05-22 2014-08-06 哈尔滨工业大学 高频电磁场条件下的束缚等离子体聚集器及采用该聚集器实现的聚集方法
JP6473889B2 (ja) * 2014-09-19 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法、電子デバイスの製造方法
JP6064176B2 (ja) * 2015-08-05 2017-01-25 パナソニックIpマネジメント株式会社 誘導結合型プラズマ処理装置及び方法
US9972511B2 (en) * 2015-10-01 2018-05-15 Applied Materials, Inc. Substrate processing apparatus and methods
CN106653549B (zh) * 2015-11-03 2020-02-11 中微半导体设备(上海)股份有限公司 一种半导体加工设备
US10128083B2 (en) * 2016-06-01 2018-11-13 Vebco Instruments Inc. Ion sources and methods for generating ion beams with controllable ion current density distributions over large treatment areas
WO2018161511A1 (zh) * 2017-03-09 2018-09-13 北京北方华创微电子装备有限公司 反应腔室的磁场产生机构和反应腔室
KR102432857B1 (ko) * 2017-09-01 2022-08-16 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자의 제조 방법
CN108770173B (zh) * 2018-07-27 2020-11-20 上海工程技术大学 一种等离子体射流产生装置

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3522609A (en) * 1968-07-23 1970-08-04 Robert Ellis Airborne antenna coupled to adjustable helical counterpoise
US4035604A (en) * 1973-01-17 1977-07-12 Rolls-Royce (1971) Limited Methods and apparatus for finishing articles
US5057809A (en) * 1989-12-26 1991-10-15 Advanced Electronics, Inc. Variable inductance RF coil assembly
JPH04120732A (ja) * 1990-09-12 1992-04-21 Hitachi Ltd 固体素子及びその製造方法
US5120908A (en) * 1990-11-01 1992-06-09 Gazelle Graphic Systems Inc. Electromagnetic position transducer
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
US5304282A (en) * 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
WO1994006263A1 (en) * 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
JP3288490B2 (ja) * 1993-07-09 2002-06-04 富士通株式会社 半導体装置の製造方法及び半導体装置の製造装置
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5413821A (en) * 1994-07-12 1995-05-09 Iowa State University Research Foundation, Inc. Process for depositing Cr-bearing layer
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5609921A (en) * 1994-08-26 1997-03-11 Universite De Sherbrooke Suspension plasma spray
JP3150058B2 (ja) * 1994-12-05 2001-03-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
JPH0922796A (ja) * 1995-07-07 1997-01-21 Nippon Telegr & Teleph Corp <Ntt> ドライエッチング装置
JP3328498B2 (ja) * 1996-02-16 2002-09-24 株式会社荏原製作所 高速原子線源
CN1164125A (zh) * 1996-02-20 1997-11-05 株式会社日立制作所 等离子体处理方法和装置
US5944899A (en) * 1996-08-22 1999-08-31 Applied Materials, Inc. Inductively coupled plasma processing chamber
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6715441B2 (en) * 1997-12-31 2004-04-06 Plasma Optical Fibre B.V. PCVD apparatus and a method of manufacturing an optical fiber, a preform rod and a jacket tube as well as the optical fiber manufactured therewith
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
KR20000055919A (ko) * 1999-02-11 2000-09-15 윤종용 박막 형성 방법 및 장치
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
CN1241316C (zh) * 1999-07-13 2006-02-08 东京电子株式会社 产生感性耦合的等离子的射频电源
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
TW578448B (en) * 2000-02-15 2004-03-01 Tokyo Electron Ltd Active control of electron temperature in an electrostatically shielded radio frequency plasma source
US7067034B2 (en) * 2000-03-27 2006-06-27 Lam Research Corporation Method and apparatus for plasma forming inner magnetic bucket to control a volume of a plasma
US6863835B1 (en) * 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
JP2003033647A (ja) 2001-07-23 2003-02-04 Tokyo Ohka Kogyo Co Ltd プラズマ処理装置
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US7127294B1 (en) * 2002-12-18 2006-10-24 Nanoset Llc Magnetically shielded assembly
KR100557292B1 (ko) * 2003-10-14 2006-03-15 주식회사 뉴파워 프라즈마 다중 방전관 브리지를 구비한 유도 플라즈마 챔버
US7465430B2 (en) * 2004-07-20 2008-12-16 E. I. Du Pont De Nemours And Company Apparatus for making metal oxide nanopowder

Cited By (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8336490B2 (en) 2007-08-31 2012-12-25 Tokyo Electron Limited Plasma processing apparatus
US8608902B2 (en) 2009-01-23 2013-12-17 Tokyo Electron Limited Plasma processing apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101229793B1 (ko) * 2011-07-07 2013-02-08 참엔지니어링(주) 기판 처리 장치
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
WO2014022192A1 (en) * 2012-08-02 2014-02-06 Applied Materials, Inc. Semiconductor processing with dc assisted rf power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
KR20150122063A (ko) * 2014-04-22 2015-10-30 김일욱 헬리컬공명플라즈마 안테나 및 이를 구비하는 플라즈마 발생 장치
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10208380B2 (en) 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
WO2017095561A1 (en) * 2015-12-04 2017-06-08 Applied Materials, Inc. Advanced coating method and materials to prevent hdp-cvd chamber arcing
US10655223B2 (en) 2015-12-04 2020-05-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
KR20170123740A (ko) * 2016-04-29 2017-11-09 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102444786B1 (ko) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 냉각 효율을 향상시키는 고압챔버
KR102441994B1 (ko) * 2021-12-27 2022-09-08 주식회사 에이치피에스피 고속 냉각 고압 챔버
US12009228B2 (en) 2023-02-27 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems

Also Published As

Publication number Publication date
CN1614746A (zh) 2005-05-11
EP1530230A2 (en) 2005-05-11
US20050093460A1 (en) 2005-05-05
EP1530230A3 (en) 2006-06-07
CN100423196C (zh) 2008-10-01
KR100561848B1 (ko) 2006-03-16
JP2005142568A (ja) 2005-06-02
JP4216243B2 (ja) 2009-01-28

Similar Documents

Publication Publication Date Title
KR100561848B1 (ko) 헬리컬 공진기형 플라즈마 처리 장치
US11450509B2 (en) Inductive plasma source with metallic shower head using b-field concentrator
US20200357606A1 (en) Plasma processing apparatus and plasma processing method
TWI720010B (zh) 利用二次電漿佈植的電漿蝕刻系統及方法
US5082542A (en) Distributed-array magnetron-plasma processing module and method
KR100486712B1 (ko) 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치
JP4995907B2 (ja) プラズマを閉じ込めるための装置、プラズマ処理装置及び半導体基板の処理方法
US5904780A (en) Plasma processing apparatus
JP4387299B2 (ja) 磁気プラズマ制御を伴う容量結合プラズマリアクタ
KR200478935Y1 (ko) 플라즈마 처리 챔버를 위한 c-형상 한정 링
US7767055B2 (en) Capacitive coupling plasma processing apparatus
KR101980203B1 (ko) 지지 유닛 및 그를 포함하는 기판 처리 장치
KR20040062846A (ko) 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
KR20020027375A (ko) 반도체 처리용 가스 분배 장치
JP2005019968A (ja) 高密度プラズマ処理装置
KR20080086361A (ko) 가스 유동 확산기
KR101232198B1 (ko) 플라스마 발생 유닛 및 이를 포함하는 기판 처리 장치 및 방법
KR20150138468A (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP2000031121A (ja) プラズマ放出装置及びプラズマ処理装置
KR20090013626A (ko) 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR20040021809A (ko) 부위별로 단면적이 다른 안테나를 구비한 유도결합플라즈마 발생장치
US11244837B2 (en) Process gas supply apparatus and wafer treatment system including the same
TW201941354A (zh) 用於基板支撐件的處理套組
KR20070118481A (ko) 플라즈마 처리 장치 및 이를 이용한 기판 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130221

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140221

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150212

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee