KR20080086361A - 가스 유동 확산기 - Google Patents

가스 유동 확산기 Download PDF

Info

Publication number
KR20080086361A
KR20080086361A KR1020080025360A KR20080025360A KR20080086361A KR 20080086361 A KR20080086361 A KR 20080086361A KR 1020080025360 A KR1020080025360 A KR 1020080025360A KR 20080025360 A KR20080025360 A KR 20080025360A KR 20080086361 A KR20080086361 A KR 20080086361A
Authority
KR
South Korea
Prior art keywords
gas
substrate
gas distribution
substrate support
processing
Prior art date
Application number
KR1020080025360A
Other languages
English (en)
Other versions
KR100978690B1 (ko
Inventor
폴 브릴하트
다니엘 제이. 호프만
제임스 디. 카두치
지아오핑 죠우
매튜 엘. 밀러
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080086361A publication Critical patent/KR20080086361A/ko
Application granted granted Critical
Publication of KR100978690B1 publication Critical patent/KR100978690B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

처리 챔버 내부에 유동을 제공하는 방법 및 장치가 제공된다. 일 실시예에서, 내부체적을 갖는 챔버 본체, 상기 내부 체적내에 배치되는 기판 지지부, 및 가스 분사 포트가 비대칭적으로 분포된 가스 분배 조립체를 포함하는 진공 처리 챔버가 제공된다. 다른 실시예에서, 처리 챔버 내부의 기판 지지부 상에 기판을 배치하는 단계, 상기 기판에 걸쳐서 상기 처리 챔버 내에 위치되는 가스 분배 플레이트 상에 형성된 공간 내부로 처리 가스를 측방향으로 유동시키는 단계, 및 상기 처리 가스의 면전에서 상기 기판을 처리하는 단계를 포함하는 기판 진공 처리 방법이 제공된다.

Description

가스 유동 확산기{GAS FLOW DIFFUSER}
본 발명의 실시예는 반도체 기판 처리 시스템에 관한 것이다. 보다 상세하게 본 발명의 실시예는 반도체 기판 처리 챔버 내에서 가스의 유동을 제어하는 가스 유동 확산기에 관한 것이다.
집적 회로는 단일 칩 상에 수백만 개의 부품(예를 들면, 트랜지스터, 커패시터, 레지스터 등)을 포함할 수 있는 복합적인 장치로 진화해오고 있다. 칩 디자인의 진화는 더 빠른 회로와 더 큰 회로 밀도를 끊임없이 요구한다. 더 큰 회로 밀도에 대한 요구는 집적 회로 부품의 치수의 감소를 필요로 한다. 이러한 장치의 피처의 최소 크기는 당업계에서 일반적으로 임계 치수(CD)로 지칭된다. 임계 치수는 일반적으로 선, 세로열, 개구, 선 사이의 공간 등과 같은 피처의 최소 폭을 포함한다.
이들 임계 치수가 축소됨에 따라, 기판에 걸친 프로세스 균일성이 높은 수율을 유지하는데 중요해진다. 집적 회로 제조에 사용되는 통상적인 플라즈마 식각 프로세스에 관한 한가지 문제점은 부분적으로는 식각되는 기판과 반응종 사이의 측방향 편향으로 인할 것일 수 있는 기판에 걸친 식각률의 비균일성이다. 기판의 중심으로부터 편향되는 반응종의 경향에 기여하는 한가지 요인은 챔버 배기 포트의 방사상 위치이다. 가스가 배기 포트에 가장 근접한 챔버의 영역으로부터 보다 용이하게 펌핑될 때, 반응종은 배기 포트를 향하여 나아가며, 그에 따라 챔버 및 챔버 내부에 위치된 기판의 중심에 대해 편향되게 된다. 이러한 편향은 기판의 표면에 걸친 식각 균일성의 감소의 원인이 되며, 이는 집적 회로의 성능에 상당한 악영향을 미치며 제조 비용을 증가시킬 수 있다.
펌핑 포트의 편향을 보상하기 위해 챔버의 컨덕턴스를 변화시키도록 유동 제한 장치가 챔버 내에 위치될 수 있다. 이러한 기술은 우수한 처리 결과를 발생시켜왔지만, 차세대 장치에서 가능할 것인 프로세스 균일성의 레벨은 달성되지 않았으며 이는 적어도 부분적으로 처리 챔버 내에서 처리되는 기판상의 컨덕턴스 비균일성을 완전히 보상할 수 없기 때문인 것으로 여겨져 왔다. 따라서, 선폭 및 임계 치수가 축소됨에 따라, 실질적인 제조 비용으로 차세대 장치를 제조할 수 있도록 하기 위해 프로세스 균일성의 지속적인 개선에 대한 요구가 남아 있다.
따라서, 집적회로의 제조 중에 재료층을 식각하는 개선된 장치에 대한 요구가 당업계에 존재한다.
처리 챔버 내부로 유동을 제공하는 방법 및 장치가 제공된다. 일 실시예에서, 내부 체적을 갖는 챔버 본체, 상기 내부 체적내에 배치되는 기판 지지부 및 상기 기판 지지부의 기판 지지면의 평면 아래에 배치되는 펌핑 포트를 포함하는 진공 처리 챔버가 제공된다. 상기 펌핑 포트의 위치와 상기 내부 체적의 기하학적 구조는 상기 기판 지지부의 상기 기판 지지면 상에 배치된 기판상에 비대칭적인 처리 결과를 발생시키는 구성을 갖는다. 처리 챔버는 또한 상기 기판 지지부의 상기 기판 지지면의 평면 위에 위치되는 가스 분배 조립체를 포함하며, 상기 가스 분배 조립체의 구성은 상기 펌핑 포트의 위치 및 상기 내부 체적의 기하학적 구조로 인해 야기되는 처리 결과의 대칭성 제공할 수 있도록 상기 처리 결과를 조정하도록 선택된다.
다른 실시예에서, 내부 체적을 갖는 챔버 본체, 상기 내부 체적내에 배치되는 기판 지지부, 및 비대칭으로 분포된 가스 분사 포트를 갖는 가스 분배 조립체를 포함하는 진공 처리 챔버가 제공된다.
또 다른 실시예에서, 내부 체적을 형성하는 덮개와 측벽을 갖는 챔버 본체, 상기 내부 체적내에 배치되는 기판 지지부, 및 가스 분배 조립체를 포함하는 진공 처리 챔버가 제공된다. 상기 가스 분배 조립체는 상기 덮개에 연결되는 가스 분배 플레이트와, 상기 가스 분배 플레이트와 상기 덮개 사이에 위치되며 비대칭적으로 분포된 가스 분사 포트를 갖는 하나 이상의 링을 포함한다.
또 다른 실시예에서, 처리 챔버 내의 기판 지지부 상에 기판을 배치하는 단 계, 상기 기판에 걸쳐서 상기 처리 챔버 내에 위치된 가스 분배 플레이트 상에 형성된 공간 내부로 처리 가스를 측방향으로 유동시키는 단계, 및 상기 처리 가스의 면전에서 상기 기판을 처리하는 단계를 포함하는 기판 진공 처리 방법이 제공된다.
또 다른 실시예에서, 복수의 개구가 관통하여 형성되는 가스 분배 플레이트, 및 상기 가스 분배 플레이트에 연결되는 하나 이상의 링을 포함하고, 상기 개구가 상기 가스 분배 플레이트의 중심선에 실질적으로 평행한 배향을 가지며, 상기 링이 상기 가스 분배 플레이트의 상기 개구의 배향과 상이한 배향을 갖는 복수의 가스 분사 포트를 갖는 가스 분배 조립체가 제공된다.
본 발명의 전술한 특징이 달성되고 보다 상세히 이해될 수 있도록, 첨부 도면에 도시된 본 발명의 실시예를 참조로 상기에 간략히 요약된 본 발명의 보다 상세한 설명이 이루어진다.
그러나 첨부 도면은 본 발명의 단지 일반적인 실시예를 도시하는 것이므로 본 발명의 범주를 제한하는 것으로 간주되지 않아야 하며, 본 발명에 대한 다른 동등한 효과의 실시예도 가능할 수 있음에 주의해야 한다. 또한, 일 실시예의 특징은 추가로 반복하지 않고 다른 실시예에서 유리하게 사용될 수 있는 것으로 생각된다.
본 발명의 실시예는 일반적으로 플라즈마 처리 챔버 내에서 반도체 기판에 걸쳐서 프로세스 균일성을 개선하는 장치에 관한 것이다. 당업자는 본 발명을 실시하는데 반응성 이온 식각(RIE) 챔버, 전자 사이클로트론 공명(ECR) 챔버 등을 포 함하는 다른 형태의 플라즈마 식각 챔버가 사용될 수 있음을 이해할 것이다. 또한, 본 발명의 실시예는 원자층 증착(ALD) 챔버, 화학기상증착(CVD) 챔버, 플라즈마 강화 화학기상증착(PECVD) 챔버, 자기적으로 강화된 플라즈마 처리 챔버 등과 같이, 유동 제어가 처리중에 기판의 표면에 걸쳐서 프로세스 균일성을 개선할 수 있는 임의의 처리 챔버에서 유용할 수 있다.
도 1은 본 발명의 실시예에 따른 가스 확산기(132)를 갖는 진공 처리 챔버(102)의 개략적 횡단면도를 도시한다. 도 1에 도시된 실시예에서, 가스 확산기(132)는 컨덕턴스, 또는 비대칭적 처리(예를 들면 기판의 중심선에 대해 대칭적이지 않은 처리 결과)를 일으키는 다른 챔버의 특성을 보상할 수 있는 프로세스 균일성을 조정한다. 다른 실시예에서, 가스 확산기(132)는 비대칭적 처리 결과를 만드는데 사용될 수 있다. 본 발명이 유리하게 활용될 수 있는 처리 챔버의 일례는 캘리포니아 산타 클라라에 소재한 어플라이드 머티어리얼스 사에서 시판중인 ENABLER™이다. 본 발명은 다른 제조사의 처리 챔버를 포함하는 다른 처리 챔버들을 유리하게 하는데 사용될 수 있는 것으로 생각된다.
일 실시예에서, 처리 챔버(102)는 전도성 챔버 벽(130)과 바닥(108)을 갖는 진공 챔버 본체(110)를 포함한다. 챔버 벽(130)은 전기 접지부(134)에 연결된다. 챔버 벽(130) 상에는 덮개(170)가 배치되어 챔버 본체(110) 내부에 형성된 내부 체적(178)을 둘러싼다. 챔버 벽(130)의 외부에는 하나 이상의 솔레노이드 세그먼트(112)가 위치된다. 솔레노이드 세그먼트(들)(112)는 DC 전원(154)에 의해 선택적으로 전원이 인가될 수 있으며, DC 전원(154)은 처리 챔버(102) 내에 형성되는 플라즈마 처리용 제어 노브에 제공하기 위해 5V 이상을 발생시킬 수 있다.
챔버(102)의 세정을 용이하게 하기 위해 내부 체적(178) 내에는 세라믹 라이너(131)가 배치된다. 식각 프로세스의 잔류물 및 부산물이 선택된 간격으로 라이너(131)로부터 용이하게 제거될 수 있다.
가스 확산기(132) 아래의 처리 챔버(102)의 바닥(108) 상에는 기판 지지 페디스털(116)이 배치된다. 기판 지지 페디스털(116)과 확산기(132) 사이의 내부 체적(178) 안쪽에는 프로세스 영역(180)이 형성된다. 기판 지지 페디스털(116)은 처리중에 가스 확산기(132) 아래쪽의 페디스털(116)의 표면(140) 상에 기판(114)을 유지시키기 위한 정전 척(126)을 포함할 수 있다. 정전 척(126)은 DC 전원 공급부(120)에 의해 제어된다.
지지 페디스털(116)은 정합 회로망(124)을 통해 RF 바이어스 소스(122)에 연결될 수 있다. 바이어스 소스(122)는 일반적으로 50kHz 내지 13.56MHz의 조정 가능한 주파수 및 0 내지 5000와트의 전력을 갖는 RF 신호를 발생시킬 수 있다. 선택적으로 바이어스 소스(122)는 DC 또는 펄스 DC 소스일 수 있다.
지지 페디스털(116)은 내부 및 외부 온도 조절 영역(174, 176)을 더 포함할 수 있다. 각각의 온도 조절 영역(174, 176)은 저항 히터 또는 냉매 순환용 도관과 같은 하나 이상의 온도 조절 장치를 포함할 수 있어서, 페디스털 상에 배치된 기판의 방사상 온도 구배가 제어될 수 있다. 내부 및 외부 온도 조절 영역을 갖춘 페디스털(116)의 적합한 일례는 본 명세서에 전체로서 참조되는 미합중국 특허출원번호 제10/960,874호 및 제11/531,474호에 설명된다.
챔버(102)의 내부는 고진공 용기이며, 고진공 용기는 챔버 벽(130) 및/또는 챔버 바닥(108)을 통하여 형성된 배기 포트(135)를 통해 진공 펌프(136)에 연결된다. 배기 포트(135) 내에 배치된 스로틀 밸브(127)가 진공 펌프(136)와 함께 처리 챔버(102) 내부의 압력을 조절하는데 사용된다. 배기 포트(135)의 위치와 챔버 본체(110)의 내부 체적(178) 내의 다른 유동 사양이 처리 챔버(102) 내의 컨덕턴스 및 가스 유동 분포에 큰 영향을 미친다.
가스 확산기(132)는 도관을 제공하는데, 이 도관을 통해 하나 이상의 처리 가스가 다른 챔버 부품(예를 들면, 배기 포트의 위치, 기판 지지 페디스털의 기하학적 구조 또는 다른 챔버 부품)에 의해 야기되는 전술한 가스 유동 분포 및 컨덕턴스를 조정하는데 활용될 수 있는 비대칭적인 방법으로 처리 영역(180)으로 도입되어, 가스 유동 및 가스종이 균일하거나 선택된 분배로 기판에 전달된다. 가스 확산기(132)는 챔버 내의 다양한 처리 파라미터를 제어 또는 조정하는데 사용되어 대칭적인 처리 결과를 제공한다. 대안적으로 가스 확산기(132)는 챔버 내의 다양한 처리 파라미터를 제어 또는 조정하는데 사용되어 비대칭적인 처리 결과를 발생할 수 있다. 또한, 가스 확산기(132)는 (페디스털(116) 상에 동심으로 배치되는) 기판(114)의 중심선에 대하여 플라즈마의 위치를 설정하는데 사용될 수 있다. 또한, 가스 확산기(132)의 구성은 프로세스 균일성을 개선하도록 선택되거나 대안적으로 처리 결과에 미리 정해진 편향을 발생하도록 선택될 수 있다. 예를 들면 가스 확산기(132)의 구성은 챔버 컨덕턴스를 보상하도록 유입되는 가스의 유동을 기판 지지 페디스털(116) 상의 처리 영역(180)으로 지향시키도록 선택될 수 있다. 이는 처리 챔버 내부로 가스를 비대칭으로 전달하도록 가스 확산기(132)를 구성하여 플라즈마 위치에 대한 챔버 컨덕턴스 및/또는 이온 전달 및/또는 처리중의 기판의 표면에 대한 반응종의 비대칭적인 영향을 상쇄시킴으로써 이루어질 수 있다.
도 1에 도시된 실시예와 같은 일 실시예에서, 가스 확산기(132)는 2개 이상의 가스 분배기(160, 162), 장착 플레이트(128) 및 가스 분배 플레이트(164)를 포함한다. 가스 분배기(160, 162)는 처리 챔버(102)의 덮개(170)를 통해 하나 이상의 가스 패널(138)에 연결된다. 가스 분배기(160, 162)를 통한 가스의 유동은 독립적으로 제어될 수 있다. 가스 분배기(160, 162)는 단일한 가스 패널(138)에 연결된 것으로 도시되어 있지만, 가스 분배기(160, 162)는 하나 이상의 공유 가스원 및/또는 분리된 가스원에 연결될 수 있는 것으로 생각된다. 가스 패널(138)로부터 공급되는 가스는 플레이트(128, 164) 사이에 형성되어 있는 영역(172)으로 전달된 후 가스 분배 플레이트(164)를 관통하여 형성된 복수의 구멍(168)을 통해 처리 영역(180)으로 빠져나간다.
장착 플레이트(128)는 지지 페디스털(116)과 마주하는 덮개(170)에 연결된다. 장착 플레이트(128)는 RF 전도성 재료로 제조되거나 RF 전도성 재료로 씌워진다. 장착 플레이트(128)는 임피던스 변압기(119)(예를 들면, 1/4 파장 정합 스터브)를 통해 RF 소스(118)에 연결된다. 소스(118)는 일반적으로 약 162MHz의 조정 가능한 주파수 및 약 0 내지 2000와트의 전력을 갖는 RF 신호를 발생시킬 수 있다. 장착 플레이트(128) 및/또는 가스 분배 플레이트(164)는 RF 소스(118)에 의해 전원이 인가되어 처리 챔버(102)의 처리 영역(180)에 존재하는 처리 가스로부터 형성된 플라즈마를 유지시킨다.
가스 분배기(160, 162)는 장착 플레이트 및 가스 분배 플레이트(128, 164) 중 하나 이상에 연결된다. 일 실시예에서, 가스 분배기(160)는 가스 분배기(162)의 방사상 내부에 위치될 수 있다. 가스 분배기(160, 162)는 서로에 대해 동심으로, 페디스털(116)의 중심선에 대해 모두 동심으로, 페디스털(116)의 중심선에 대해 모두 비-동심으로, 페디스털(116)의 중심선에 대해 하나의 분배기는 동심으로 다른 하나의 분배기는 비-동심으로, 또는 다른 적합한 구성으로 배향될 수 있다. 도 1에 도시된 일 실시예에서, 가스 분배기(160, 162)는 동심 링이지만 이에 제한되지는 않는다.
처리 영역(180) 내부로 확산기(132)를 빠져나가는 가스 유동의 비대칭성은 서로에 대한 및/또는 페디스털(116)의 중심선에 대한 가스 분배기(160, 162)의 비-대칭성으로 인해 발생될 수 있다. 추가적으로 또는 대안적으로 처리 영역(180) 내부로 확산기(132)를 빠져나가는 가스 유동의 비대칭성은 하기에 더 설명되는 바와 같이, 가스 분배기(160, 162) 중 하나 이상으로부터 유동하는 가스의 방사상 비-균일성에 의해 발생될 수 있다.
도 2는 도 1에 도시된 가스 확산기(132)의 일 실시예의 저면 절단도를 도시한다. 가스 분배 플레이트(164)는 가스 분배기(160, 162)의 예시적인 동심 배향을 도시하기 위해 절단된다. 도 2에 도시된 실시예에서, 가스 분배기(160, 162)는 동심 링으로 도시된다. 대안적으로 가스 분배기(160, 162)는 다양한 다른 배향을 가질 수 있으며, 예를 들면 도 7a에 도시된 바와 같이 하나 이상의 가스 분배기(702) 는 달걀형 또는 타원 형상일 수 있다. 다른 실시예에서, 하나 이상의 가스 분배기(712)는 도 7b에 도시된 바와 같이, 외부 가스 분배기(162)와 비-동심일 수 있다. 외부 가스 분배기는 원형 링으로 상부에 도시되어 있지만, 대안적으로 원형 내부 가스 분배기 또는 비-원형 내부 가스 분배기를 갖는 임의의 전술한 구성을 가질 수 있다. 가스 분배기 전부 또는 가스 분배기 중 하나 또는 어떠한 가스 분배기도 장착 플레이트(128)의 중심선에 대해 동심으로 배향될 수 있는 것으로 생각된다. 장착 플레이트(128)는 일반적으로 페디스털(116)의 중심선과 동축으로 배향되어 결과적으로 페디스털(116) 위에 위치된 기판과 동축으로 정렬된다.
도 2를 참조하면, 가스 분배기(160, 162)는 플레이트(128, 164) 중 하나 이상에 고정될 수 있다. 일 실시예에서, 가스 분배기(160, 162)는 복수의 브래킷(202)에 의해 또는 다른 적합한 방법으로 장착 플레이트(128)에 고정된다. 대안적으로 가스 분배기(160, 162)는 플레이트(128, 164) 사이에 압축될 수 있다.
도 3은 장착 플레이트(128)에 외부 가스 분배기(162)를 고정시키는 브래킷(202)의 일 실시예의 단면도를 도시한다. 내부 가스 분배기(160)는 유사하게 유지된다. 브래킷(202)은 탭(302) 및 핑거(308)를 포함한다. 탭(302) 내의 구멍을 통해 체결구(304)가 연장되며, 체결구(304)는 장착 플레이트(128) 내에 형성된 나사공(306)과 결합된다. 핑거(308)는 곡선형일 수 있거나 체결구(304)의 설치시 플레이트(132)에 근접하여 가스 분배기(162)를 유지시키도록 형성될 수 있다. 가스 분배기는 다른 기술을 활용하여 적소에 유지될 수 있는 것으로 생각된다.
도 4a는 가스 패널(138)에 외부 가스 분배기(162)를 연결하는데 사용되는 외 부 가스 분배기(162)의 커플링(400)의 단면도를 도시한다. 내부 가스 분배기(160)는 도 2에 도시된 바와 유사한 커플링(402)을 포함한다. 커플링(400, 402)은 도 2에 도시된 실시예에서 180°편향된 것으로 도시되어 있지만, 커플링(400, 402)의 배향은 임의의 통상적인 방법으로 배열될 수 있다.
다시 도 4a로 되돌아가면, 커플링(400)은 본체(408) 및 스템(404)을 포함한다. 스템(404)은 장착 플레이트(128) 내에 형성된 구멍(412)을 통해 연장된다. 일 실시예에서, 스템(404)은 숫나사부(410)를 포함하며, 숫나사부(410)로 인해 패널 너트(414) 또는 다른 체결구가 커플링(400)을 장착 플레이트(128)에 고정시킬 수 있다. 또한, 스템(404)은 나사부(406)를 포함하며, 나사부(406)로 인해 커플링(400)이 가스 패널(138)로부터 송출되는 가스 전달 라인(미도시)에 연결될 수 있다. 커플링은 가스 패널 및/또는 장착 플레이트에 용이하게 부착하기 위한 적합한 다른 구성을 가질 수 있는 것으로 생각된다.
본체(408)는 장착 플랜지(420)를 포함한다. 장착 플랜지(420)는 O-링 글랜드(422)를 포함하며, O-링 글랜드(422)는 구멍(412)을 통한 누출을 방지하기 위해 패널 너트(414)를 조일 때 압축되는 시일(미도시)을 수용한다.
본체(408)는 십자 구멍(432)에 포트(406)를 연결하는 통로(430)를 포함한다. 십자 구멍(432)은 가스 분배기(162)의 개방 단부(440)를 받아들이는 카운터 보어를 갖는다. 가스 분배기(162)의 개방 단부(440)는 예를 들면 점착, 납땜, 용접, 압입, 스웨이징 또는 적합한 기밀 조립과 같은 임의의 적합한 방법으로 본체(408)에 밀봉될 수 있다. 제 2 카운터 보어는 가스 분배기(162)의 폐쇄 단부(442)를 수용 하여 포트(406)를 통해 커플링(400)으로 유동하는 가스가 가스 분배기(162)의 개방 단부(440)로부터 폐쇄 단부(442)로 유동한다. 가스는 도 5를 참조로 하기에 설명되는 바와 같이, 복수의 비대칭적으로 분포된 포트를 통해 가스 분배기(162)를 빠져나간다.
도 4b는 커플링(450)의 대안적인 실시예의 단면도를 도시한다. 커플링(450)은 가스 분배기(462)의 2개의 개방 단부(440)가 포트(406)로부터 통로(430)를 통해 유동하는 가스를 수용할 수 있도록 본체(408)를 통해 연장되는 십자 구멍(452)을 제외하고, 전술한 커플링(400)과 실질적으로 유사하다.
도 5는 도 2의 5-5선의 섹션을 따르는 가스 분배기(162)의 단면도이다. 가스 분배기(162)는 유사하게 구성될 수 있다. 가스 분배기(162)는 영역(172) 내부로 가스를 허용하는 복수의 구멍을 포함한다. 일 실시예에서, 내부 및 외부 가스 분사 포트(502, 504)는 가스 분배기(162)를 관통하여 형성된다. 가스 분사 포트(502, 504)는 가스 확산기(132) 내에 원하는 유동 및/또는 압력 분포를 발생시키도록 선택된 수직면 및 수평면 모두에 임의의 각도 배향을 가질 수 있다. 도 5에 도시된 일 실시예에서, 내부 및 외부 가스 분사 포트(502, 504)는 동심으로 배치되며, 가스 분배 플레이트(164)의 평면에 평행한 중심선을 갖는다.
가스 분사 포트(502, 504)의 직경은 서로 상이하거나 동일할 수 있다. 예를 들면, 방사상 내측을 향하는 가스 분사 포트(504)의 직경은 방사상 외측을 향하는 가스 분사 포트(502)의 직경보다 커서 가스 확산기(132)의 내부 영역으로 더 많은 가스를 제공할 수 있다. 대안적으로, 방사상 외측을 향하는 가스 분사 포트(502) 의 직경은 방사상 내측을 향하는 가스 분사 포트(504)의 직경보다 커서 가스 확산기(132)의 외부 영역으로 더 많은 가스를 제공할 수 있다.
또한, 가스 분배기(162)를 따라 방사상 내측을 향하는 구멍(502)의 조밀도 및/또는 분포가 변화할 수 있다. 예를 들면, 방사상 내측을 향하는 구멍(504)의 개수가 다른 구멍에 비해 분배기(162)의 단위 길이당 한 영역에 더 많을 수 있다. 도 2에 도시된 실시예에서, 방사상 내측을 향하는 구멍(504)의 개수 및/또는 개방된 영역은 개방 단부(440)로부터 측정될 때와 같이 커플링(400)으로부터 더 가스 분배기(162)를 따라 단위 길이당 증가한다. 이러한 배치로 인해 더 많은 가스가 커플링(400)(또는 다른 선택된 영역)에 인접하여 전달되거나 분배기의 길이를 따라 압력 강하를 보상할 수 있어서, 폐쇄 단부(442)에 인접한 구멍(504)은 구멍이 대칭적으로 분포된 분배기에 비해 더 많은 양의 가스를 수용한다.
방사상 외측을 향하는 구멍(504)의 조밀도, 개방된 영역 및/또는 분포는 방사상 내측을 향하는 구멍(502)의 조밀도, 개방된 영역 및/또는 분포와 동일하거나 서로 상이할 수 있는 것으로 생각된다. 개별적인 가스 분사 포트(502, 504)의 상대 직경은 커플링(400)(또는 다른 선택된 영역)에 인접하여 더 많은 가스를 전달하거나 분배기의 길이를 따라 압력 강하를 보상하도록 선택되어 폐쇄 단부(또는 다른 선택된 영역)에 인접한 가스 분사 포트(502, 504)가 구멍이 대칭적으로 분포된 분배기에 비해 더 많은 양의 가스를 수용할 수 있는 것으로 생각된다.
내부 가스 분배기(160)의 구성은 외부 가스 분배기(162)의 구성과 동일하거나 상이할 수 있다. 도 2에 도시된 실시예에서, 내부 및 외부 가스 분배기(162)는 분배기의 개방 단부로부터 측정될 때와 같이 단위 길이당 구멍의 조밀도 및/또는 개방된 영역이 증가하도록 구성된다. 또한, 도 2에 도시된 실시예에서, 분배기(160, 162)의 커플링(400, 402)의 위치는 분배기가 커플링으로부터 폐쇄 단부를 향하여 연장되는 방향을 따라 180°위상차로 배치된다. 대안적 실시예에서, 내부 및 외부 가스 분배기(160, 162)는 개방 단부와 폐쇄 단부 사이에 실질적으로 균일한 구멍의 조밀도를 갖도록 구성되지만 분배기(160, 162)의 개방 단부로부터 폐쇄 단부까지 감소하는 구멍의 직경을 갖는다. 가스 분배기(160, 162)는 전술한 임의의 결합으로 배치될 수도 있는 것으로 생각된다.
도 6a 및 도 6b는 확산기(132)의 부분(128, 164)이 서로 어떻게 결합되는 지와 확산기(132)가 덮개 조립체(170)에 어떻게 결합되는 지에 대한 단면도를 도시한다. 도 6a의 단면도에 도시된 바와 같이, 체결구(602)는 분배 플레이트(164)의 여유구를 통과하여 장착 플레이트(128)의 나사공과 결합된다. 도 6b의 단면도에 도시된 바와 같이, 체결구(612)는 분배 플레이트 및 장착 플레이트(164, 128)를 통과하여 형성된 여유구를 통과하여 덮개 조립체(170)의 나사공과 결합된다. 이러한 장착 배열로 인해 확산기(132)가 덮개 조립체(170)로부터 용이하게 제거되어 상이한 유동 형태를 갖는 확산기로 용이하게 교환될 수 있다. 또한, 브래킷(202)을 제거 및/또는 헐겁게 함으로써 하나 이상의 가스 분배기(160, 162)가 교체될 수 있어서, 확산기(132)의 신속한 재배치 및 다른 프로세스 제어 특성으로의 적응을 가능하게 하도록 플레이트(164, 128)가 용이하게 분리될 수 있다.
도 8은 가스 분배기(160, 162)의 구성을 선택하기 위한 예시적인 방법(800) 의 일 실시예의 블록도이다. 이 방법(800)은 박스(802)에서 통상적인 가스 확산기(예를 들면 가스 전달이 대칭적인 확산기)를 활용하는 챔버 컨덕턴스로 인해 야기되는 처리 결과를 결정함으로써 시작된다. 박스(802)에서 얻어진 식각 프로세스에 대한 처리 결과(900)는 측방향 비균일성 및 방위각 비균일성을 모두 도시하는 도 9a에 도시된다. 박스(804)에서 확산기(132)에 대한 구성은 실질적으로 균일한 컨덕턴스를 갖는 챔버 내에서 프로세스가 계속되는 것으로 가정할 때 비대칭적인 처리 결과로 선택된다. 박스(804)에서 선택된 확산기(132)의 구성은 박스(802)의 비균일성을 보상하여, 박스(806)에서 희망하는 처리 결과가 얻어진다. 박스(806)에서 얻어진 처리 결과(902)는 도 9b에 도시되며, 도 9b는 측방향 및 방위각 모두의 식각 결과에 대한 실질적인 개선을 도시한다. 확산기(132)의 구성은 도 9b에 도시된 바와 같이 처리 결과를 집중시키거나 비균일성을 최소화시키고 처리 결과의 측방향 편향을 제어하도록 선택될 수 있다.
이러한 처리는 프로세스 처리법을 변경할 때 특히 유용하다. 챔버 내의 컨덕턴스 또는 플라즈마 위치의 변화를 가져오는 유량, 간격, RF 전원, 전기장 또는 자기장, 기판 페디스털 온도 구배 및 다른 프로세스 파라미터 중 하나 이상이 변화되는 경우, 이러한 변화는 확산기(132)의 구성을 변화시킴으로써 희망하는 처리 결과를 제공하도록 조정될 수 있다. 이는 확산기 내의 가스 분배기 중 하나 이상 또는 확산기를 교체함으로써 이루어질 수 있다. 따라서, 적시의 비용 효율적인 프로세스 조정이 실현될 수 있다.
전술한 바는 본 발명의 일부 실시예에 대한 것이지만, 하기의 특허청구범위 에 의해 결정되는 본 발명의 범주 및 본 발명의 기본 범주를 벗어나지 않고 본 발명의 여타 실시예가 안출될 수 있다.
도 1은 본 발명의 가스 확산기의 일 실시예의 예시적인 처리 챔버의 개략적 단면도.
도 2는 도 1의 가스 확산기의 일 실시예의 저면 절단도.
도 3은 도 2의 3-3 선의 섹션을 따르는 도 1의 가스 확산기의 부분 단면도.
도 4a는 도 2의 4A-4A 선의 섹션을 따르는 커플링의 일 실시예를 도시하는 도 1의 가스 확산기의 부분 단면도.
도 4b는 커플링의 다른 실시예의 단면도.
도 5는 도 2의 5-5 선의 섹션을 따르는 가스 확산기의 단면도.
도 6a 및 도 6b는 도 2의 6A-6A 및 6B-6B 선의 섹션을 따르는 가스 확산기의 단면도.
도 7a 및 도 7b는 가스 분배기의 예시에 대한 대안적인 실시예의 개략적 저면도.
도 8은 반도체 제조 프로세스를 조정하는 방법의 일 실시예의 흐름도.
도 9a 및 도 9b는 대칭적인 확산기 및 비대칭적인 확산기로 얻어지는 처리 결과의 개략도.
※ 도면의 주요 부분에 대한 부호의 설명 ※
102: 처리 챔버 110: 진공 챔버 본체
118: RF 소스 120: DC 전원 공급부
124: 정압 회로망 132: 확산기
160: 가스 분배기 170: 덮개
180: 프로세스 영역 400, 402: 커플링
502, 504: 가스 분사 포트 420: 장착 플랜지
440: 개방 단부 442: 폐쇄 단부

Claims (15)

  1. 내부 체적을 갖는 챔버 본체,
    상기 내부 체적내에 배치되는 기판 지지부,
    상기 기판 지지부의 기판 지지면의 평면 아래에 배치되는 펌핑 포트, 및
    상기 기판 지지부의 상기 기판 지지면의 평면 위에 위치되는 가스 분배 조립체를 포함하며,
    상기 펌핑 포트의 위치와 상기 내부 체적의 기하학적 구조가 상기 기판 지지부의 상기 기판 지지면 상에 배치된 기판상에 비대칭적인 처리 결과를 발생시키는 구성을 갖고,
    상기 가스 분배 조립체의 구성이 상기 펌핑 포트의 위치 및 상기 내부 체적의 기하학적 구조로 인해 야기되는 처리 결과에 대한 대칭성을 조정하도록 선택되는
    진공 처리 챔버.
  2. 제 1 항에 있어서,
    상기 가스 분배 조립체의 구성은 상기 펌핑 포트의 위치와 상기 내부 체적의 기하학적 구조로 인해 야기되는 처리 결과가 실질적으로 대칭이 되도록 선택되는
    진공 처리 챔버.
  3. 제 1 항에 있어서,
    상기 처리 결과의 분포를 측방향으로 조정하도록 전압이 인가될 수 있고, 상기 챔버 본체 외부에 위치되는 하나 이상의 코일을 더 포함하는
    진공 처리 챔버.
  4. 제 1 항에 있어서,
    상기 가스 분배 조립체가,
    상기 처리 결과의 측방향 분포를 변경하도록 독립적으로 제어 가능한 내부 및 외부 가스 분사 포트를 더 포함하는
    진공 처리 챔버.
  5. 제 4 항에 있어서,
    상기 가스 분배 조립체가,
    상기 내부 및 외부 가스 분사 포트와 상기 기판 지지부 사이에 위치되는 가스 분배 플레이트를 더 포함하는
    진공 처리 챔버.
  6. 내부 체적을 갖는 챔버 본체,
    상기 내부 체적내에 배치되는 기판 지지부, 및
    비대칭적으로 분포된 가스 분사 포트를 갖는 가스 분배 조립체를 포함하는
    진공 처리 챔버.
  7. 제 6 항에 있어서,
    상기 가스 분사 포트의 분포가 실질적으로 비대칭인 처리 결과를 발생시키도록 선택되거나,
    상기 가스 분사 포트의 분포가 상기 챔버 본체의 유동 컨덕턴스에 의해 발생된 비대칭적인 결과로부터 실질적으로 대칭적인 처리 결과를 발생시키도록 선택되는
    진공 처리 챔버.
  8. 내부 체적을 형성하는 덮개와 측벽을 갖는 챔버 본체,
    상기 내부 체적내에 배치되는 기판 지지부, 및
    가스 분배 조립체를 포함하며,
    상기 가스 분배 조립체가,
    상기 덮개에 연결되는 가스 분배 플레이트 및
    상기 가스 분배 플레이트와 상기 덮개 사이에 위치되며 비대칭적으로 분포된 가스 분사 포트를 갖는 하나 이상의 링을 포함하는
    진공 처리 챔버.
  9. 제 8 항에 있어서,
    상기 링의 상기 가스 분사 포트가 상기 가스 분배 플레이트를 통하여 형성된 가스 유동 개구의 배향과 상이한 배향을 더 포함하는
    진공 처리 챔버.
  10. 제 8 항에 있어서,
    상기 가스 분배 조립체가 내부 링 및 외부 링을 더 포함하고,
    상기 내부 링 및 외부 링 각각이 가스 분사 포트를 가지며,
    상기 링의 상기 가스 분사 포트가 상기 가스 분배 플레이트를 통하여 형성된 개구와 실질적으로 수직인
    진공 처리 챔버.
  11. 처리 챔버 내의 기판 지지부 상에 기판을 배치하는 단계,
    상기 기판에 걸쳐서 상기 처리 챔버 내에 위치된 가스 분배 플레이트 상에 형성된 공간 내부로 처리 가스를 측방향으로 유동시키는 단계, 및
    상기 처리 가스의 면전에서 상기 기판을 처리하는 단계를 포함하는
    기판 진공 처리 방법.
  12. 제 11 항에 있어서,
    상기 기판을 처리하는 단계가, 플라즈마 처리, 표면 처리, 주입(implanting), 애싱(ashing), 식각 및 증착 중 하나 이상을 더 포함하는
    기판 진공 처리 방법.
  13. 제 11 항에 있어서,
    상기 공간 내부로 처리 가스를 측방향으로 유동시키는 단계가,
    상기 처리 가스를 링으로부터 측방향 내측 및 측방향 외측으로 유동시키는 단계를 더 포함하는
    기판 진공 처리 방법.
  14. 제 11 항에 있어서,
    상기 공간 내부로 처리 가스를 측방향으로 유동시키는 단계가,
    상기 처리 가스를 내부 링으로부터 방사상으로 유동시키는 단계, 및
    상기 처리 가스를 외부 링으로부터 방사상으로 유동시키는 단계를 더 포함하는
    기판 진공 처리 방법.
  15. 복수의 개구가 통하여 형성되는 가스 분배 플레이트, 및
    상기 가스 분배 플레이트에 연결되는 내부 링과 외부 링을 포함하고,
    상기 개구가 상기 가스 분배 플레이트의 중심선에 실질적으로 평행한 배향을 가지며,
    상기 내부 링과 외부 링이 상기 가스 분배 플레이트의 상기 개구의 배향과 상이한 배향을 갖는 복수의 가스 분사 포트를 갖고, 상기 내부 링과 외부 링 중 하나 이상의 상기 가스 분사 포트가 비대칭적인 분포를 추가로 갖는
    가스 분배 조립체.
KR1020080025360A 2007-03-21 2008-03-19 가스 유동 확산기 KR100978690B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/689,031 US8123902B2 (en) 2007-03-21 2007-03-21 Gas flow diffuser
US11/689,031 2007-03-21

Publications (2)

Publication Number Publication Date
KR20080086361A true KR20080086361A (ko) 2008-09-25
KR100978690B1 KR100978690B1 (ko) 2010-08-30

Family

ID=39577580

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080025360A KR100978690B1 (ko) 2007-03-21 2008-03-19 가스 유동 확산기

Country Status (7)

Country Link
US (1) US8123902B2 (ko)
EP (1) EP1973146A2 (ko)
JP (1) JP5344832B2 (ko)
KR (1) KR100978690B1 (ko)
CN (1) CN101308771B (ko)
SG (1) SG146566A1 (ko)
TW (1) TWI401367B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101349266B1 (ko) * 2009-01-09 2014-01-10 가부시키가이샤 아루박 플라즈마 처리 장치 및 마이크로 크리스탈 실리콘의 성막 방법

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
DE112010000724T8 (de) * 2009-01-09 2013-04-18 Ulvac, Inc. Plasmaverarbeitungsvorrichtung und Plasma-CVD-Filmbildungsverfahren
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
TWI394986B (zh) * 2009-11-09 2013-05-01 Global Material Science Co Ltd 擴散板結構及其製作方法
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
WO2012109104A2 (en) * 2011-02-09 2012-08-16 Applied Materials, Inc. Uniformity tuning capable esc grounding kit for rf pvd chamber
TWI560801B (en) * 2011-09-30 2016-12-01 Applied Materials Inc Electrostatic chuck with temperature control
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
JP5929429B2 (ja) * 2012-03-30 2016-06-08 東京エレクトロン株式会社 成膜装置
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
CN105789008B (zh) * 2014-12-22 2017-12-19 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体刻蚀方法
JP6503730B2 (ja) * 2014-12-22 2019-04-24 東京エレクトロン株式会社 成膜装置
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
JP2016156094A (ja) * 2016-04-28 2016-09-01 東京エレクトロン株式会社 成膜装置
JP6308318B2 (ja) * 2017-04-06 2018-04-11 東京エレクトロン株式会社 成膜装置
WO2020131214A1 (en) 2018-12-20 2020-06-25 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
CN109817554B (zh) * 2019-01-31 2020-12-25 武汉华星光电半导体显示技术有限公司 一种气体扩散器
US20220157576A1 (en) * 2019-07-29 2022-05-19 Hitachi High-Tech Corporation Plasma processing apparatus
DE102020123076A1 (de) * 2020-09-03 2022-03-03 Aixtron Se Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JP3165941B2 (ja) * 1993-10-04 2001-05-14 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JPH07283203A (ja) * 1994-04-13 1995-10-27 Toshiba Corp 表面処理装置
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JPH113799A (ja) * 1997-06-11 1999-01-06 Hitachi Ltd プラズマ処理装置
JPH11323563A (ja) * 1998-05-12 1999-11-26 Canon Inc プラズマcvd法による堆積膜形成装置及び形成方法
TW384502B (en) * 1998-08-27 2000-03-11 Winbond Electronics Corp Gas dispensing apparatus
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US20020134507A1 (en) * 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
JP4753460B2 (ja) * 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
KR20040046571A (ko) * 2002-11-27 2004-06-05 주식회사 피앤아이 이온빔을 이용한 재료의 표면 처리 장치
KR100541447B1 (ko) * 2003-07-23 2006-01-11 삼성전자주식회사 웨이퍼용 정전척
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7416635B2 (en) * 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
KR200419389Y1 (ko) * 2005-04-07 2006-06-19 어플라이드 머티어리얼스, 인코포레이티드 다양한 크기의 홀을 갖는 대형 pecvd 시스템용배플판에 의한 가스 분배 균일성 개선
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
JP4506557B2 (ja) * 2005-05-18 2010-07-21 株式会社島津製作所 シャワーヘッドおよび表面波励起プラズマ処理装置
JP2007067242A (ja) * 2005-08-31 2007-03-15 Toshiba Ceramics Co Ltd ガス分散プレート及びその製造方法
CN100416757C (zh) * 2005-12-07 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置排气环

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101349266B1 (ko) * 2009-01-09 2014-01-10 가부시키가이샤 아루박 플라즈마 처리 장치 및 마이크로 크리스탈 실리콘의 성막 방법

Also Published As

Publication number Publication date
TW200844341A (en) 2008-11-16
US8123902B2 (en) 2012-02-28
SG146566A1 (en) 2008-10-30
US20080230518A1 (en) 2008-09-25
TWI401367B (zh) 2013-07-11
EP1973146A2 (en) 2008-09-24
KR100978690B1 (ko) 2010-08-30
JP5344832B2 (ja) 2013-11-20
CN101308771B (zh) 2010-06-23
CN101308771A (zh) 2008-11-19
JP2008277773A (ja) 2008-11-13

Similar Documents

Publication Publication Date Title
KR100978690B1 (ko) 가스 유동 확산기
US8236105B2 (en) Apparatus for controlling gas flow in a semiconductor substrate processing chamber
KR100561848B1 (ko) 헬리컬 공진기형 플라즈마 처리 장치
US8382939B2 (en) Plasma processing chamber with enhanced gas delivery
US20200203132A1 (en) Plasma processing using multiple radio frequency power feeds for improved uniformity
US9945033B2 (en) High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
JP4964142B2 (ja) 高密度プラズマ適用のための高真空中での自己冷却ガス分配装置
US20110240598A1 (en) Plasma processing apparatus and plasma processing method
US20070235420A1 (en) Plasma processing apparatus
KR20090024523A (ko) 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US11955314B2 (en) Plasma processing apparatus
CN111081518A (zh) 滤波器单元的调整方法和等离子体处理装置
KR20170002383A (ko) 히터 급전 기구 및 스테이지의 온도 제어 방법
KR20070041220A (ko) 플라즈마 처리 장치
KR20210112412A (ko) 플라즈마 처리 장치 내의 각을 이룬 인젝터를 갖는 가스 공급부
TW202312221A (zh) 混合電漿源陣列
JP2000031121A (ja) プラズマ放出装置及びプラズマ処理装置
TW202139786A (zh) 用於在電漿處理裝置中的一邊緣環處操控功率的設備及方法
CN116387127A (zh) 基板加工装置及基板加工方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee