JP5344832B2 - ガス流拡散器 - Google Patents

ガス流拡散器 Download PDF

Info

Publication number
JP5344832B2
JP5344832B2 JP2008070742A JP2008070742A JP5344832B2 JP 5344832 B2 JP5344832 B2 JP 5344832B2 JP 2008070742 A JP2008070742 A JP 2008070742A JP 2008070742 A JP2008070742 A JP 2008070742A JP 5344832 B2 JP5344832 B2 JP 5344832B2
Authority
JP
Japan
Prior art keywords
gas
ring
gas distribution
processing chamber
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008070742A
Other languages
English (en)
Other versions
JP2008277773A (ja
Inventor
ブリルハート ポール
ジェイ フォフマン ダニエル
ディー カルドゥッチ ジェームズ
シュウ シャオピン
エル ミラー マシュー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008277773A publication Critical patent/JP2008277773A/ja
Application granted granted Critical
Publication of JP5344832B2 publication Critical patent/JP5344832B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

背景
(発明の分野)
本発明の実施形態は、半導体基板処理システムに関する。特に、本発明の実施形態は、半導体基板処理チャンバ内でガス流を制御するためのガス流拡散器に関する。
(関連技術の背景)
集積回路は複雑なデバイスに発展しており、シングルチップ上に無数の部品(例えば、トランジスター、コンデンサー、抵抗器等)を含むことができる。チップ設計の進化により、より速い回路とより高い回路密度が必要とされている。より高い回路密度に対する要求は、集積回路部品寸法の縮小を必要とする。このデバイスのフィーチャーの最小寸法は、通常、技術的には限界寸法と呼ばれる。限界寸法としては、通常、線、列、開口、線間の空間等のようなフィーチャーの最小幅が挙げられる。
これらの限界寸法が小さくなると、高収率を維持するには、基板全体のプロセス均一性が最重要となる。集積回路製造に用いる従来のプラズマエッチングプロセスに関する問題の1つは、基板全体でのエッチング速度の不均一性であり、その一部は反応種と被エッチング基板間の横方向の偏りに起因する。反応種が基板の中心から偏る傾向に寄与する因子の1つは、チャンバ排気ポートの径方向位置である。ガスは排気ポートに最も近いチャンバ領域から、より容易に排気されることにより、反応種が、排気ポートに引っ張られて、チャンバ中心とその中に配置された基板に対して偏るようになる。この偏りが、基板表面上でエッチングの均一性の喪失に寄与し、性能に大いに影響し、集積回路の製造費が増加する恐れがある。
流れ制限部をチャンバ内に配置して、ポンプポートの偏りを補正するためにチャンバのコンダクタンスを変えてもよい。この技術は良好な処理結果を示したが、次代デバイスで可能なプロセス均一性レベルは達成できず、少なくともその一部は処理チャンバ内で処理されている基板上のコンダクタンスの不均一性を完全に補正できないことによるものと考えられる。従って、線幅と限界寸法が減少し続けると、次世代デバイスが実際的な製造費で製造できるよう、プロセス均一性を継続的に改良する必要性がある。
それ故、集積回路製造時に材料層をエッチングするための改善された装置が業界では必要とされている。
概要
処理チャンバへの流れを提供する方法と装置を提供する。一実施形態において、内容積部を有するチャンバ本体と、内容積部に配置された基板支持部と、基板支持部の基板支持表面の平面下に配置されたポンプポートとを含む真空処理チャンバを提供する。ポンプポートの位置と内容積部の形状は、基板支持部の基板支持表面上に配置した基板に、非対称な処理結果を生じる構成を有する。この処理チャンバは又、基板支持部の基板支持表面の平面上に配置されたガス分配アセンブリを含み、ガス分配アセンブリの構成を選んで、ポンプポートの位置と内容積部の形状に起因して対称的な処理結果を与えるように処理結果を調整する。
他の実施形態では、内容積部を有するチャンバ本体と、内容積部に配置された基板支持部と、非対称に分布したガス注入ポートを有するガス分配アセンブリとを含む真空処理チャンバを提供する。
更に他の実施形態では、内容積部を規定する側壁と蓋を有するチャンバ本体と、内容積部に配置された基板支持部と、ガス分配アセンブリとを含む真空処理チャンバを提供する。このガス分配アセンブリは、蓋に連結したガス分配板と、ガス分配板と蓋の間に位置する少なくとも1つのリングとを含み、このリングは非対称な分布のガス注入ポートを有する。
更に他の実施形態では、基板を真空処理する方法が提供され、基板を処理チャンバ内の基板支持部上に配置し、処理ガスを処理チャンバ内の基板上に配置されたガス分配板上に規定した空間に横方向に流し、処理ガスを存在させて基板を処理することを含む。
更なる実施形態では、ガス分配アセンブリが提供され、ガス分配板であって、板を通して形成された複数の開口部を有し、開口部が、板の中心線に実質的に平行な方向を有するガス分配板と、ガス分配板に連結した少なくとも1つのリングであって、板の開口部の方向と異なる方向を有する複数のガス注入ポートを有するリングとを含む。
詳細な説明
本発明の実施形態は、概して、プラズマ処理チャンバで半導体基板全体にわたるプロセス均一性を改善する装置に関する。当業者には他の形のプラズマエッチングチャンバを用いて本発明が実施できることが理解でき、エッチングチャンバとしては反応性イオンエッチング(RIE)チャンバ、電子サイクロトロン共鳴(ECR)チャンバ等が挙げられる。更に、本発明の実施形態は、流れ制御により処理中の基板表面全体でプロセス均一性を改良できる任意の処理チャンバに有用であり、処理チャンバとしては原子層堆積(ALD)チャンバ、化学気相堆積(CVD)チャンバ、プラズマ強化型化学気相堆積(PECVD)チャンバ、磁気強化型プラズマ処理チャンバ等がある。
図1に、本発明によるガス拡散器132を有する真空処理チャンバ102の概略断面図を示す。図1に示した実施形態では、ガス拡散器132によりプロセス均一性を調整して、非対称な処理(例えば、基板中心線に対し対称でない処理結果)の原因となるコンダクタンス又は他のチャンバの属性を補正できる。他の実施形態では、ガス拡散器132を用いて非対称な処理結果を得ることができる。本発明を有益に利用できる処理チャンバの一例は、カルフォルニア州(California)、サンタクララ(Santa Clara)のアプライドマテリアルズ社(Applied Materials,Inc)から入手できるイネーブラ(商標名)(ENABLER)である。他メーカーの物も含め、他の処理チャンバを用いても、本発明の恩恵を受けられるものと考えられる。
一実施形態において、処理チャンバ102は、導電性のチャンバ壁130と底面108を有する真空チャンバ本体110を含む。チャンバ壁130は、電気接地134と連結する。蓋170をチャンバ壁130上に配置し、内容積部178をチャンバ本体110内に規定するように囲む。少なくとも一個のソレノイド部分112をチャンバ壁130の外側に配置する。1個又は複数個のソレノイド112にDC電流源154の電圧を選択的に加えて、少なくとも5ボルトを発生し、処理チャンバ102内に形成されるプラズマプロセス用の制御ノブを与えることができる。
セラミックライナ131を内容積部178に配置し、チャンバ102の洗浄を容易にする。エッチングプロセスでの副産物と残渣を、選択した間隔でライナー131から容易に除去できる。
基板支持台座116を、ガス拡散器132下の処理チャンバ102の底108の上に配置する。この基板支持台座116と拡散器132間の内容積部178内に、プロセス領域180を規定する。この基板支持台座116は、処理時にガス拡散器132下の台座116の表面140上に基板114を保持する静電チャック126を含んでも良い。この静電チャック126は、DC電源装置120により制御する。
支持台座116は、整合回路124を通してRFバイアス源122と連結できる。バイアス源122は、通常、50kHzから13.56MHzの可変周波数と、0ワットから5000ワットの出力を有するRF信号を発生できる。任意で、バイアス源122は、DC電流源でもパルス化DC電流源でもよい。
また、支持台座116は、内部温度制御帯域174と外部温度制御帯域176を含んでもよい。174と176のそれぞれは、抵抗性ヒーター又は循環冷媒用導管のような少なくとも1つの温度制御装置を含むことにより、この台座上に配置した基板の径方向温度勾配を制御できる。内部温度制御帯域と外部温度制御帯域を有する適切な台座の一例は、米国特許出願第10/960,874号と第11/531,474号に記載されており、その全体が参考文献として組み込まれる。
チャンバ102内部は高真空の容器であり、チャンバ壁130及び/又はチャンバ底108を通るように形成された排気ポート135を通して、真空ポンプ136と連結されている。排気ポート135に配置した絞り弁127を真空ポンプ136と一緒に用いて、処理チャンバ102内の圧力を制御する。チャンバ本体110の内容積部178内の排気ポート135及び他の流れ制限部の位置は、処理チャンバ102内のコンダクタンスとガス流の分配に大きく影響する。
ガス拡散器132は、少なくとも1つの処理ガスをプロセス領域180に非対称に導入する導管を提供し、他のチャンバ部品(例えば、排気ポートの位置、基板支持台座の形状又は他のチャンバ部品)に起因する上述のコンダクタンスとガス流の分配調整に利用することにより、ガスと種の流れを基板に均一又は選択的な分配で送れる。ガス拡散器132を用いて、対称な処理結果を与えるようにチャンバ内の種々のプロセスパラメーターを制御又は調整する。或いは、ガス拡散器132を用いて、非対称な処理結果が得られるようチャンバ内の種々のプロセスパラメーターを制御又は調整してもよい。更に、ガス拡散器132を用いて、基板114の中心線(台座116上に同心に配置する)に対してプラズマを配置してもよい。更に、ガス拡散器132の構成を選んで、プロセス均一性を向上するか、或いは、所定の偏りのある処理結果が得られるようにしてもよい。例えば、ガス拡散器132の構成を選んで、チャンバのコンダクタンスを補正するように、基板支持台座116上のプロセス領域180へガスが流れるように導いてもよい。これは、ガスを処理チャンバに非対称に送るようにガス拡散器132を構成し、処理中にプラズマ位置及び/又は基板表面へのイオン及び/又は反応種送出に対するチャンバのコンダクタンスの非対称な影響を補正することにより、実現できる。
図1に示すような実施形態では、ガス拡散器132は少なくとも2つのガス分配機160、162、取り付け板128及びガス分配板164を含む。ガス分配機160、162は、処理チャンバ102の蓋170を通って1つ以上のガスパネル138と連結する。ガス分配機160、162によるガス流は、独立に制御できる。ガス分配機160、162は単一のガスパネル138と連結するように示したが、ガス分配機160、162は1つ以上の共有及び/又は別個のガス源と連結してもよいものと考えられる。ガスパネル138から提供されるガスは、板128、164で規定した領域172に送られた後、ガス分配板164を通して形成された複数の穴168を通って出て、プロセス領域180に入る。
取り付け板128は、支持台座116に反対の蓋170に連結する。この取り付け板128は、RF導電性材料から製造するか、又はRF導体材料で覆う。取り付け板128はインピーダンス変成器119(例えば、四分の一波長整合用スタブ)を通してRF供給源118と連結する。供給源118は、通常、約162MHzの可変周波数と、約0ワットから2000ワット間の出力を有するRF信号を発生できる。取り付け板128及び/又はガス分配板164は、RF供給源118により動力を与えられ、処理チャンバ102のプロセス領域180に存在する処理ガスで形成したプラズマを維持する。
ガス分配機160、162は、取り付け板128又はガス分配板164の内の少なくとも1つと連結する。一実施形態において、ガス分配機160を、ガス分配機162の径方向内側に配置してもよい。ガス分配機160、162は、互いに対し同心方向でも、両者が台座116中心線に対して同心方向でも、両者が台座116中心線に対し非同心方向でも、台座116の中心線に対し一方が同心方向で、他方が非同心方向でも、又は他の適切な配置でもよい。図1に示す実施形態では、ガス分配機160、162は同心リングであるが、これに限定はされない。
拡散器132を出てプロセス領域180に入るガス流の非対称性は、ガス分配機160、162の相互の非同心性及び/又は台座116中心線の非同心性により形成できる。拡散器132を出てプロセス領域180に入るガス流の非対称性は又、或いは代わりに、更に、後述するように、ガス分配機160、162の内の少なくとも1つから流出するガスを径方向に不均一にすることにより形成してもよい。
図2に図1に示したガス拡散器132の一実施形態の底面切欠図を示す。ガス分配板164を切欠し、ガス分配機160、162の典型的な同心方向を示す。図2に示す実施形態では、ガス分配機160、162は同心リングとして示す。ガス分配機160、162は、この代わりに、種々の配向としてよく、例えば、図7Aに示すように少なくとも1つのガス分配機702は楕円形又は回転楕円形としてよい。他の実施例では、図7Bに示すように、少なくとも1つのガス分配機712が外側ガス分配機162と非同心でもよい。この外側ガス分配機は円形リングとして示したが、代わりに円形内側ガス分配機又は非円形内側ガス分配機のいずれかである、上述した配置のいずれかを有してもよい。又、ガス分配機のいずれもが取り付け板128中心線に対して同心に向かないか、又は1つ又は全てが同心に向いてもよい。取り付け板128は、通常、台座116中心線と同軸に並び、その結果、その上に位置する基板と同軸に並ぶ。
図2に戻ると、ガス分配機160、162を板128、164の内の少なくとも1つに固定できる。一実施形態において、ガス分配機160、162を複数のブラケット202によるか、又は他の適切な方法で取り付け板128に固定する。或いは、ガス分配機160、162を板128、164間に押し込んでもよい。
図3に、ブラケット202により外側ガス分配機162を取り付け板132に固定した一実施形態の断面図を示す。内側ガス分配機160も同様に保持する。ブラケット202はつまみ302とフィンガー308を含む。締め具304が穴を通してつまみ302に延び、取り付け板128に形成されたねじ穴306と嵌合する。フィンガー308は曲げる等して、締め具304取り付け時に、板132に隣接したガス分配機162を保持できるようにする。ガス分配機は、他の技法を用いて適所に保持してもよいものと考えられる。
図4Aに外側ガス分配機162をガスパネル138と連結するのに用いる外側ガス分配機162の連結器400の断面図を示す。この内側ガス分配機160は、図2に示すように、同様の連結器402を含む。この連結器400、402は、図2に示す実施形態とは180度偏っているが、連結器手400、402は、都合の良い方向に並べて良い。
図4Aに戻ると、連結器400は、本体408と幹部分404を含む。幹部分404は取り付け板128に形成した穴412を通って伸びる。一実施形態において、この幹部分404はオスネジ部分410を含み、パネルナット414又は他の締め具により、連結器400を取り付け板128に固定できる。幹部分404は又、ネジ付き口406を含み、この連結器400はガスパネル138を経由したガス送りライン(図示せず)と連結できる。この連結器は、ガスパネル及び/又は取り付け板に容易に取り付けるのに適した他の構成にしてもよいものと考えられる。
本体408は取り付けフランジ420を含む。取り付けフランジ420は、シール(図示せず)に対応するOリングパッキン押さえ422を有し、パネルナット414を締め付けると圧縮されて、穴412からの漏れを防ぐ。
本体408はポート406を三方穴432に連結する通路430を含む。三方穴432は座ぐり穴を有し、ガス分配機162の開口端440に対応する。ガス分配機162の開口端440は、適切な方法で、例えば、接着剤、ろう付け、溶接、圧入、スエージングによるか、又は適切な気密嵌めにより、本体408に密封する。第2の座ぐり穴は、ガスがガス分配機162の開口端440のポート406を通して連結器400に流れ、閉鎖端442に流れるようにガス分配機162の閉鎖端442に対応する。図5を参照して後述するように、複数の非対称分布のポートを通して、ガスは、ガス分配機162から排気される。
図4Bに連結器450の変形実施形態の断面図を示す。この連結器450は、三方穴452以外は上述の連結器400と実質的に同様であるが、三方穴452は本体408に延び、ガス分配機462の2つの開口端440が、ポート406から通路430に流れるガスを受け入れられるようになっている。
図5は図2の切断線5−5に沿って切断したガス分配機162の断面図である。ガス分配機162は同様に構成できる。ガス分配機162は、領域172にガスが流れる複数の穴を含む。一実施形態において、ガス分配機162に通じる内側及び外側ガス注入ポート502、504を形成する。ガス注入ポート502、504は、選択した垂直面と水平面の両者で任意の角度方向が持て、ガス分配機132内に所望の流れ分布及び/又は圧分布を生じることができる。図5に示す実施形態では、内側及び外側ガス注入ポート502、504は、同心に並び、ガス分配板164の平面に平行な中心線を有する。
ガス注入ポート502、504の直径は異なっても、等しくても良い。例えば、径方向に内側向きのガス注入ポート504の直径は、径方向に外側向きのガス注入ポート502の直径よりも大きくても良く、より多くのガスをガス拡散器132の内側領域に提供できる。代わりに、径方向に外側向きのガス注入ポート502の直径を、径方向に内側向きのガス注入ポート504の直径より大きくして、より多くのガスをガス拡散器132の外側領域に提供してもよい。
更に、径方向に内側向きの穴502の密度及び/又は分布をガス分配機162に沿って変化できる。例えば、分配機162の単位長さ当たりの径方向に内側向きの穴504の数を、他の領域に比べ、ある領域においては多くしてもよい。図2に示す実施形態では、連結器400の開口端440から測ったガス分配機162に沿う単位長さ当たりの径方向に内側向きの穴504の数、及び/又は空きスペースが更に増加する。この構成を用いることで、連結器400(又は他の選択領域)近くにより多くのガスを送ったり、分配機長さ方向の圧力降下を補って、閉鎖端442近くの穴504が、対称分布の穴をもつ分配機に比べ、より大量のガスを受け入れることができる。
径方向に外側向きの穴504の密度、空きスペース及び/又は分布は、径方向に内側向きの穴502と同じでも、異なってもよいものと考えられる。又、各ガス注入ポート502、504の相対的な直径を選んで、連結器400(又は選択領域)近くにより多くのガスを送ったり、分配機長さ方向の圧力降下を補って、閉鎖端(又は他の選択領域)近くのガス注入ポート502、504が、対称分布の穴をもつ分配機に比べ、より大量のガスを受け入れることができる。
内側ガス分配機160の構成は、外側ガス分配機162の構成と同じでも、異なってもよい。図2に示す実施形態では、内側ガス分配機160と外側ガス分配機162を、この分配機の開口端から測った単位長さ当たりの穴密度、及び/又は空きスペースを増加するように配置する。更に、図2に示す実施形態では、分配機160、162の連結器400、402の位置を、分配機がこの連結器から閉鎖端に延びる方向に沿って180度位相をずらして配置する。変形実施形態では、内側ガス分配機160と外側ガス分配機162を、開口端と閉鎖端間の穴密集度を実質的に均一に配置するが、分配機160、162の開口端から閉鎖端に向かって穴の直径が減少する。ガス分配機160、162は上記の任意の組み合わせで配置できるものと考えられる。
図6Aと図6Bに、拡散器132の個所128、164の連結の仕方、及び拡散器132の蓋アセンブリ170への連結の仕方を断面図で示す。図6Aの断面図に示すように、締め具602は分配板164のクリアランスホールを通り、取り付け板132のネジ付き穴に嵌合する。図6Bの断面図に示すように、締め具612は分配板164と取り付け板132を通って形成されたクリアランスホールを通り、蓋アセンブリ170のネジ付き穴に嵌合する。この取り付け配置により、拡散器132が蓋アセンブリ170から容易に取り外せ、異なる流れ構成を有する拡散器と容易に交換することができる。更に、板164、132を容易に分離して、ブラケット202を取り外し、且つ/又はゆるめて1つ以上のガス分配機160、162を交換することにより、この拡散器132を迅速に再配置し、他のプロセス制御属性に適応させることができる。
図8はガス分配機160、162の配置を選択するための典型的な方法800の一実施形態のブロック図である。方法800はボックス802で始まり、従来のガス拡散器(例えば、対称的なガス送りの拡散器)を用いるチャンバのコンダクタンスが起こす処理結果を判定する。ボックス802で得られるエッチングプロセスの処理結果を図9Aに示す。横方向の不均一性と方位方向の不均一性の両者を示す。ボックス804では、拡散器132の構成を選択して、プロセスが実質的に均一なコンダクタンスを有するチャンバで行われると仮定して、非対称的な処理結果が得られるようにする。ボックス804で選んだ拡散器132の構成により、所望の処理結果がボックス806で得られるようにボックス802での非均一性を修正する。ボックス806で得られた処理結果902を図9Bに示すが、横方向エッチングの結果と方位方向エッチングの結果での大幅な改良が示される。拡散器132の配置を選んで図9Bに示すような処理結果に集中するか、又は不均一性を最小化し、処理結果の横方向の偏りを制御できる。
このプロセスは、プロセスレシピを変更した場合に特に有用である。1つ以上の流速、間隔、RF出力、電場又は磁場、基板台座の温度勾配又は他のプロセスパラメーターを変えて、チャンバ内のコンダクタンス又はプラズマ位置の変動が起こると、この変動を調節して拡散器132の配置を変えて所望の処理結果を与えることができる。これはこの拡散器又はこの拡散器内の1つ以上のガス分配機を交換して実現できる。その結果、時宜を得た、費用効率の良いプロセス調整が実現できる。
本発明の幾つかの実施形態について述べたが、本発明の他の更なる実施形態は、その基本的な範囲から逸脱することなしに創作することができ、その範囲は特許請求の範囲に基づいて定められる。
上に挙げた本発明の構成を得られ、詳細に理解できるように、上に簡単に要約した本発明を添付図面に示した実施形態を参照して、より詳細に説明する。
本発明のガス拡散器の一実施形態を有する典型的な処理チャンバの概略断面図である。 図1のガス拡散器の一実施形態の底面切欠図である。 図2のリング3−3に沿って切断した図1のガス拡散器の部分断面図である。 図2の切断線4A−4Aに沿って切断した連結部の一実施形態を示す図1のガス拡散器の部分断面図である。 連結部の他の実施形態の断面図である。 図2の切断線5−5に沿って切断したガス拡散器の断面図である。 図2の切断線6A−6Aと切断線6B−6Bに沿って切断したガス拡散器の切断図である。 典型的なガス拡散器の変形実施形態の概略底面図である。 半導体製造プロセスを調整する方法の一実施形態のフロー図である。 対称な拡散器と非対称な拡散器で得られた処理結果の概略図である。
しかしながら、添付図面は本発明の典型的な実施形態を示すに過ぎず、その範囲を限定するものとは解釈されず、本発明は、その他の等しく有効な実施形態も含み得ることに留意すべきである。また、一実施形態の構成は、更に列挙するこなしに、他の実施形態で有効に用いることができると考えられる。

Claims (14)

  1. 真空処理チャンバであって、
    内容積部を有するチャンバ本体と、
    前記内容積部に配置された基板支持部と、
    前記基板支持部の基板支持表面の平面下に配置されたポンプポートであって、前記ポンプポートの位置と前記内容積部の形状が、前記基板支持部の前記基板支持表面上に配置された基板に、非対称の処理結果を生ずる構成を有する、ポンプポートと、
    前記基板支持部の前記基板支持表面の前記平面上に配置されたガス分配アセンブリであって、前記ガス分配アセンブリは、ガス分配板と、非対称分布のガス注入ポートを有する少なくとも1つのガス注入リングとを含み、これによって前記ガス注入リングと前記ガス分配板との間に非対称分布のガスを作るように操作でき、前記ガス注入リングの構成を選んで、前記ポンプポート位置と前記内容積部の形状に起因する処理結果に対する対称性を整える、ガス分配アセンブリとを含む真空処理チャンバ。
  2. 前記ガス分配アセンブリの構成を選んで、前記ポンプポートの位置と前記内容積部の形状に起因する処理結果を、対称にする請求項1記載の真空処理チャンバ。
  3. 前記チャンバ本体外側に位置する少なくとも1つのコイルを含み、電圧を加えて前記処理結果の分布を横方向に整える請求項1記載の真空処理チャンバ。
  4. 前記ガス分配アセンブリが、
    前記処理結果の横方向分布を変えるように、独立して制御可能な内側及び外側ガス注入ポートを含む請求項1記載の真空処理チャンバ。
  5. 前記ガス分配アセンブリが、
    前記基板支持部と前記内側及び外側ガス注入ポートの間に配置されたガス分配板を含む請求項4記載の真空処理チャンバ。
  6. 真空処理チャンバであって、
    内容積部を有するチャンバ本体と、
    前記内容積部に配置された基板支持部と、
    非同心の、又は異なる形状を有する少なくとも2つのガス注入リングであって、少なくとも1つのガス注入リングは、非対称分布のガス注入ポートを有するガス注入リングと、
    前記基板支持部と前記ガス注入リングの間に配置されたガス分配板とを含む真空処理チャンバ。
  7. 前記ガス注入ポートの分布を選んで、非対称な処理結果を生じる請求項6記載の真空処理チャンバ。
  8. 真空処理チャンバであって、
    内容積部を規定する側壁と蓋を有するチャンバ本体と、
    前記内容積部内に配置された基板支持部と、
    ガス分配アセンブリとを含み、前記ガス分配アセンブリが、
    前記蓋と連結したガス分配板と、
    前記ガス分配板と前記蓋の間に配置された少なくとも1つのリングを含み、前記リングが、非対称分布のガス注入ポートを有し、これによって前記リングと前記ガス分配板との間に非対称分布のガスを作るように操作できる真空処理チャンバ。
  9. 前記リングのポートが、前記ガス分配板を通して形成されたガス流開口部の方向とは異なる方向を含む請求項8記載の真空処理チャンバ
  10. 前記ガス分配アセンブリが、内側リングと外側リングを含み、前記リングがそれぞれ、ガス注入ポートを有し、前記リングのポートが、前記ガス分配板を通して形成された開口部に実質的に垂直である請求項8記載の真空処理チャンバ
  11. 基板を真空処理する方法であって、
    基板を処理チャンバ内の基板支持部上に配置し、
    処理ガスを前記処理チャンバ内に配置されたガス分配板と基板上方の蓋との間に規定した空間に流し、
    前記ガス分配板の上方の空間内に配置されたリングから内側横方向及び外側横方向へ前記処理ガスを流し、これによって基板に処理ガスの非対称分布を作り、
    処理ガスを存在させて前記基板を処理することを含む方法。
  12. 処理が、プラズマ処理、表面処理、注入、アッシング、エッチング又は堆積の内の少なくとも1つを含む請求項11記載の方法。
  13. 処理ガスを前記空間に横方向に流すことが、
    内側リングから径方向にガスを流すことと、
    外側リングから径方向にガスを流すことを含む請求項11記載の方法。
  14. ガス分配アセンブリであって、
    ガス分配板であって、前記板を通して形成された複数の開口部を有し、前記開口部が、前記板の中心線に実質的に平行な方向を有するガス分配板と、
    前記ガス分配板に連結した少なくとも1つのリングであって、前記板の開口部の方向と異なる方向を有する複数のガス注入ポートを有し、前記少なくとも1つのリングの前記ガス注入ポートが非対称分布を有し、これによって前記リングと前記ガス分配板との間に非対称分布のガスを作るように操作できるリングとを含むガス分配アセンブリ。
JP2008070742A 2007-03-21 2008-03-19 ガス流拡散器 Expired - Fee Related JP5344832B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/689,031 US8123902B2 (en) 2007-03-21 2007-03-21 Gas flow diffuser
US11/689,031 2007-03-21

Publications (2)

Publication Number Publication Date
JP2008277773A JP2008277773A (ja) 2008-11-13
JP5344832B2 true JP5344832B2 (ja) 2013-11-20

Family

ID=39577580

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008070742A Expired - Fee Related JP5344832B2 (ja) 2007-03-21 2008-03-19 ガス流拡散器

Country Status (7)

Country Link
US (1) US8123902B2 (ja)
EP (1) EP1973146A2 (ja)
JP (1) JP5344832B2 (ja)
KR (1) KR100978690B1 (ja)
CN (1) CN101308771B (ja)
SG (1) SG146566A1 (ja)
TW (1) TWI401367B (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
WO2010079738A1 (ja) * 2009-01-09 2010-07-15 株式会社アルバック プラズマ処理装置及びプラズマcvd成膜方法
KR101349266B1 (ko) * 2009-01-09 2014-01-10 가부시키가이샤 아루박 플라즈마 처리 장치 및 마이크로 크리스탈 실리콘의 성막 방법
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
TWI394986B (zh) * 2009-11-09 2013-05-01 Global Material Science Co Ltd 擴散板結構及其製作方法
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
CN103348446B (zh) 2011-02-09 2016-08-24 应用材料公司 用于rf pvd腔室且能均匀调整的esc接地套件
TWI560801B (en) * 2011-09-30 2016-12-01 Applied Materials Inc Electrostatic chuck with temperature control
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
JP5929429B2 (ja) * 2012-03-30 2016-06-08 東京エレクトロン株式会社 成膜装置
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
JP6503730B2 (ja) * 2014-12-22 2019-04-24 東京エレクトロン株式会社 成膜装置
CN105789008B (zh) * 2014-12-22 2017-12-19 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体刻蚀方法
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
JP2016156094A (ja) * 2016-04-28 2016-09-01 東京エレクトロン株式会社 成膜装置
JP6308318B2 (ja) * 2017-04-06 2018-04-11 東京エレクトロン株式会社 成膜装置
WO2020131214A1 (en) 2018-12-20 2020-06-25 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
CN109817554B (zh) * 2019-01-31 2020-12-25 武汉华星光电半导体显示技术有限公司 一种气体扩散器
CN112585726B (zh) * 2019-07-29 2023-07-14 株式会社日立高新技术 等离子处理装置
DE102020123076A1 (de) * 2020-09-03 2022-03-03 Aixtron Se Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JP3165941B2 (ja) * 1993-10-04 2001-05-14 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JPH07283203A (ja) * 1994-04-13 1995-10-27 Toshiba Corp 表面処理装置
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JPH113799A (ja) * 1997-06-11 1999-01-06 Hitachi Ltd プラズマ処理装置
JPH11323563A (ja) * 1998-05-12 1999-11-26 Canon Inc プラズマcvd法による堆積膜形成装置及び形成方法
TW384502B (en) * 1998-08-27 2000-03-11 Winbond Electronics Corp Gas dispensing apparatus
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US20020134507A1 (en) * 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
JP4753460B2 (ja) 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
KR20040046571A (ko) * 2002-11-27 2004-06-05 주식회사 피앤아이 이온빔을 이용한 재료의 표면 처리 장치
KR100541447B1 (ko) * 2003-07-23 2006-01-11 삼성전자주식회사 웨이퍼용 정전척
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7250373B2 (en) 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7416635B2 (en) 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
US20060228490A1 (en) 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
KR200419389Y1 (ko) * 2005-04-07 2006-06-19 어플라이드 머티어리얼스, 인코포레이티드 다양한 크기의 홀을 갖는 대형 pecvd 시스템용배플판에 의한 가스 분배 균일성 개선
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
JP4506557B2 (ja) * 2005-05-18 2010-07-21 株式会社島津製作所 シャワーヘッドおよび表面波励起プラズマ処理装置
JP2007067242A (ja) * 2005-08-31 2007-03-15 Toshiba Ceramics Co Ltd ガス分散プレート及びその製造方法
CN100416757C (zh) * 2005-12-07 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置排气环

Also Published As

Publication number Publication date
KR100978690B1 (ko) 2010-08-30
KR20080086361A (ko) 2008-09-25
SG146566A1 (en) 2008-10-30
CN101308771A (zh) 2008-11-19
TWI401367B (zh) 2013-07-11
US20080230518A1 (en) 2008-09-25
EP1973146A2 (en) 2008-09-24
TW200844341A (en) 2008-11-16
US8123902B2 (en) 2012-02-28
CN101308771B (zh) 2010-06-23
JP2008277773A (ja) 2008-11-13

Similar Documents

Publication Publication Date Title
JP5344832B2 (ja) ガス流拡散器
US8236105B2 (en) Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US11276562B2 (en) Plasma processing using multiple radio frequency power feeds for improved uniformity
US20050093460A1 (en) Helical resonator type plasma processing apparatus
US8382939B2 (en) Plasma processing chamber with enhanced gas delivery
US7432467B2 (en) Plasma processing apparatus
US20080023143A1 (en) Capacitively coupled plasma reactor with magnetic plasma control
US20030218427A1 (en) Capacitively coupled plasma reactor with magnetic plasma control
US20100206231A1 (en) Exhaust unit, exhaust method using the exhaust unit, and substrate processing apparatus including the exhaust unit
JP2017532788A (ja) 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置
KR20090024523A (ko) 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
JP2010538488A (ja) 基板処理装置
US10780447B2 (en) Apparatus for controlling temperature uniformity of a showerhead
TWI829156B (zh) 電漿源陣列、電漿處理設備、電漿處理系統以及用於在電漿處理設備中加工工件的方法
CN112437969A (zh) 等离子体处理设备中具有成角度的喷嘴的气体供给装置
JP2000031121A (ja) プラズマ放出装置及びプラズマ処理装置
TW202139786A (zh) 用於在電漿處理裝置中的一邊緣環處操控功率的設備及方法
KR20130120689A (ko) 플라즈마 처리 장치
JP2017224697A (ja) ガス輸送管及びプラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110316

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110324

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120605

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120903

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120906

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121004

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121010

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121102

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121107

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130321

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130416

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130624

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130716

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130813

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees