JP2017532788A - 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置 - Google Patents

調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置 Download PDF

Info

Publication number
JP2017532788A
JP2017532788A JP2017520456A JP2017520456A JP2017532788A JP 2017532788 A JP2017532788 A JP 2017532788A JP 2017520456 A JP2017520456 A JP 2017520456A JP 2017520456 A JP2017520456 A JP 2017520456A JP 2017532788 A JP2017532788 A JP 2017532788A
Authority
JP
Japan
Prior art keywords
gas
zone
supply
outlet
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017520456A
Other languages
English (en)
Other versions
JP6789932B2 (ja
Inventor
タスカー・マーク
シャリーフ・イクバル
ゼムロック・アンソニー
バイセ・ライアン
カグランド・ネイサン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017532788A publication Critical patent/JP2017532788A/ja
Application granted granted Critical
Publication of JP6789932B2 publication Critical patent/JP6789932B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【解決手段】少なくとも第1の、第2の、及び第3のガス注入ゾーンを通して導入されるガスによって半導体基板が処理されるプラズマ処理システムのチャンバにプロセスガスを供給するためのガス供給配送配置は、複数のプロセスガス供給入口と、複数の調整ガス入口とを含む。混合マニホールドが、それぞれのプロセスガス供給部との流体連通を提供するように各自適応される複数のガス供給スティックと、それぞれの調整ガス供給部との流体連通を提供するように各自適応される複数の調整ガススティックとを含む。第1のガス出口が、第1のガス注入ゾーンへガスを配送するように適応され、第2のガス出口が、第2のガス注入ゾーンへガスを配送するように適応され、第3のガス出口が、第3のガス注入ゾーンへガスを配送するように適応される。ガス分離器が、混合マニホールドと流体連通しており、混合マニホールドから出る混合ガスを、第1のガス出口に供給できる第1の混合ガスと、第2の及び/又は第3のガス出口に供給できる第2の混合ガスとに分離する第1のバルブ配置を含む。【選択図】 図3

Description

この出願は、米国特許法第119(e)条の定めにより、2014年10月17日に出願された米国仮出願第62/065,497号の優先権を主張する。該出願は、参照によって本明細書に全内容を組み込まれる。
プラズマ処理チャンバと、該チャンバ内へガスを供給するガス源と、プロセスガスからプラズマを発生させるエネルギ源とを含むプラズマ処理装置において、半導体構造が処理される。半導体構造は、ドライエッチングプロセス、並びに金属材料、誘電体材料、及び半導体材料の化学気相成長(CVD)、物理蒸着、又はプラズマ支援式化学気相成長(PECVD)などの成膜プロセス、並びにレジスト剥離プロセスなどの技術によって処理される。これらの処理技術では、様々なプロセスガスが使用され、また、様々な材料の半導体構造が処理される。
本明細書では、少なくとも第1の、第2の、及び第3のガス注入ゾーンを通して導入されるガスによって半導体基板が処理されるプラズマ処理システムのチャンバにプロセスガスを供給するためのガス供給配送配置が開示される。ガス供給配送配置は、複数のプロセスガス供給入口と、複数の調整ガス入口とを含む。混合マニホールドが、それぞれのプロセスガス供給部との流体連通を提供するように各自適応される複数のガス供給スティックと、それぞれの調整ガス供給部との流体連通を提供するように各自適応される複数の調整ガススティックとを含む。第1のガス出口が、第1のガス注入ゾーンへガスを配送するように適応され、第2のガス出口が、第2のガス注入ゾーンへガスを配送するように適応され、第3のガス出口が、第3のガス注入ゾーンへガスを配送するように適応される。ガス分離器が、混合マニホールドと流体連通しており、このガス分離器は、混合マニホールドから出る混合ガスを、第1のガス出口に供給できる第1の混合ガスと、第2の及び/又は第3のガス出口に供給できる第2の混合ガスとに分離する第1のバルブ配置を含む。第2のバルブ配置が、調整ガススティックから第1の、第2の、及び/又は第3のガス出口へ調整ガスを選択式に配送する。
また、本明細書では、少なくとも第1の、第2の、及び第3のガス注入ゾーンを通して導入されるガスによって半導体基板が処理されるプラズマ処理システムのチャンバにプロセスガスを供給するためのガス供給配送配置を使用する方法も開示される。ガス供給配送配置は、複数のプロセスガス供給入口及び複数の調整ガス入口と、それぞれのプロセスガス供給部との流体連通を提供するように各自適応される複数のガス供給スティックを含む混合マニホールドと、それぞれの調整ガス供給部との流体連通を提供するように各自適応される複数の調整ガススティックと、第1のガス注入ゾーンへガスを配送するように適応される第1のガス出口、第2のガス注入ゾーンへガスを配送するように適応される第2のガス出口、及び第3のガス注入ゾーンへガスを配送するように適応される第3のガス出口と、混合マニホールドと流体連通しているガス分離器であって、混合マニホールドから出る混合ガスを、第1のガス出口に供給できる第1の混合ガスと、第2の及び/又は第3のガス出口に供給できる第2の混合ガスとに分離する第1のバルブ配置を含むガス分離器と、調整ガススティックから第1の、第2の、及び/又は第3のガス出口へ調整ガスを選択式に配送する第2のバルブ配置とを含む。方法は、第1の混合ガスを第1のガス出口へ配送するように、並びに第2の混合ガスを第2の及び/又は第3のガス出口へ配送するように、第1のバルブ配置を動作させることと、1つ以上の調整ガスを第1の、第2の、及び/又は第3のガス出口へ配送するように、第2のバルブ配置を動作させることとを含む。
本明細書では、更に、複数のガス源と流体連通しているガスパネルと、対応するガス源からの、ガスパネルを通してのガスの供給を制御するように動作可能である、各ガス源のためのそれぞれの質量流量コントローラと、ガスパネルに取り付けられたガス分離器であって、半導体基板処理装置のプロセスチャンバのそれぞれのガス注入ゾーンと流体連通するように構成される複数のガスゾーン供給口と流体連通しており、ガスパネルを通してガス源のうちの対応するそれぞれから供給される1つ以上のガスを受け取るように、及び受け取られて複数のガスゾーン供給口のうちの対応するそれぞれに供給される1つ以上のガスの比率を制御するように動作可能であるガス分離器とを含む、半導体基板処理装置のガス供給配送配置が開示される。
ガス供給配送配置の好ましい実施形態が使用できる半導体基板処理装置の代表的な一実施形態を示した断面図である。
マルチゾーンガスフロー分割器を含むガス供給配送配置のガスパネルの一部分を示した等角図である。
混合マニホールドとガス分割器とを含むガス供給配送配置を示した配線図である。
制御されて調整されたプロセスガスを中央注入ゾーン、中間注入ゾーン、及び縁注入ゾーンを通して受け取る半導体基板を示した説明図である。
ウエハを均一に処理するためにガス組成及びガス流量を決定する方法を示したフローチャートである。
例えばシリコンウエハなどの半導体基板の上に形成された半導体素子などの半導体材料を処理するためのプラズマ処理装置などの半導体基板処理装置は、プラズマ処理チャンバ又は真空チャンバと、プラズマ処理チャンバ内へプロセスガスを供給するガス供給配送配置とを含むことができる。ガス供給配送配置は、半導体基板の表面にわたる複数の領域(又はゾーン)への複数のガスフロー供給口を通して、プラズマ処理時に半導体基板の表面にわたるそれぞれのゾーンへガスを分配することができる。ガス供給配送配置は、フローコンポーネントを含むことができ、該コントローラは、同一のプロセスガス若しくは異なるプロセスガスの又は同一のガス混合物若しくは異なるガス混合物の、ゾーンへのフロー比率を制御し、それによって、基板にわたるガス流量及びガス組成の均一性の並びにそれゆえに基板処理の均一性の、プロセス内又はプロセス間の調節を可能にする。
マルチゾーンガス供給配送配置は、シングルゾーンのシステムと比べて向上したフロー制御を提供できるが、このようなマルチゾーンシステムには、中央領域、中間領域、又は縁領域が挙げられる半径方向領域などのそれぞれの各ゾーンに対応する半導体基板の領域におけるエッチング速度又は成膜速度を制御するために、それぞれの各ゾーン内におけるガス組成の調整及び/又はガス流量の微細制御が可能であるような、半導体基板処理動作を可能にする配置を提供することが、望ましいだろう。
真空チャンバ又はプロセスチャンバに様々なガス組成及び/又はガス流量を供給するためのマルチゾーン分配システムが提供される。好ましい一実施形態では、ガス供給配送配置は、プラズマ処理装置のプラズマ処理チャンバなどの真空チャンバの内部と流体連通するように、並びに処理動作時に真空チャンバのそれぞれのゾーンに異なるガス化学物質及び/又はガス流量を供給する機能を提供するように適応される。例えば、プロセスガスは、誘導結合プラズマチャンバのガス分配板の複数ゾーン、シャワーヘッド電極アセンブリのシャワーヘッド電極、マルチゾーンガス注入器、側部ガス注入器、ガスリング、又は後述のように半導体基板の上面にわたるそれぞれのゾーン若しくは領域へガスを配送するその他の適切な配置を通して供給できる。
プラズマ処理装置は、プラズマを発生させるためにRFエネルギ、マイクロ波エネルギ、磁場などを使用するエネルギ源を含む、低密度、中密度、又は高密度プラズマリアクタであることができる。例えば、高密度プラズマは、誘導結合プラズマチャンバとしても知られる変換器結合プラズマ(TCP(登録商標))リアクタ、電子サイクロトロン共鳴(ECR)プラズマリアクタ、容量型放電リアクタ、容量結合プラズマ処理チャンバなどの中で発生させることができる。ガス供給配送配置の実施形態が使用できる代表的なプラズマリアクタとして、カリフォルニア州フリーモント所在のラム・リサーチ・コーポレーションから入手可能である2300 Exelan(登録商標)プラズマリアクタなどのExelan(登録商標)プラズマリアクタが挙げられる。一実施形態では、本明細書で開示されるようなプラズマ処理システムは、ガス注入システムがガス分配板である誘導結合プラズマ処理チャンバであるチャンバを含むことができ、或いは、チャンバは、ガス注入システムがシャワーヘッド電極であってよい容量結合プラズマ処理チャンバである。プラズマエッチングプロセス時には、電極を組み入れた基板サポート、及び静電チャックに、複数の周波数が印加できる。或いは、二重周波数プラズマリアクタでは、基板サポートと、プラズマ生成領域を形成するために半導体基板から間隔をあけたシャワーヘッド電極などの電極とに、異なる周波数が印加できる。
好ましくは、ガス供給配送配置は、プラズマ処理時に半導体基板の表面にわたるそれぞれのゾーンにガスを分配するために、制御可能で且つ調整可能なガス配送をプラズマ処理チャンバの複数の注入ゾーンに提供できる。本明細書で使用されるガス又はガス混合物という用語は、1つ以上のプロセスガス、1つ以上の不活性ガス、1つ以上の調整ガス、又はこれらの組み合わせを指していてよい。例えば、シャワーヘッド電極アセンブリの中央ゾーン、該中央ゾーンを取り巻く中間ゾーン、及び該中間ゾーンを取り巻く縁ゾーンなどの、第1の、第2の、及び第3のゾーンへのマルチゾーンガス供給口は、それぞれのゾーンへのガス配送のガス組成及び/又はガス流量が制御及び調整されるときに、プラズマ処理時にプラズマ処理チャンバの中でエッチングされている半導体基板の中央、中間、及び縁のエッチング速度の均一性を向上できる。
本明細書で開示されるようなガス供給配送配置は、1つ以上のそれぞれのガス供給部と流体連通している1つ以上の質量流量コントローラ(MFC)、1つ以上の圧力変換器及び/又は調節器、ヒータ、1つ以上のフィルタ又は清浄器、並びに遮断バルブなどの、一連のガス分配・制御コンポーネントを含むことができる。所定のガス供給配送配置の中で使用されるコンポーネントは、ガス供給配送配置の設計及び意図する用途に応じて異なることができる。半導体処理配置の一実施形態では、17を超えるガスが、ガス供給ライン、ガス分配コンポーネント、及び混合マニホールドを通じて処理チャンバに接続されてよい。これらのコンポーネントは、ベース板に取り付けられて、「ガスパネル」又は「ガスボックス」として知られる完成システムを形成する。
「ガススティック」は、混合マニホールドの通路を通して他のガス制御コンポーネントに接続されてその上にガス制御コンポーネントが取り付け可能である複数の一体型表面取り付けコンポーネント(例えば、バルブやフィルタ等)を含む。ガススティックの各コンポーネントは、マニホールドブロックの上方に配置されるのが一般的である。複数のマニホールドブロックが、モジュール基板を形成する、即ち、ガススティックを通るガスの流路を形成するマニホールドブロック層を形成する。特徴サイズが縮小するにつれて、処理されている基板上におけるエッチング速度の均一性を向上するためにガス配送システムを改善することが望まれている。
本明細書で開示されるのは、正確な量のプロセスガス及び調整ガスを分離して分配するためのマルチゾーンガスフロー分離器を含むガス供給配送配置(即ち、マルチゾーンガス配送システム)であり、これは、ガスパネルに組み込まれて、処理チャンバの複数のゾーンへのガスの組成調整及び/又は流量制御を行ってよい。
ガス混合物は、ガス分離器を含むガス供給配送配置が、半導体基板の表面にわたるそのそれぞれのゾーンにおける処理速度を制御するために、各ゾーンに供給されるガスの割合を変化させることによって、分離及びそれによって制御されてよい。これらのゾーンにおけるガス組成は、半導体基板の表面における処理速度をそれぞれのゾーンで制御するために、マルチゾーンガスフロー分離器によって、調整されてよい。したがって、複数のゾーンを通したガス配送は、半導体基板の上面を形成している各材料層のための特定の処理要件のニーズを満たすために、ガス分離器を含むマルチゾーンガス配送システムによって達成可能である複数の構成間で制御及び調整されてよい。
マルチゾーンガス配送システムは、マルチゾーンガス供給口を含み、各ガス供給口は、処理チャンバの中の複数のそれぞれの注入ゾーンへガスを配送してよい。マルチゾーンガス配送システムは、オリフィス(開口部)をベースにしたガス分離器と、各ゾーンへの厳密で且つ正確なガス配送分布を提供するように動作可能である幾つかの調整ガス配送スティックとを含む。分離は、それぞれの直径公差に基づく個別化された出力フローでガスを通らせて供給するように各自動作可能である多岐にわたるアクティブオリフィスを制御するガス分離器のバルブが、特定のプロセスレジーム比率に合わせて配置されたときに、発生する。1つ以上のアクティブオリフィスを通して形成された所望の比率ごとに、一定の量のガスフローが、プロセスチャンバの中の複数の注入ゾーンの各注入ゾーンに分配される。また、各調整ガススティックは、供給ラインとの混合のために、或いは例えば半導体基板処理装置のプロセスチャンバの中のシャワーヘッド電極の各注入ゾーンの注入地点への個別配送のために、プロセスガスラインに接続する機能を有する。ガス分離器を含むガス供給配送配置の設計は、プロセスチャンバの中の複数の注入ゾーンへのガスフローの独立調節などの変更を許容可能である。
複数のガス供給口は、ガス分離器を含むガスパネルの延長である。複数のガス供給口は、プラズマ処理チャンバの中の複数の注入ゾーンへガス混合物を分配する又は複数のガス種を個別に供給でき、ガス分離器は、注入ゾーンへの複数のガス供給口のそれぞれへガスを配送してよい(即ち、ガス分離器は、1つのガス供給入力を受けて、それを、複数のそれぞれのガス供給口などの複数のラインに分離してよい)。複数のガス供給口は、例えば、処理されている基板の表面にわたる対応する中央ゾーン、中間ゾーン、及び縁ゾーンのエッチング速度の均一性を向上させる。ガス混合物は、ガス分離器が各ゾーンのための供給割合を変化させるときに、及びそれぞれのゾーンのうちの1つ以上に供給されているガスの組成を変化させるときに、分離及び制御される。プロセスチャンバの中の異なるそれぞれのゾーンにガスを供給するためにガス分離器内で開かれる又は閉じられる様々な組み合わせのバルブによって、それぞれのガス注入ゾーンへのガス配送ラインを各自形成している複数のガス配送口へ所望の比率の混合ガスが配送される。ガス配送ラインは、この機能のために、ガスボックス(即ち、ガスパネル)から処理チャンバへ振り向けられる。
上述された設計は、マルチゾーンガス配送システムの複数の構成が特定の処理要件のニーズを満たすことを可能にする。例えば、多段階エッチングプロセスでは、所定のエッチングプロセスのために、処理チャンバの個々の注入ゾーンへのガスとして異なる流量及び組成が、半導体基板の層ごとに要求される。半導体基板の層は、シリコンウエハなどのベース材料と、例えばベース材料の上でエッチングされる若しくはベース材料の上に成膜される処理対象である中間材料層と、中間層を覆うマスク層とを含んでいてよい。中間層としては、導電性材料、誘電体材料、及び半導体材料が挙げられる。マスク層は、例えば中間層の中に穴、ビア、及び/又は溝などの所望の特徴をエッチングするための開口パターンを有するパターン形成レジスト材料であることができる。例えば、多段階エッチングプロセス時に処理される様々な層を含む多層積層体(半導体基板)が、参照によって本明細書に全体を組み込まれる同一出願人による米国特許第8,668,835号で開示されている。
処理できる代表的な誘電体材料は、例えば、フッ素化酸化シリコンなどのドープされた酸化シリコン、二酸化シリコンなどの非ドープの酸化シリコン、スピンオンガラス、ケイ酸塩ガラス、ドープされた又は非ドープの熱酸化シリコン、及びドープされた又は非ドープのTEOS成膜酸化シリコンである。誘電体材料は、選択されたk値を有する低k材料であることができる。このような誘電体材料は、多結晶シリコン、アルミニウム、銅、チタン、タングステン、モリブデン、及びこれらの合金などの金属、窒化チタンなどの窒化物、並びにケイ化チタン、ケイ化タングステン、及びケイ化モリブデンなどの金属ケイ化物などの、導電性又は半導体の層を覆うことができる。
半導体基板の層を形成している上記の各材料のエッチングは、最適なエッチング結果を実現するために、基板の場所ごとに異なるエッチングガス化学物質及びガス化学物質の調節を必要とする。ガス供給配送配置のガス分離器の中に含まれるバルブ及びオリフィスは、それぞれの各ゾーンに対し、0〜100%及び100〜0%のマルチフロー制御機能と、90〜10%及び10〜90%の約10%以下の段階的増分とを提供する。更に、マルチゾーンガスフロー分離器のいずれかのオリフィスが塞がったかどうかを判定するための診断ツールとして、圧力ツールが使用されてよい。また、各ゾーンへの調整ガスの調節は、各ゾーンにおけるエッチング結果を微調整するために、僅か0.1sccmもの微量で実現できる。
マルチゾーンガスフロー分離器は、プロセスチャンバのそれぞれの注入ゾーンを通る流量を精密に制御するように動作可能である。多くのプラズマエッチングプロセスでは、半導体基板の中央におけるエッチング速度が、中間ゾーンにおけるエッチング速度及び/又は縁ゾーンにおけるエッチング速度よりも高い。したがって、ガス組成及びガス流量を微調整することによって、中央のエッチング速度が中間ゾーンのエッチング速度及び縁ゾーンのエッチング速度と均一になるように低減されてよい。例えば、中央ゾーンにおけるエッチング結果を微調整するために、0.1〜1sccmの増分でプロセスガス及び/または調整ガスを中央ゾーンに追加して、更に均一なエッチング結果を実現できる。
オリフィスをベースにしたガス分離器、及び幾つかの調整ガス配送スティックは、例えば、或る注入ゾーンへ配送されるプロセスガス/調整ガスを、その他の注入ゾーンへは高めの流量で混合プロセスガスを供給しつつ、約0.1〜1sccmの流量で増加又は減少させることを可能にするために、厳密で且つ正確なガス配送分布を各ゾーンに提供する。ガス分離器は、バルブを含み、これらのバルブは、固定サイズのオリフィスを通して所望の比率の混合ガスを配送するために開閉され、ここでは、ガス配送システムのガス出口を通して所望の比率の混合ガスを配送するために、選択されるオリフィスの組み合わせによって、オリフィスを通るガス流量が制御される。そのオリフィスのサイズ及び場所は、オリフィスを通して配送されるガスのガス流量を制御する限界特性(クリティカルフィーチャー)として制御される。マルチゾーンガスフロー分離器は、特定のプロセスレジームに合わせて配置され、それぞれの各ゾーンへのガスの流量を制御するために所定のバルブ組み合わせをアクティブにするように動作可能であるコントローラによって制御される。更に、各ゾーンにおけるガスの化学物質(即ち、組成)を局所的に変更するために、調整ガススティックが使用できる。
ガス供給配送配置のガスパネルは、ガス供給部分と流体連通しており、該ガス供給部分は、MFC及びガス分離器バルブを含むフロー制御コンポーネントの動作を制御して、ガスパネルを通してガス供給部分によってガス分離器に及び続いて複数のガス供給口に供給できる2つ以上のガスの組成の制御を可能にするために、コントローラに接続される。複数のガス供給口は、プロセスチャンバのそれぞれのガス注入ゾーンへガスを配送する。一実施形態では、ガス供給部分は、17の個別のガス源などの複数のガス源を含み、プロセスガス及び調整ガスが、ガス分離器と流体連通しており、調整ガスが、ガス注入ゾーンへの直接注入のためにガス分離器を迂回するように配置されている。このようにして、ガス供給部分は、ガス分離器、及びそれぞれのガス注入ゾーンと流体連通している複数のそれぞれのガス供給口を通して、所望される多くの異なるガス混合物をプロセスチャンバの注入ゾーンに供給できる。
ガス供給配送配置内に含まれるガス源の数は、いかなる特定の数のガス源にも限定されず、ただし、少なくとも2つの異なるガス源を含むことが好ましい。例えば、ガス供給部分は、17のガス源のように、8を超える又は8を下回る数のガス源を含むことができ、各ガス源は、ガスパネル及びそれぞれのMFCを通してガス分離器と流体連通している。それぞれのガス源によって提供できる様々なガスとして、O2、Ar、H2、Cl2、N2などの個別のガスはもちろん、CF4、CH3Fなどのガス状のフッ化炭素化合物及び/又はフッ化炭化水素化合物などが挙げられる。一実施形態では、プロセスチャンバは、プラズマ処理エッチングチャンバであり、ガス源は、Ar、O2、N2、Cl2、CH3、CF4、C48、及びCH3F又はCHF3を(任意の適切な順序で)供給できる。それぞれのガス源によって供給される特定のガスは、処理対象とされる半導体基板の上面上の特定の材料組成によって決定される例えば特定のドライエッチングプロセス及び/又は材料成長プロセスなどの、プラズマ処理チャンバの中で実施されることになる所望のプロセスに基づいて選択できる。ガス供給部分は、エッチングプロセスの実施のために提供できるガスの選択肢に関して広い多様性を提供できる。
ガス供給部分は、また、ガス組成を調節するために、少なくとも1つの調整ガス源を含む。調整ガスは、例えば、O2、又はアルゴンなどの不活性ガス、又はC48を例とするフッ化炭素ガス若しくはフッ化炭化水素ガスなどの反応性ガスであることができる。この実施形態では、ガス供給部分は、4つの調整ガス源を含むことができ、各調整ガス源は、ガス分離器へ配送されているガスの組成をそのガスがそのガス分離器に到達する前に調節するために、調整ガスを供給できる、又はガス分離器は、複数のそれぞれのガス供給ラインを通してそれぞれのガス注入ゾーンのいずれかへ配送されているガス混合物の組成を調節するために、その中で調整ガスとプロセスガスとを組み合わせてよい。或いは、ガス供給配送配置は、処理チャンバの中のそれぞれのガス注入ゾーンと各自流体連通している複数のガス供給口のうちの対応する1つに調整ガスを直接供給するように動作可能である。
ガス分離器700のオリフィスの上流における圧力は、バルブv540を通じてガス分離器700と流体連通している圧力計DGF−2などの500トール圧力計から精密に測定及び収集されることが好ましい。この設計は、処理されている半導体基板の上面にわたるそれぞれのゾーンに対応するチャンバ内の複数のガス注入ゾーンへのガスフローの独立調節などの、特定の処理要件に合わせたマルチゾーンガス配送システムの変更を許容可能である。更に、ガス分離器は、バルブv605を通じて出力AFVを含むことができ、この場合、一実施形態では、出力AFVは、ガスフロー分離器700を迂回するために又はガスフロー分離器700を通して更なるガスフロー出力を提供するために使用できる。
ガス供給配送配置のガスパネルのガス分離器による多数のバルブ組み合わせ候補は、オリフィスを通る多くの調整可能で且つ制御可能なガスフローを可能にする。例えば、図2は、分離を実施するために使用される11の2状態バルブ702を含むマルチゾーンガスフロー分離器700を含むガス供給配送配置100のガスパネル701の一部分の等角図を示している。異なるバルブ組み合わせの最大数は、211=4096であり、ただし、これらの状態の一部(例えば、全てのバルブが閉じられている状態、又は様々なゾーンへの分離が多すぎる若しくは少なすぎる状態)は、無効であるかもしれない。実際は、1,000近くの組み合わせが有用である。これらの組み合わせのうちの部分集合を効率的に選択し、複数のそれぞれのガスゾーン供給口を通してプロセスチャンバの各注入ゾーンに供給されるガスの比率を制御するために、アルゴリズム法が使用される。アルゴリズム法は、空間的に互いに極めて接近しているそれぞれの各ガス注入ゾーンのためのバルブの組み合わせである出力を生成するバルブの組み合わせを選択してよい、或いはアルゴリズムは、個々のオリフィスの直径公差に基づいて、ガスの出力フローの全体的な不確実性を最小限に抑えるバルブ組み合わせを選択してよい。
図1は、ガス供給配送配置100の実施形態が使用できる代表的な半導体材料プラズマ処理装置10を示している。装置10は、プラズマ処理時に半導体基板16が上で支持される基板サポート14を内包した内部を有する真空チャンバ又はプラズマ処理チャンバ12を含む。基板サポート14は、処理時に半導体基板16を基板サポート14の上に把持するように動作可能である把持機器を、好ましくは静電チャック18を含む。半導体基板は、参照によって本明細書に全体を組み込まれる同一出願人による米国特許第6,984,288号で開示されるパーツなどの、フォーカスリング及び/若しくはエッジリング、接地延長部、又はその他のパーツによって取り囲むことができる。
好ましい一実施形態では、プラズマ処理チャンバ12は、200mm又は300mmのウエハを処理するための、約1/2リットルから約4リットル、好ましくは約1リットルから約3リットルの体積を有するプラズマ閉じ込めゾーンを含む。或いは、プラズマ閉じ込めゾーンは、450mmのウエハを処理するための、約4リットル以上の体積を有することができる。プラズマ処理チャンバ12は、参照によって本明細書に全体を組み込まれる同一出願人による米国特許第8,826,855号で開示されるように、プラズマ閉じ込めゾーンを画定するために閉じ込めリング配置を含むことができる。閉じ込め機構は、プラズマ体積からプラズマ処理チャンバ12の内部でプラズマ体積よりも外側の部分への流体連通を制限できる。好ましくは、ガス供給配送配置は、プラズマ閉じ込めゾーン内のこのようなガス体積を、大きな逆拡散を伴うことなく約1s未満、好ましくは約200ms未満の期間内に別のガスで置き換えることができ、この場合、ガス分布は、参照によって本明細書に全体を組み込まれる同一出願人による米国特許第8,772,171号で説明されるようなガス切り替え配置を含んでいてよい。
図1に示したプラズマ処理装置10は、プラズマチャンバの壁を形成しているサポート板20と、該サポート板に取り付けられ複数のガス注入ゾーンを含むシャワーヘッド22とを有するシャワーヘッド電極アセンブリなどの、ガス分布システムを含む。プロセスガスをシャワーヘッド22の複数の注入ゾーンのプラズマ露出表面28に均一に分布させるために、バッフルアセンブリが、シャワーヘッド22とサポート板20との間に配置される。バッフルアセンブリは、1枚以上のバッフル板を含むことができる。この実施形態では、バッフルアセンブリは、バッフル板30A、30B、及び30Cを含む。バッフル板30A、30B、及び30Cの間、並びにバッフル板30Cとシャワーヘッド22との間には、気体が充満する空間であるプレナム48A、48B、及び48Cが画定される。バッフル板30A、30B、及び30C、並びにシャワーヘッド22は、プロセスガスをプラズマ処理チャンバ12の内部に流すための通路を含む。
この実施形態では、板20とバッフル板30Aとの間のプレナム、並びにバッフル板30A、30B、及び30Cの間のプレナム48A、48B、及び48Cは、中央ゾーン72と、該中央ゾーン72を取り巻く中間ゾーン42と、該中間ゾーン42を取り巻く縁ゾーン46とに、Oリングなどのシール38A、38B,38C,38D、38E、38F、38G、及び38Hによって分割される。中央ゾーン72、中間ゾーン42、及び縁ゾーン46には、好ましくは複数のガス源及びそのそれぞれのMFCを制御するコントローラ500の制御下にある本明細書で説明されるようなガス供給配送配置100、並びにガス分離器によって、異なるそれぞれのガス化学物質及び/又はガス流量を有するプロセスガスが供給できる。例えば、中央ゾーンガス供給口40から中央ゾーン72内へガスが供給され、中間ゾーンガス供給口70から中間ゾーン42内へガスが供給され、縁ゾーンガス供給口44から環状流路44a内へ及び次いで縁ゾーン46内へガスが供給される。プロセスガスは、バッフル板30A、30B、及び30C内の通路、並びにシャワーヘッド22のそれぞれの注入ゾーンを通り、プラズマ処理チャンバ12の内部に入り、半導体基板16の上面にわたるそれぞれのゾーンの上へ流れる。プロセスガスは、電極22を駆動するRF源などの電力源、又は基板サポート14の中の電極を駆動する電力源によって、プラズマ状態に活性化される。電極22に供給されるRF電力は、プラズマ処理チャンバ12内へ様々なガス組成が供給されるときに、好ましくは約1s未満、更に好ましくは約200ms未満の期間内に変更できる。一実施形態では、中央ゾーン72、中間ゾーン42、及び縁ゾーン46に、ガス供給配送配置100によって様々なガス化学物質及び/又はガス流量を有するプロセスガスが供給できる。
その他の好ましい実施形態では、プラズマ処理装置10は、プロセスガスをプラズマ処理チャンバ内へ注入するための調整可能マルチゾーンガス注入器システムを含むことができる。例えば、調整可能マルチゾーンガス注入器システムは、参照によって本明細書に全体を組み込まれる共同所有の米国特許出願公開第2010/0041238号で開示されるような構成を有することができる。例えば、2つのゾーン中央注入器が、プラズマ処理チャンバの外側ゾーンにプロセスガスを供給する側壁注入器とともに使用され、この場合は、異なるそれぞれのゾーンに、ガス供給配送配置100(参照によって本明細書に開示内容の全体を組み込まれる同一出願人による米国特許出願第2011/0056626号を参照)によって、異なるそれぞれのガス化学物質及び/又はガス流量を有するプロセスガスが供給できる。ガス供給配送配置100は、互いに流体連通しているガス供給部分と、フロー制御部分と、随意としてのガス切り替え部分とを含むことができる。プラズマ処理装置10は、プロセスガス及び反応副生成物をチャンバ12から排除するように動作可能である真空源88を含む。
図3は、少なくとも第1の、第2の、及び第3のガス注入ゾーンを通して導入されるガスによって半導体基板が処理されるプラズマ処理システムのチャンバにプロセスガスを供給するための、本明細書で開示されるようなガス供給配送配置100の概要を示している。ガス供給配送配置100は、複数のガス供給入口と、複数の調整ガス入口とを含む。混合マニホールド710が、それぞれのプロセスガス供給部との流体連通を提供するように各自適応される複数のガス供給スティック200と、それぞれの調整ガス供給部との流体連通を提供するように各自適応されそれぞれのバルブ300a又はv172、v162を通して混合マニホールド710とも流体連通できる複数の調整ガススティックとを含む。第1のガス出口C1が、第1のガス注入ゾーンへガスを配送するように適応され、第2のガス出口C2が、第2のガス注入ゾーンへガスを配送するように適応され、第3のガス出口E1が、第3のガス注入ゾーンへガスを配送するように適応される。ガス分離器700が、混合マニホールド710と流体連通している。ガス分離器700は、混合マニホールド710から出る混合ガスを、第1のガス出口C1に供給できる第1の混合ガスと、第2の及び/又は第3のガス出口C2、E1に供給できる第2の混合ガスとに分離する第1のバルブ配置を含む。一実施形態では、混合マニホールド710は、バルブ540aを通じて圧力計DGF−2と流体連通できる。
第2のバルブ配置720が、調整ガススティック300から第1の、第2の、及び/又は第3のガス出口C1、C2、E1へ調整ガスを選択式に配送するように動作可能である。第2のバルブ配置は、第1のガス出口C1、第2のガス出口C2、第3のガス出口E1、又はこれらの組み合わせと流体連通している第1の調整ガス導管へ第1の調整ガスを選択的に配送する第1のバルブセットと、第1のガス出口C1、第2のガス出口C2、第3のガス出口E1、又はこれらの組み合わせと流体連通している第2の調整ガス導管へ第2の調整ガスを選択的に配送する第2のバルブセットと、第1のガス出口C1、第2のガス出口C2、第3のガス出口E1、又はこれらの組み合わせと流体連通している第3の調整ガス導管へ第3の調整ガスを選択的に配送する第3のバルブセットと、第1のガス出口C1、第2のガス出口C2、第3のガス出口E1、又はこれらの組み合わせと流体連通している第4の調整ガス導管へ第4の調整ガスを選択的に配送する第4のバルブセットとを含む。上述された実施形態では、代替のバルブ構成が、図3に示すように第1のガス出口C1、第2のガス出口C2、第3のガス出口E1、又はそれらの組み合わせへ第1の、第2の、第3の、及び/又は第4の調整ガスを配送するように動作可能である。更に、第2のバルブセットは、第1の、第2の、第3の、及び第4の調整ガススティック300を混合マニホールド710及び/又はパージラインVACに選択的に接続するバルブを含む。一実施形態では、第2のバルブ配置720は、v162、v525、v8〜v9、v8〜v9a、v172、v526、v527、v527c、v525c、v526c、v527b、v527a、v526a、v525b、v525a、v173b、v173a、v526c、v526b、v527a、v525a、v525c、及びv173を含むことができ、ただし、これらに限定はされない。第2のバルブ配置720のバルブ間のガスラインは、圧力センサPS4c、PS4b、PS4a、PS4、及びPS3などを含むがこれらに限定はされない圧力センサも、ともに含むことができる。
図3は、更に、ガス分離器700が、第1の、第2の、及び第3のそれぞれのガス出口C1、C2、及びE1に供給できる様々なガスの流量を制御するように、また、随意としてそれらのガスの組成も調節するように動作可能であることを示している。ガス分離器700は、それぞれのバルブ200a、V3、又はv4を通して供給される様々な流量及び/又は化学物質のガスをガス源200から提供でき、該ガス源200は、実施されることになる処理動作に応じて、プロセスガス、不活性ガス、調整ガス、又はそれらの組み合わせであることができる。プロセスガスは、各ガス源200から各バルブ200aを経て、更にはガスライン、及び図3において総じて標識「v」を含むものとして示されている関連のバルブを経て、ガス分離器700に供給できる。混合マニホールド710は、一実施形態では、バルブv187、v188、v189のうちの1つ以上を通じてガス分離器700に供給できる。
ガス分離器700の第1のバルブ配置は、第1の混合ガスの比率を精密に制御して第1の混合ガスを第1のガス出口C1へ配送するための、限界オリフィスを伴う第1のバルブセット730aと、第2の混合ガスの比率を制御して第2の混合ガスを第2の及び/又は第3のガス出口C2、E1へ配送するための、限界オリフィスを伴う第2のバルブセット730bと、混合ガスを第2の及び/又は第3のガス出口C2、E1へ配送する第3のバルブセット730cとを含むことができる。一実施形態では、第1のバルブ配置は、第1の混合ガスを第1のガス出口C1のみへ配送し、第2の混合ガスを第2の及び/又は第3のガス出口C2、E1のみへ配送する。一実施形態では、第1のバルブセット730aは、バルブ531a、532a、533a、534a、及び535aを含むことができ、第2のバルブセット730bは、バルブ531、532、533、534、535、及び536を含むこともできる。一実施形態では、第3のバルブセット730cは、少なくともバルブ521、522、v512、及びv511を含むことができる。
また、プラズマ処理チャンバ12(図1を参照)に供給されるガスの流量及び/又は化学物質は、中央ゾーン72、中間ゾーン42、及び縁ゾーン46で異なることができる。したがって、ガス分離器700は、所望のガスフロー及び/又はガス化学物質を半導体基板16における各領域に提供し、それによって、半導体基板処理の均一性を高めることができる。一実施形態では、ガス注入ゾーンを通して真空チャンバに供給されるガスは、切り替えられて良く、この場合、マルチゾーンガスフロー分離器700は、次のプロセス動作工程のためのガスなどの、現時点では使用されていないガスを、ターボポンプと粗引きポンプとの間などの真空ポンプシステムと流体連通できるパージ(即ち、迂回)ラインVACなどの迂回ラインへ分岐させるように動作可能であることができる。パージラインVACは、バルブcv1を通じてプロセスガス供給部及び/又は調整ガス供給部のうちの1つ以上と流体連通できる。
図3に示すような実施形態では、プロセスガスは、プロセスガス源200のうちの1つ以上から、例えば11のバルブ531、532、533、534、535、536、531a、532a、533a、534a、及び535aを含むガス分離器700に供給できる。ここでは、或る比率の供給ガスが、複数のガスゾーン供給口のうちの対応する1つに及び次いでそれと流体連通しているプロセスチャンバの中の対応するガス注入ゾーンに供給されえるように、その比率の供給ガスを、開位置にあるバルブのオリフィスを通してそれぞれの出力ラインE1、C1、C2、AFV,及び/又はVACに供給するために、上記のバルブの組み合わせが、オン位置又はオフ位置に調節されてよい。バルブ531〜535aは、様々なガス混合物がそれぞれの出力ラインへ流れることを可能にするために、好ましくはコントローラ500の制御下で選択的に開かれる又は閉じられることが可能であり、ここでは、対応する各バルブに関係付けられた固有のオリフィス寸法が、プロセスチャンバの中のそれぞれの各ガス注入ゾーンへの流量の比率が微調整されることを可能にする。例えば、ガス源200のうちの1つ以上に関係付けられた1つ以上のバルブ531〜535aを、(その他のガス源200に関係付けられた1つ以上の残りのバルブ531〜535aが閉じられた状態で)開くことによって、第1のガス混合物がプロセスチャンバの第1のガス注入ゾーンに供給されること、第2のガス混合物がプロセスチャンバの第2のガス注入ゾーンに供給されること、及び第3のガス混合物がプロセスチャンバの第3のガス注入ゾーンに供給されることが可能にされ、このとき、第1の、第2の、及び第3のガス混合物は、同じ又は異なる流量で流すことができ、ガス混合物の組成は、同じであってよい、或いはガス混合物間の組成は、処理されるウエハの表面における対応するゾーンのエッチング速度を制御するために調整できる。したがって、出力ラインE1、C1、C2、AFV、及びVAC(即ち、迂回/パージライン)に、並びにそれによってプロセスチャンバの中の複数のガスゾーン供給口及びガス注入ゾーンのうちの対応する供給口及びゾーンに、様々なガス混合物及びガス質量流量が提供できる。一実施形態では、プロセスガスは、バルブv9、PR8、及びv8を含むがこれらに限定はされないバルブを通してガス分離器300を迂回できる。
図3に示した実施形態では、11のバルブ531〜535aは、それに関係付けられたそれぞれのオリフィスを各自含み、各オリフィスは、例えば直径などの相対的な制限サイズを有することができる。したがって、1つ以上のオリフィスを通るガスフローが発生するときに、ガス供給配送配置100の出力へ配送されるガスの伝導性の合計は、それぞれのバルブ531〜535aに関係付けられた所定の組み合わせのオリフィスを使用して制御できる。それぞれのゾーンに異なる比率のガスフローを提供し、半導体基板の表面にわたる各ゾーンのエッチング速度などのプロセスチャンバの中の基板の処理速度を制御するために、1つのガス注入ゾーンに向けられたオリフィスの伝導度の合計を、異なるガス注入ゾーンに向けられたオリフィスの伝導度と異なる比率にするように、任意の数のオリフィスを開かせることができる。更に、半導体基板の表面にわたる各ゾーンのエッチング速度などのプロセスチャンバの中の基板の処理速度を制御するために、特定のオリフィスを開かせて、ガス混合物に調整ガスを供給し、各ゾーンに到達するガスの組成を微調整してよい。
別の一実施形態は、11を超える数のバルブなどの、異なる数のオリフィス及び関連のバルブを含むことができる。バルブ531〜535aは、オリフィスへのガスの流れを制御するために、それぞれの各オリフィスの上流に位置付けられることが好ましく、ただし、代替の一実施形態では、バルブ531〜535aは、オリフィスの下流に配置されてよい。好ましい一実施形態では、バルブ531〜536が、中間ゾーン及び/又は縁ゾーンへ配送されるガスの比率を制御する専用であるのに対し、バルブ531a〜535aは、バルブv511aを通じて中央ゾーンへ配送されるガスの比率を制御する専用である。
それぞれのバルブ531〜535aに関係付けられたオリフィスは、プラズマ処理チャンバ12内へ流されるガスをガス供給配送配置100が例えば第1のガス混合物から第2のガス混合物に及びその逆に変更するときに、ガスにおける圧力急上昇及び流動不安定性を防ぐように動作可能である。
図4は、制御されて調整されたプロセスガスを中央注入ゾーン72、中間注入ゾーン42、及び縁注入ゾーン46を通して受け取る半導体基板16の説明図を示している。例えば、図4に示すように、半導体基板16は、中央注入ゾーン72に供給されている第1の及び第2の調整ガスと、中間注入ゾーン42に供給されているプロセスガス及び第3の調整ガス42と、縁注入ゾーン46に供給されている第4の調整ガス及びプロセスガスとを含んでいてよい。基板は、中央ゾーン72、中間ゾーン42、及び縁ゾーン46のそれぞれのゾーンに供給されるガス組成はもちろんガス流量も制御することによって、均一にエッチングされてよい。
図5は、図1に又は図4の説明図に示すような処理チャンバの中でエッチングプロセス又は成膜プロセスの最中にガス分離器によって制御されるマルチゾーンガス注入を使用してウエハ(即ち、半導体基板)を均一に処理するためにガス組成及びガス流量を決定する方法のフローチャートを示している。先ず、工程800では、エッチングプロセスなどによって、テストウエハが処理され、ここでは、プロセスレシピにしたがって、複数のガス注入ゾーンのそれぞれにガス組成及びガス流量が供給され、該ガス組成及び/又はガス流量は、ガス分離器700によって制御される。工程801では、テストウエハを測定し、テストウエハの上面のエッチング均一性を決定し、それによってテストウエハの表面にわたるそれぞれのゾーンのエッチング速度を決定する。次いで、工程802では、後続のテストウエハのゾーン間にわたるエッチング均一性を向上させるために、コントローラソフトウェア、又はプロセスエンジニアの入力を使用して、1つ以上のガス注入ゾーンへのガス組成及び/又はガス流量が調節される。工程803では、1つ以上のガス注入ゾーンへのガス組成及び/又はガス流量が修正され、修正されたエッチングレシピによって、次のテストウエハがエッチングされる。ついで、ウエハの上面の均一性が測定され、エッチングされたテストウエハの表面にわたるそれぞれのゾーンのエッチング速度を決定する(工程804)。もし、工程804で測定されたテストウエハの上面の均一性が、所望の公差内であるならば、修正されたレシピにしたがって、ウエハ一式が処理されてよい。もし、工程804で測定されたテストウエハの均一性が、所望の公差内でないならば、テストウエハが所望の公差内で処理されるようになるまで、工程802〜804が繰り返されてよい。このようにして、複数の注入ゾーンを通るプロセスのガス組成及び/又はガス流量が、均一なウエハ処理のために最適化されてよい。
図5のフローチャートに示した最適化プロセスは、ルックアップテーブルを作成するために使用できる。ルックアップテーブルは、そこに格納されたデータにしたがってユーザが所定のエッチングプロセスを調節又は修正しえるように、コントローラ500の一部としての適切なメモリに格納できる。或いは、システムソフトウェアの中に、既存のルックアップテーブルを含めることができ、この場合、コントローラ500ソフトウェアは、ルックアップテーブルに含まれるデータに基づいてプロセスレシピを修正できる。最適化プロセス中、コントローラ500は、所定の処理装置内における処理条件の変化に対応するために、格納されたルックアップテーブルを新しく生成されたデータによってアップデートしてもよい。コントローラ500内のソフトウェアは、所定のプロセスレシピに対し、ルックアップテーブルに基づいて最適なガス比率及び/又は流量を決定するように構成できる。
本明細書で開示される、プラズマ処理装置10及び関連のガス供給配送配置100は、半導体ウエハ又は基板の処理の前、最中、及び後にそれらの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と称してよく、これは、1つ又は複数のシステムの様々なコンポーネント又は副部品を制御してよい。例えば、図1に示すように、プラズマ処理装置10及び/又はガス供給配送配置100は、関連のコントローラ500を含む。コントローラ500は、処理要件、及び/又はプラズマ処理装置10のタイプに応じ、処理ガスの配送、温度の設定(例えば、加熱及び/又は冷却)、圧力の設定、真空の設定、電力の設定、高周波数(RF)発生器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体配送の設定、位置及び動作の設定、ツールへの、及び特定のシステムに接続された若しくはインターフェース接続されたその他の移送ツール及び/若しくはロードロックに対してウエハを出入りさせるウエハ移送などの、本明細書で開示される任意のプロセスを制御するようにプログラムされてよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にするなどを行う様々な集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態をとるチップ、デジタル信号プロセッサ(DSP)、特殊用途向け集積回路(ASIC)として定められたチップ、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含んでいてよい。プログラム命令は、様々な個別設定(又はプログラムファイル)の形でコントローラに伝えられて半導体ウエハに対して若しくは半導体ウエハのために又はシステムに対して特定のプロセスを実行に移すための動作パラメータを定義する命令であってよい。動作パラメータは、一部の実施形態では、1枚以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はウエハダイの製作における1つ以上の処理工程を実現するためにプロセスエンジニアによって定義されるレシピの一部であってよい。
コントローラ500は、一部の実装形態では、システムと一体化された、システムに接続された、それ以外の形でシステムにネットワーク接続された、若しくはこれらの組み合わせである、コンピュータの一部であってよい、又はそのようなコンピュータに接続されてよい。例えば、コントローラは、「クラウド」の中、即ちファブホストコンピュータシステムの全体若しくは一部の中にあってよく、これは、ウエハ処理の遠隔アクセスを可能にできる。コンピュータは、製造動作の現進行状況を監視するために、又は過去の製造動作の履歴を調査するために、又は複数の製造動作から傾向若しくは性能基準を調査するために、又は現処理のパラメータを変更するために、又は処理工程を設定して現処理を追跡するために、又は新しいプロセスを開始させるために、システムへの遠隔アクセスを可能にしてよい。一部の例では、遠隔コンピュータ(例えば、サーバ)が、ネットワークを通じてシステムにプロセスレシピを提供することができ、ネットワークは、ローカルネットワーク又はインターネットを含んでいてよい。遠隔コンピュータは、パラメータ及び/若しくは設定の入力又はプログラミングを可能にするユーザインターフェースを含んでいてよく、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝えられる。一部の例では、コントローラは、1つ以上の動作中に実施される各処理工程のためのパラメータを指定するデータの形式で命令を受信する。なお、パラメータは、実施されるプロセスのタイプに、及びコントローラがインターフェース接続されるように又は制御するように構成されたツールのタイプに特有であってよいことが、理解されるべきである。したがって、上述のように、コントローラ500は、ネットワークによって結ばれて本明細書で説明されるプロセス及び制御などの共通の目的に向かって作業する1つ以上の個別のコントローラを含むなどによって、分散されてよい。このような目的のための分散コントローラの一例として、(プラットフォームレベル(即ち、プラズマ処理装置10)で又は遠隔コンピュータの一部として)遠隔設置されてチャンバにおけるプロセスを協同で制御する1つ以上の集積回路とやり取りするチャンバ上の1つ以上の集積回路が挙げられる。
代表的なプラズマ処理装置10として、制限なく、プラズマエッチングチャンバ若しくはプラズマエッチングモジュール、堆積チャンバ若しくは堆積モジュール、スピンリンスチャンバ若しくはスピンリンスモジュール、金属めっきチャンバ若しくは金属めっきモジュール、洗浄チャンバ若しくは洗浄モジュール、ベベルエッジエッチングチャンバ若しくはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバ若しくはPVDモジュール、化学気相成長(CVD)チャンバ若しくはCVDモジュール、原子層堆積(ALD)チャンバ若しくはALDモジュール、原子層エッチング(ALE)チャンバ若しくはALEモジュール、イオン注入チャンバ若しくはイオン注入モジュール、追跡チャンバ若しくは追跡モジュール、並びに半導体ウエハの製作及び/若しくは製造に関係付けられた若しくは使用されるその他の任意の半導体処理装置又は半導体処理システムが挙げられる。
上記のように、プラズマ処理装置10によって実施される1つ以上のプロセス工程に応じ、そのコントローラ500は、その他のツール回路若しくはツールモジュール、その他のツールコンポーネント、クラスタツール、その他のツールインターフェース、隣接するツール、近隣のツール、工場の随所にあるツール、メインコンピュータ、別のコントローラ、又は半導体製造工場におけるツール場所及び/若しくは装填ポートに対してウエハ入り容器を出し入れする材料輸送に使用されるツールのうちの、1つ以上とやり取りしえる。好ましくは、非一時的なコンピュータ機械読み取り可能媒体が、プラズマ処理装置10の制御のためのプログラム命令を含む。
本明細書で開示される、少なくとも第1の、第2の、及び第3のガス注入ゾーンを通して導入されるガスによって半導体基板が処理されるプラズマ処理システムのチャンバにプロセスガスを供給するためのガス供給配送配置を使用する方法において、ガス供給配送配置は、複数のプロセスガス供給入口及び複数の調整ガス入口と、それぞれのプロセスガス供給部との流体連通を提供するように各自適応される複数のガス供給スティックを含む混合マニホールドと、それぞれの調整ガス供給部との流体連通を提供するように各自適応される複数の調整ガススティックと、第1のガス注入ゾーンへガスを配送するように適応される第1のガス出口、第2のガス注入ゾーンへガスを配送するように適応される第2のガス出口、及び第3のガス注入ゾーンへガスを配送するように適応される第3のガス出口と、混合マニホールドと流体連通しているガス分離器であって、混合マニホールドから出る混合ガスを、第1のガス出口に供給できる第1の混合ガスと、第2の及び/又は第3のガス出口に供給できる第2の混合ガスとに分離する第1のバルブ配置を含むガス分離器と、調整ガススティックから第1の、第2の、及び/又は第3のガス出口へ調整ガスを選択式に配送する第2のバルブ配置とを含む。方法は、第1の混合ガスを第1のガス出口へ配送するように、並びに第2の混合ガスを第2の及び/又は第3のガス出口へ配送するように、第1のバルブ配置を動作させることと、1つ以上の調整ガスを第1の、第2の、及び/又は第3のガス出口へ配送するように、第2のバルブ配置を動作させることとを含む。
本発明は、好ましい実施形態を参照にして説明されてきた。しかしながら、当業者にならば、本発明が、その趣旨から逸脱することなく、上述された以外の具体的形態で具現化可能であることが、容易に明らかである。好ましい実施形態は、例示的なものであり、いかなる意味でも限定的であると見なすべきでない。本発明の範囲は、上記の説明ではなく、添付の特許請求の範囲によって与えられ、これらの特許請求の範囲内に入るヴァリエーション及び均等物は、全て、特許請求の範囲に含まれることを意図している。

Claims (31)

  1. 少なくとも第1の、第2の、及び第3のガス注入ゾーンを通して導入されるガスによって半導体基板が処理されるプラズマ処理システムのチャンバにプロセスガスを供給するためのガス供給配送配置であって、
    複数のプロセスガス供給入口及び複数の調整ガス入口と、
    それぞれのプロセスガス供給部との流体連通を提供するように各自適応される複数のガス供給スティックを含む混合マニホールドと、
    それぞれの調整ガス供給部との流体連通を提供するように各自適応される複数の調整ガススティックと、
    前記第1のガス注入ゾーンへガスを配送するように適応される第1のガス出口、前記第2のガス注入ゾーンへガスを配送するように適応される第2のガス出口、及び前記第3のガス注入ゾーンへガスを配送するように適応される第3のガス出口と、
    前記混合マニホールドと流体連通しているガス分離器であって、前記混合マニホールドから出る混合ガスを、前記第1のガス出口に供給できる第1の混合ガスと、前記第2の及び/又は第3のガス出口に供給できる第2の混合ガスとに分離する第1のバルブ配置を含むガス分離器と、
    前記調整ガススティックから前記第1の、第2の、及び/又は第3のガス出口へ調整ガスを選択式に配送する第2のバルブ配置と、
    を備えるガス供給配送配置。
  2. 請求項1に記載のガス供給配送配置であって、
    前記第2のバルブ配置は、前記第1のガス出口、前記第2のガス出口、前記第3のガス出口、又はこれらの組み合わせと流体連通している第1の調整ガス導管へ第1の調整ガスを選択的に配送する第1のバルブセットと、前記第1のガス出口、前記第2のガス出口、前記第3のガス出口、又はこれらの組み合わせと流体連通している第2の調整ガス導管へ第2の調整ガスを選択的に配送する第2のバルブセットと、前記第1のガス出口、前記第2のガス出口、前記第3のガス出口、又はこれらの組み合わせと流体連通している第3の調整ガス導管へ第3の調整ガスを選択的に配送する第3のバルブセットと、前記第1のガス出口、前記第2のガス出口、前記第3のガス出口、又はこれらの組み合わせと流体連通している第4の調整ガス導管へ第4の調整ガスを選択的に配送する第4のバルブセットとを含む、ガス供給配送配置。
  3. 請求項2に記載のガス供給配送配置であって、
    前記第2のバルブセットは、前記第1の、第2の、第3の、及び第4の調整ガススティックを前記混合マニホールド及び/又はパージラインに選択的に接続するバルブを含む、ガス供給配送配置。
  4. 請求項2に記載のガス供給配送配置であって、
    前記第1のバルブ配置は、前記第1の混合ガスの比率を精密に制御して前記第1の混合ガスを前記第1のガス出口へ配送するための、限界オリフィスを伴う第1のバルブセットと、前記第2の混合ガスの比率を制御して前記第2の混合ガスを前記第2の及び/又は第3のガス出口へ配送するための、限界オリフィスを伴う第2のバルブセットと、前記混合ガスを前記第2の及び/又は第3のガス出口へ配送する第3のバルブセットとを含む、ガス供給配送配置。
  5. 請求項1に記載のガス供給配送配置であって、
    前記第1のバルブ配置は、前記第1の混合ガスを前記第1のガス出口のみへ配送し、前記第2の混合ガスを前記第2の及び/又は第3のガス出口のみへ配送する、ガス供給配送配置。
  6. 請求項1に記載のガス供給配送配置を備えるプラズマ処理システムであって、
    チャンバと、
    前記チャンバの中の、半導体基板が上で処理される基板サポートと、
    前記ガス供給配送システムの前記ガス出口に接続されたガス注入システムと、
    前記チャンバを所望の真空圧力に維持するように動作可能である真空源と、
    前記チャンバ内のガスをプラズマ状態に活性化するように動作可能である電力源と、
    を備え、前記ガス注入システムは、前記ガス供給配送配置から前記半導体基板の上方の少なくとも第1の、第2の、及び第3のゾーンへガスを配送する、プラズマ処理システム。
  7. 請求項6に記載のプラズマ処理システムであって、
    前記第1のゾーンは、前記半導体基板の中央ゾーンであり、前記第2のゾーンは、前記中央ゾーンを取り巻く中間ゾーンであり、前記第3のゾーンは、前記中間ゾーンを取り巻く縁ゾーンである、プラズマ処理システム。
  8. 請求項6に記載のプラズマ処理システムであって、
    前記チャンバは、前記ガス注入システムがガス分配板である誘導結合プラズマ処理チャンバである、プラズマ処理システム。
  9. 請求項6に記載のプラズマ処理システムであって、
    前記チャンバは、前記ガス注入システムがシャワーヘッド電極である容量結合プラズマ処理チャンバである、プラズマ処理システム。
  10. 少なくとも第1の、第2の、及び第3のガス注入ゾーンを通して導入されるガスによって半導体基板が処理されるプラズマ処理システムのチャンバにプロセスガスを供給するためのガス供給配送配置を使用する方法であって、前記ガス供給配送配置は、複数のプロセスガス供給入口及び複数の調整ガス入口と、それぞれのプロセスガス供給部との流体連通を提供するように各自適応される複数のガス供給スティックを含む混合マニホールドと、それぞれの調整ガス供給部との流体連通を提供するように各自適応される複数の調整ガススティックと、前記第1のガス注入ゾーンへガスを配送するように適応される第1のガス出口、前記第2のガス注入ゾーンへガスを配送するように適応される第2のガス出口、及び前記第3のガス注入ゾーンへガスを配送するように適応される第3のガス出口と、前記混合マニホールドと流体連通しているガス分離器であって、前記混合マニホールドから出る混合ガスを、前記第1のガス出口に供給できる第1の混合ガスと、前記第2の及び/又は第3のガス出口に供給できる第2の混合ガスとに分離する第1のバルブ配置を含むガス分離器と、前記調整ガススティックから前記第1の、第2の、及び/又は第3のガス出口へ調整ガスを選択式に配送する第2のバルブ配置とを含み、
    前記方法は、
    前記第1の混合ガスを前記第1のガス出口へ配送するように、並びに前記第2の混合ガスを前記第2の及び/又は第3のガス出口へ配送するように、前記第1のバルブ配置を動作させることと、
    1つ以上の調整ガスを前記第1の、第2の、及び/又は第3のガス出口へ配送するように、前記第2のバルブ配置を動作させることと、
    を備える方法。
  11. 請求項10に記載の方法であって、
    前記第2のバルブ配置は、前記第1のガス出口、前記第2のガス出口、前記第3のガス出口、又はこれらの組み合わせと流体連通している第1の調整ガス導管へ第1の調整ガスを選択的に配送する第1のバルブセットと、前記第1のガス出口、前記第2のガス出口、前記第3のガス出口、又はこれらの組み合わせと流体連通している第2の調整ガス導管へ第2の調整ガスを選択的に配送する第2のバルブセットと、前記第1のガス出口、前記第2のガス出口、前記第3のガス出口、又はこれらの組み合わせと流体連通している第3の調整ガス導管へ第3の調整ガスを選択的に配送する第3のバルブセットと、前記第1のガス出口、前記第2のガス出口、前記第3のガス出口、又はこれらの組み合わせと流体連通している第4の調整ガス導管へ第4の調整ガスを選択的に配送する第4のバルブセットとを含み、
    前記方法は、前記ガス分離器を迂回しつつ、前記少なくとも1つの調整ガスを前記第1の、第2の、及び/又は第3のガス出口へ配送することを備える方法。
  12. 請求項11に記載の方法であって、
    前記第2のバルブセットは、前記第1の、第2の、第3の、及び第4の調整ガススティックを前記混合マニホールド及び/又はパージラインに選択的に接続するバルブを含み、
    前記方法は、各調整ガスを前記パージライン又は前記混合マニホールドのいずれかへ配送することを備える方法。
  13. 請求項11に記載の方法であって、
    前記第1のバルブ配置は、前記第1の混合ガスの比率を精密に制御して前記第1の混合ガスを前記第1のガス出口へ配送するための、限界オリフィスを伴う第1のバルブセットと、前記第2の混合ガスの比率を制御して前記第2の混合ガスを前記第2の及び/又は第3のガス出口へ配送するための、限界オリフィスを伴う第2のバルブセットと、前記混合ガスを前記第2の及び/又は第3のガス出口へ配送する第3のバルブセットとを含み、
    前記方法は、
    前記第1のバルブセットを、前記第1の混合ガスを前記第1のガス出口へ配送するように動作させることと、
    前記第2のバルブセットを、前記第2の混合ガスを前記第2の及び/又は第3のガス出口へ配送するように動作させることと、
    を備える方法。
  14. 請求項10に記載の方法であって、
    前記第1の混合ガスが前記第1のガス出口のみへ配送され、前記第2の混合ガスが前記第2の及び/又は第3のガス出口のみへ配送されるように、コントローラが、前記第1のバルブ配置のバルブを動作させる、方法。
  15. 請求項10に記載の方法であって、
    前記プラズマ処理システムは、チャンバと、前記チャンバの中の、半導体基板が上で処理される基板サポートと、前記ガス供給配送システムの前記ガス出口に接続されたガス注入システムと、前記チャンバを所望の真空圧力に維持するように動作可能である真空源と、前記チャンバ内のガスをプラズマ状態に活性化するように動作可能である電力源とを含み、前記ガス注入システムは、前記ガス供給配送配置から前記半導体基板の上方の少なくとも第1の、第2の、及び第3のゾーンへガスを配送し、
    前記方法は、前記第1の及び第2のバルブ配置を、プロセスガス及び調整ガスを前記第1の、第2の、及び第3のゾーンへ配送するように動作させることを備える方法。
  16. 請求項15に記載の方法であって、
    前記第1のゾーンは、前記半導体基板の中央ゾーンであり、前記第2のゾーンは、前記中央ゾーンを取り巻く中間ゾーンであり、前記第3のゾーンは、前記中間ゾーンを取り巻く縁ゾーンであり、
    前記方法は、前記調整ガス及び混合ガスを前記中央ゾーン、中間ゾーン、及び縁ゾーンへ配送することを備える方法。
  17. 請求項15に記載の方法であって、
    前記チャンバは、前記ガス注入システムがガス分配板である誘導結合プラズマ処理チャンバであり、
    前記方法は、アンテナを活性化させて前記チャンバ内へRFエネルギを結合することによって前記チャンバの中でプラズマを発生させることを備える方法。
  18. 請求項15に記載の方法であって、
    前記チャンバは、前記ガス注入システムがシャワーヘッド電極である容量結合プラズマ処理チャンバであり、
    前記方法は、前記シャワーヘッド電極にRFエネルギを供給することによって前記チャンバの中でプラズマを発生させることを備える方法。
  19. 請求項6に記載の半導体基板処理装置におけるウエハ処理の均一性を最適化する方法であって、
    プロセスレシピにしたがって、前記複数のガス注入ゾーンのそれぞれを通してガス組成及びガス流量を供給しつつ、前記半導体基板処理装置の中でテストウエハをエッチングすることであって、前記ガス組成及び/又はガス流量は、前記ガス分離器によって制御される、エッチングすることと、
    前記テストウエハの表面にわたるそれぞれのゾーンのエッチング速度を決定するために、前記テストウエハの表面のエッチング均一性を測定することと、
    前記プロセスレシピを修正することであって、前記ガス注入ゾーンのうちの1つ以上における前記ガス組成及び/又はガス流量が調節され、前記ガス組成及び/又はガス流量は、前記ガス分離器によって制御される、修正することと、
    前記修正されたプロセスレシピにしたがって、前記1つ以上のガス注入ゾーンの前記調節されたガス組成及び/又はガス流量を供給しつつ、前記半導体基板処理装置の中でテストウエハをエッチングすることと、
    前記テストウエハの表面にわたるそれぞれのゾーンのエッチング速度を決定するために、前記テストウエハの表面の均一性を測定することと、
    前記エッチング均一性が所望の公差内であるかどうかを決定することと、
    を備える方法。
  20. 請求項19に記載の方法であって、更に、
    もし、前記決定された均一性が所望の公差内であるならば、前記1つ以上のガス注入ゾーンの前記調節されたガス組成及び/又はガス流量によって、基板一式をエッチングすることを備える方法。
  21. 請求項19に記載の方法であって、更に、
    前記ガス注入ゾーンのうちの1つ以上において前記ガス組成及び/又はガス流量を修正することであって、前記ガス組成及び/又はガス流量は、前記ガス分離器によって制御される、修正することと、
    前記修正されたプロセスレシピにしたがって、前記1つ以上のガス注入ゾーンの前記調節されたガス組成及び/又はガス流量を供給しつつ、前記半導体基板処理装置の中でテストウエハをエッチングすることと、
    前記テストウエハの表面にわたるそれぞれのゾーンのエッチング速度を決定するために、前記テストウエハの表面のエッチング均一性を測定することと、
    前記均一性が所望の公差内であるかどうかを決定することと、
    を備える方法。
  22. 半導体基板処理装置のガス供給配送配置であって、
    複数のガス源と流体連通しているガスパネルと、
    対応するガス源からの、前記ガスパネルを通してのガスの供給を制御するように動作可能である、各ガス源のためのそれぞれの質量流量コントローラと、
    前記ガスパネルに取り付けられたガス分離器であって、前記半導体基板処理装置のプロセスチャンバのそれぞれのガス注入ゾーンと流体連通するように構成される複数のガスゾーン供給口と流体連通しており、前記ガスパネルを通して前記ガス源のうちの対応するそれぞれから供給される1つ以上のガスを受け取るように、及び前記受け取られて前記複数のガスゾーン供給口のうちの対応するそれぞれに供給される前記1つ以上のガスの比率を制御するように動作可能であるガス分離器と、
    を備えるガス供給配送配置。
  23. 請求項22に記載のガス供給配送配置であって、
    前記ガス分離器は、前記複数のガスゾーン供給口のうちの対応するそれぞれに受け取られる前記ガス供給の流量を制御するように動作可能である11のバルブを含み、各バルブは、各バルブに関係付けられたそれぞれのオリフィスをガスの供給が通るように開く又は閉じるように動作可能であり、各オリフィスは、それぞれの各オリフィスに供給される前記ガス流量を制御するために、所定の断面積を有する、ガス供給配送配置。
  24. 請求項22に記載のガス供給配送配置であって、更に、
    前記ガス分離器に供給されるガスの圧力を測定するように動作可能である1つ以上の圧力計を、前記ガス分離器の上流に備えるガス供給配送配置。
  25. 請求項22に記載のガス供給配送配置であって、
    前記複数のガス源は、プロセスガス源、不活性ガス源、調整ガス源、又はこれらの組み合わせを含む、ガス供給配送配置。
  26. 請求項23に記載のガス供給配送配置であって、
    前記バルブは、それらに関係付けられたオリフィスの上流に位置付けられる、ガス供給配送配置。
  27. シャワーヘッド電極アセンブリを含むプラズマ処理チャンバと、請求項22に記載のガス分配システムとを備える半導体基板処理装置であって、
    前記複数のガスゾーン供給口は、各自、前記シャワーヘッド電極アセンブリにおけるそれぞれのガス注入ゾーンと流体連通している、半導体基板処理装置。
  28. 請求項27に記載の半導体基板処理装置であって、更に、
    (a)前記プラズマ処理装置によって実施されるプロセスを制御するように動作可能であるコントローラと、
    (b)前記システムの制御のためのプログラム命令を含む、非一時的なコンピュータ機械読み取り可能媒体と、
    を備える半導体基板処理装置。
  29. 請求項27に記載の半導体基板処理装置であって、更に、
    中央ガス注入ゾーンと、中間ガス注入ゾーンと、縁ガス注入ゾーンとを備える半導体基板処理装置。
  30. 請求項27に記載の半導体基板処理装置において半導体基板を処理する方法であって、
    前記複数のプロセスガス源から前記ガス分離器に1つ以上のガスを供給することと、
    前記複数のガスゾーン供給口に供給されるガスの比率を、前記ガス分離器のバルブの組み合わせを開く及び/又は閉じることによって制御することと、
    前記複数のガスゾーン供給口を通して前記シャワーヘッド電極アセンブリのそれぞれのガス注入ゾーンにガスを供給することと、
    前記シャワーヘッドアセンブリの前記それぞれのガス注入ゾーンを通して半導体基板の表面の上にガスを供給することによって、前記半導体基板を処理することであって、前記それぞれのガス注入ゾーンは、前記半導体基板の表面にわたるゾーンに対応し、それぞれの各ガス注入ゾーンに供給されるガスの比率は、所望の均一性内で前記半導体基板を処理するように操作可能である、処理することと、
    を備える方法。
  31. 請求項30に記載の、半導体基板を処理する方法であって、
    前記ガス分離器が前記ガスに1つ以上の調整ガスを加えることによって、前記ガスの組成を制御する方法。
JP2017520456A 2014-10-17 2015-10-16 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置 Active JP6789932B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462065497P 2014-10-17 2014-10-17
US62/065,497 2014-10-17
PCT/US2015/055971 WO2016061475A1 (en) 2014-10-17 2015-10-16 Gas supply delivery arrangement including a gas splitter for tunable gas flow control

Publications (2)

Publication Number Publication Date
JP2017532788A true JP2017532788A (ja) 2017-11-02
JP6789932B2 JP6789932B2 (ja) 2020-11-25

Family

ID=55747409

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017520456A Active JP6789932B2 (ja) 2014-10-17 2015-10-16 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置

Country Status (8)

Country Link
US (1) US10431431B2 (ja)
EP (1) EP3207558B1 (ja)
JP (1) JP6789932B2 (ja)
KR (1) KR102122113B1 (ja)
CN (1) CN107148661B (ja)
SG (1) SG11201703129YA (ja)
TW (1) TWI685579B (ja)
WO (1) WO2016061475A1 (ja)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
CN107148661B (zh) * 2014-10-17 2019-10-18 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10510625B2 (en) * 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110582591B (zh) * 2017-05-02 2022-05-10 皮考逊公司 原子层沉积设备、方法和阀
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10947621B2 (en) * 2017-10-23 2021-03-16 Applied Materials, Inc. Low vapor pressure chemical delivery
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11241720B2 (en) 2018-03-22 2022-02-08 Tel Manufacturing And Engineering Of America, Inc. Pressure control strategies to provide uniform treatment streams in the manufacture of microelectronic devices
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11274370B2 (en) * 2018-05-24 2022-03-15 Tokyo Electron Limited Multiple zone gas injection for control of gas phase radicals
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020214616A1 (en) * 2019-04-15 2020-10-22 Lam Research Corporation Modular-component system for gas delivery
JP2021082127A (ja) * 2019-11-21 2021-05-27 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置及びガス供給システムの制御方法
CN112928008B (zh) * 2019-12-06 2023-03-24 中微半导体设备(上海)股份有限公司 气体供应系统及其气体输送方法、等离子体处理装置
CN113013011B (zh) * 2019-12-20 2022-11-29 中微半导体设备(上海)股份有限公司 气体分配装置及等离子体处理装置
CN113834901A (zh) * 2020-06-23 2021-12-24 拓荆科技股份有限公司 气体混合效果检测装置及检测方法
CN114121585B (zh) * 2020-08-26 2023-10-31 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及气体供应方法
US11881416B2 (en) 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US20230124246A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Manifold for equal splitting and common divert architecture
WO2023102325A1 (en) * 2021-12-01 2023-06-08 Lam Research Corporation Dry process tool with adjustable flow valve

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002280357A (ja) * 2001-03-21 2002-09-27 Sony Corp プラズマエッチング装置およびエッチング方法
JP2009523321A (ja) * 2006-01-11 2009-06-18 ラム リサーチ コーポレーション ガス分配システム用の、異なる流量係数を有するバルブを含むガス切換え部
US20090218317A1 (en) * 2008-02-28 2009-09-03 Belen Rodolfo P Method to control uniformity using tri-zone showerhead
JP2010021431A (ja) * 2008-07-11 2010-01-28 Tokyo Electron Ltd プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
JP2011518959A (ja) * 2008-04-28 2011-06-30 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ用の非平面状フェースプレート
JP2013051315A (ja) * 2011-08-31 2013-03-14 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
WO2002065511A2 (en) * 2001-02-14 2002-08-22 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity
US7052541B2 (en) * 2002-06-19 2006-05-30 Board Of Regents, The University Of Texas System Color compositions
US7884127B2 (en) * 2002-07-08 2011-02-08 Pirimal Life Sciences Ltd. Inhibitors of cyclin dependent kinases and their use
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
KR100744121B1 (ko) * 2006-01-11 2007-08-01 삼성전자주식회사 반도체 기판의 처리 방법
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
CN107148661B (zh) * 2014-10-17 2019-10-18 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
US9934956B2 (en) * 2015-07-27 2018-04-03 Lam Research Corporation Time multiplexed chemical delivery system
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
KR20170127724A (ko) * 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002280357A (ja) * 2001-03-21 2002-09-27 Sony Corp プラズマエッチング装置およびエッチング方法
JP2009523321A (ja) * 2006-01-11 2009-06-18 ラム リサーチ コーポレーション ガス分配システム用の、異なる流量係数を有するバルブを含むガス切換え部
US20090218317A1 (en) * 2008-02-28 2009-09-03 Belen Rodolfo P Method to control uniformity using tri-zone showerhead
JP2011518959A (ja) * 2008-04-28 2011-06-30 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ用の非平面状フェースプレート
JP2010021431A (ja) * 2008-07-11 2010-01-28 Tokyo Electron Ltd プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
JP2013051315A (ja) * 2011-08-31 2013-03-14 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置

Also Published As

Publication number Publication date
SG11201703129YA (en) 2017-05-30
EP3207558A4 (en) 2018-06-13
TWI685579B (zh) 2020-02-21
CN107148661A (zh) 2017-09-08
TW201634718A (zh) 2016-10-01
WO2016061475A1 (en) 2016-04-21
KR20170070183A (ko) 2017-06-21
US20160111258A1 (en) 2016-04-21
EP3207558A1 (en) 2017-08-23
JP6789932B2 (ja) 2020-11-25
KR102122113B1 (ko) 2020-06-29
US10431431B2 (en) 2019-10-01
CN107148661B (zh) 2019-10-18
EP3207558B1 (en) 2022-08-03

Similar Documents

Publication Publication Date Title
TWI685579B (zh) 具有可調式氣流控制用氣體分離器之氣體供應輸送裝置
KR102478222B1 (ko) 비정질 탄소 하드마스크 막들의 탄소-수소 함량을 감소시키기 위한 시스템들 및 방법들
US11127567B2 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9520295B2 (en) Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US20160148813A1 (en) Gas injection method for uniformly processing a semiconductor substrate in a semiconductor substrate processing apparatus
CN107452590A (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
KR20190133282A (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US20160284541A1 (en) Deposition of metal dielectric film for hardmasks
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
JP2017036493A (ja) 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法
US20230126058A1 (en) Dielectric window for substrate processing chamber
WO2020096722A1 (en) Nitride films with improved etch selectivity for 3d nand integration
WO2024076478A1 (en) Showerhead gas inlet mixer
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔
WO2018195535A1 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181012

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190906

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190910

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191209

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20200121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200521

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20200521

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20200601

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20200602

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200917

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201006

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201104

R150 Certificate of patent or registration of utility model

Ref document number: 6789932

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250