JP7460626B2 - 処理チャンバの耐食性接地シールド - Google Patents

処理チャンバの耐食性接地シールド Download PDF

Info

Publication number
JP7460626B2
JP7460626B2 JP2021531911A JP2021531911A JP7460626B2 JP 7460626 B2 JP7460626 B2 JP 7460626B2 JP 2021531911 A JP2021531911 A JP 2021531911A JP 2021531911 A JP2021531911 A JP 2021531911A JP 7460626 B2 JP7460626 B2 JP 7460626B2
Authority
JP
Japan
Prior art keywords
protective layer
ground shield
plate
conductive
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021531911A
Other languages
English (en)
Other versions
JP2022510433A (ja
Inventor
ドミトリー ルボミルスキー,
シャオ ミン ヘ,
ジェニファー ワイ. サン,
シャオウェイ ウー,
ラクシェスワル カリタ,
スナム パク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022510433A publication Critical patent/JP2022510433A/ja
Priority to JP2023089931A priority Critical patent/JP2023123461A/ja
Application granted granted Critical
Publication of JP7460626B2 publication Critical patent/JP7460626B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3735Laminates or multilayers, e.g. direct bond copper ceramic substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Shielding Devices Or Components To Electric Or Magnetic Fields (AREA)
  • Resistance Heating (AREA)

Description

[0001]本開示の実施形態は、概して、バイアスプラズマの形成の均一性を可能にし得るヒータ用の接地シールド、具体的には、腐食及び/又は侵食(例えば、プラズマ環境起因等の)に耐性のある接地シールドに関する。
[0002]半導体産業では、ヒータは、基板を支持し、プラズマを使用する堆積プロセス及び/又はエッチングプロセス中等の処理中にそれらの基板を加熱するために使用される。プラズマの使用を助ける高周波(RF)場を基板処理装置に導入して、ヒータと処理ユニットの他の構成要素との間の振動を容易にすることができる。接地シールドを使用してヒータを接地し、この処理中のプラズマの均一性を高めることができる。現在の接地シールドはアルミニウム又はステンレス鋼材料でできており、アルミニウム又はステンレス鋼のCTEとは非常に異なる熱膨張係数(CTE)を持つ保護コーティングでコーティングされている。アルミニウム又はステンレス鋼材料と接地シールドの従来の保護コーティングとの間でCTE値が大幅に異なるため、保護コーティングに頻繁に亀裂が入り、アルミニウム又はステンレス鋼材料が腐食環境及び/又はプラズマに暴露される。これにより、既存の接地シールドを偏った高温用途で使用することが妨げられる。
[0003]一実施形態では、処理チャンバの接地シールドは、プレートと、プレートの上面から延在する隆起したエッジとを含むセラミック本体を含む。ヒータは、プレートの上面上の隆起したエッジ内に適合する。接地シールドは、少なくともプレートの上面上の導電層と、少なくとも導電層上の第1の保護層とを更に含む。
[0004]一実施形態では、処理チャンバの基板支持アセンブリは、ヒータと、円盤状のセラミック本体、及び円盤状のセラミック本体の下面から延在するシャフトを含む接地シールドとを含む。円盤状のセラミック本体の上面は、円盤状のセラミック本体の上面から延在する隆起したエッジを含む。ヒータは、円盤状のセラミック本体の上面上の隆起したエッジ内に配置されている。接地シールドは、少なくとも円盤状のセラミック本体の上面上の導電層と、少なくとも導電層上の第1の保護層とを更に含む。
[0005]一実施形態では、処理チャンバの接地シールドは、プレートと、プレートの上面から延在する隆起したエッジとを含む導電層を含む。ヒータは、プレートの上面上の隆起したエッジ内に適合する。隆起したエッジは、エッジ内壁、エッジ上面、及びエッジ外壁、並びに少なくともプレートの上面上の第1の保護層、及び少なくとも第1の保護層上の第2の保護層を含む。
[0006]本開示は、同様の参照番号が同様の要素を示す添付の図面の図において、限定としてではなく、例として示されている。本開示における「1つの(an)」又は「ある(one)」実施形態への異なる言及は、必ずしも同じ実施形態に対するものではなく、そのような言及は少なくとも1つを意味することに留意されたい。
処理チャンバの一実施形態を示す断面図である。 接地シールドアセンブリの一実施形態を示す分解図である。 導電層及び第1の保護層を備えるセラミック接地シールドアセンブリの一実施形態を示す断面図である。 導電層及び第1の保護層を備えるセラミック接地シールドアセンブリの一実施形態を示す別の断面図である。 導電層及び第1の保護層を備えるセラミック接地シールドアセンブリの一実施形態を示す別の断面図である。 導電層及び第1の保護層を備えるセラミック接地シールドアセンブリの一実施形態を示す別の断面図である。 導電層、第1の保護層、及び第2の保護層を備えるセラミック接地シールドアセンブリの一実施形態を示す断面図である。 導電層、第1の保護層、及び第2の保護層を備えるセラミック接地シールドアセンブリの一実施形態を示す別の断面図である。 導電層、第1の保護層、及び第2の保護層を備えるセラミック接地シールドアセンブリの一実施形態を示す別の断面図である。 導電層、第1の保護層、及び第2の保護層を備えるセラミック接地シールドアセンブリの一実施形態を示す別の断面図である。 第1の保護層及び第2の保護層を備える導電性接地シールドアセンブリの一実施形態を示す断面図である。 第1の保護層及び第2の保護層を備える導電性接地シールドアセンブリの一実施形態を示す別の断面図である。 第1の保護層及び第2の保護層を備える導電性接地シールドアセンブリの一実施形態を示す別の断面図である。 第1の保護層及び第2の保護層を備える導電性接地シールドアセンブリの一実施形態を示す別の断面図である。 第1の保護層及び第2の保護層を備える導電性接地シールドアセンブリの一実施形態を示す別の断面図である。 接地シールドのプレートに穿孔された複数の孔を備える接地シールドアセンブリの一実施形態を示す断面図であり、複数の孔は導電性プラグで充填されている。 本明細書に記載の接地シールドアセンブリを形成する第1の方法を示す図である。 本明細書に記載の接地シールドアセンブリを形成する第2の方法を示す図である。
[0025]本開示の実施形態は、接地シールド、並びに接地シールド及び接地シールドによって囲まれたヒータを有する基板支持アセンブリを提供する。接地シールドは、プレートと、プレートの上面から延在する隆起したエッジとを含み、隆起したエッジは、エッジ内壁、エッジ上面、及びエッジ外壁を含む。接地シールドは、プレートの下面から延在する中空シャフトも含む。中空面は、内壁と外壁とを含む。
[0026]一実施形態では、接地シールドは、セラミック材料から構成され得、少なくともプレートの上面上に、導電層が堆積され得る。導電層に、第1の保護層が堆積され得る。第1の保護層に、第2の層が堆積され得る。セラミック接地シールド本体に導電層を堆積することにより、接地シールドはヒータに接地機能を提供し得る。第1の保護層及び/又は第2の保護層は、高酸化及び/又は腐食環境から接地シールドを保護し得る。例えば、第1の保護層及び/又は第2の保護層は、酸化、並びにプラズマ及び/又は腐食化学(例えば、フッ素に富む環境及び/又は塩素に富む環境)からの侵食及び/又は腐食に対して耐性があり得る。第1の保護層は、第1の保護層のCTE値とセラミック材料のCTE値が実質的に類似する、又は適切な差内(例えば、2.5 10-6/℃以内)であるように戦略的に選択され、これにより、基板処理中の第1の保護層の亀裂が防止され得る。CTEの不一致を回避するためにセラミック材料及び第1の保護層を戦略的に選択することにより、本開示の接地シールドを偏った高温用途に使用することができる。
[0027]別の実施形態では、接地シールドは、導電性材料から構成され得る。少なくとも接地シールドのプレートの上面に、第1の保護層が堆積され得る。第1の保護層に、第2の保護層が堆積され得る。接地シールドは、高酸化又は腐食性の処理環境によって損傷を受けることなく、ヒータに接地機能を提供することが可能であり得る。第1の保護層及び第2の保護層は、高酸化又は腐食環境から接地シールドを保護し得る。導電性材料及び第1の保護層は、導電性材料のCTE値及び第1の保護層のCTE値が実質的に類似する、又は適切な差(2.5 10-6/℃)内であるように戦略的に選択され、これにより、基板処理中の第1の保護層の亀裂が防止され得る。CTEの不一致を回避するために導電性材料及び第1の保護層を戦略的に選択することにより、本開示の接地シールドを偏った高温用途に使用することができる。
[0028]図1は、1又は複数のチャンバ構成要素を有する処理チャンバ100(例えば、半導体処理チャンバ)を示す断面図である。処理チャンバ100は、例えば、半導体製造プロセス、ディスプレイ製造プロセス、微小電気機械システム(MEMS)製造プロセス、光起電製造プロセス等に使用され得る。例えば、処理チャンバ100は、プラズマエッチャ又はプラズマエッチングリアクタ、プラズマクリーナ、化学気相堆積(CVD)リアクタ、物理的気相堆積(PVD)リアクタ、原子層堆積(ALD)リアクタ等のためのチャンバであり得る。
[0029]一実施形態では、処理チャンバ100は、内部領域106を取り囲むチャンバ本体102を含み得る。チャンバ本体102は、アルミニウム、ステンレス鋼、又は他の適切な材料から製造され得る。チャンバ本体102は、概して、リッド104、側壁108、及び底部110を含む。チャンバ本体102を保護するために、側壁108に隣接して外側ライナ116が配置され得る。一実施形態では、外側ライナ116は、酸化アルミニウムから製造され得る。
[0030]排気ポート126は、チャンバ本体102に画定され得、内部領域106をポンプシステム128に結合し得る。ポンプシステム128は、処理チャンバ100の内部領域106の圧力を排気及び調整するために用いられる1又は複数のポンプ及びスロットルバルブを含み得る。
[0031]ガスパネル158を処理チャンバ100に結合させて、1又は複数の中間構成要素を通して内部領域106にプロセス及び/又は洗浄ガスを提供することができる。
[0032]処理チャンバ100において基板を処理するために使用され得る処理ガスの例には、C、SF、SiCl、HBr、NF、CF、CHF、CH、F、NF、Cl、CCl、BCl、及びSiF、並びにO、NH、H、又はNO等の他のガス等のハロゲン含有ガスが含まれる。キャリアガスの例には、N、He、Ar、及びプロセスガス(非反応性ガス等)に対して不活性な他のガスが含まれる。
[0033]処理チャンバ100は、直列に配置され得る複数のシャワーヘッド、面板、及び/又はガス分配プレートを含み得る。シャワーヘッド160は、チャンバ本体102に画定され得、リッド104に結合及び/又は近接され得る。あるいは、リッド104をシャワーヘッド160と交換することができる。シャワーヘッド160は、図示したように処理チャンバ100内に配置することができ、リッド104と基板支持アセンブリ148との間に含まれ得る、又は配置され得る。実施形態では、シャワーヘッド160は、コーティングされた、シーズニングされた、又は他の方法で処理された材料である金属又は導電性構成要素であり得る、又はそれらを含み得る。例示的な材料は、アルミニウムを含む金属、並びに酸化アルミニウムを含む金属酸化物を含み得る。用いられる前駆体、又は処理チャンバ100内で実施されるプロセスに応じて、シャワーヘッド160は、構造的安定性並びに導電性を提供し得る他の任意の金属であり得る。
[0034]シャワーヘッド160は、シャワーヘッド160を通した前駆体及び/又はプラズマの均一な分配を容易にするために、1又は複数の開孔を画定し得る。開孔は、様々な構成及びパターンで含まれ得、必要に応じて、前駆体及び/又はプラズマ分配を提供し得る任意の数の形状によって特徴付けられ得る。シャワーヘッド160は、実施形態では、電源と電気的に結合し得る。例えば、シャワーヘッド160は、RF源170と結合し得る。作動すると、RF源170がシャワーヘッド160に電流を供給し、誘導結合プラズマ(ICP)又は導電結合プラズマ(CCP)がシャワーヘッド160と別の構成要素との間に形成されることを可能にし得る。
[0035]チャンバ本体102は、面板162も含み得る。面板162は、シャワーヘッド160と同様であり得る。面板162は、処理チャンバ100内のシャワーヘッド160と基板支持アセンブリ148との間に配置され得る。面板162は、面板162を通して画定された複数のチャネル又は開孔を含み得る。面板162は、絶縁材料であり得る、又はそれを含み得る。一実施形態では、面板162は、金属酸化物成分と比較して、酸素への影響の低減、又は酸素ラジカルの再結合等、酸素含有プラズマ放出物との相互作用が低減され得る石英又は任意の材料であり得る。
[0036]第2のシャワーヘッド164が、チャンバ本体102に画定され得、シャワーヘッド160を備えた追加の電極として動作し得る。シャワーヘッド164は、前述のシャワーヘッド160の特徴又は特性のいずれかを含み得る。他の実施形態では、シャワーヘッド164の特定の特徴は、シャワーヘッド160から分岐し得る。例えば、シャワーヘッド164を電気的接地172と結合させることができ、これにより、シャワーヘッド160とシャワーヘッド164との間にICP又はCCPを生成することが可能になり得る。一実施形態では、ICP又はCCPは、シャワーヘッド160と面板162との間に生成され得る。シャワーヘッド164は、構造内に開孔を画定して、処理中に前駆体又はプラズマ放出物が基板144に送達されるようにすることができる。
[0037]オプションとして、ガス分配アセンブリ166が、チャンバ本体102に画定され得る。幾つかの実施形態では、シャワーヘッド164と基板支持アセンブリ148との間に構成要素がなくてよく、シャワーヘッド164は、処理中に前駆体及び/又はプラズマ放出物を基板144に分配することを可能にし得る。ガス分配アセンブリ166は、基板支持アセンブリ148及びリッド104の上のチャンバ本体102内、並びに基板支持アセンブリ148とシャワーヘッド164との間に位置し得る。ガス分配アセンブリ166は、第1及び第2の前駆体の両方を基板支持アセンブリ148に送達するように構成され得る。
[0038]一実施形態では、ガス分配アセンブリ166は、2つ以上のガス供給チャネルを有するように構成され、シャワーヘッド164によって送達される前駆体及び/又はプラズマがガス分配アセンブリ166を通過し、基板支持アセンブリ148にアクセスできるようにする。別の実施形態では、ガス分配アセンブリ166は、別の供給源(例えば、遠隔プラズマ源(図示せず))からの前駆体及び/又はプラズマがガス分配アセンブリ166を通過し、基板支持アセンブリ148にアクセスすることを可能にし得る。
[0039]第2の面板168が、チャンバ本体102に画定され得る。幾つかの実施形態では、面板168は、面板162、又はシャワーヘッド160、164と同様の機能を提供し、同様の特性を含み得る。基板支持アセンブリ148は、RF源176と結合し得る。特に、基板支持アセンブリ148の接地シールドが、RF源176と結合し得る。面板168は、電気的接地174と結合し得る。作動すると、RF源176は、基板支持アセンブリ148に電流を供給し、面板168と基板144との間にバイアスプラズマが形成されることを可能にし得る。面板168は、実施形態では、電気的接地174と結合し得る。
[0040]基板支持アセンブリ148は、処理チャンバ100の内部領域106のシャワーヘッド及び/又はガスディフューザ160の下に配置され得る。基板支持アセンブリ148は、処理中に基板144を保持し得る。一実施形態では、基板支持アセンブリ148は、接地シールド149及びヒータ150を含み得る。ヒータ150は、プレート、又はディスクと、ディスク又はプレートの下面から延在するシャフト(例えば、円筒形シャフト)とを含むヒータ本体を含み得る。接地シールド149は、プレート、又はディスクと、プレート又はディスクの下面から延在するシャフトとを含む接地シールド本体を含み得る。接地シールド149のシャフトは中空シャフトであり得、ヒータ150のシャフトは、接地シールド149の中空シャフトの内側に配置され得る。接地シールド本体は、プレート又はディスクの上面から延在する隆起したエッジ(例えば、プレートの周囲にあるリング)を更に含み得る。ヒータ150のプレートは、接地シールド149の隆起したエッジの内側に置かれ得、接地シールド149の隆起したエッジは、ヒータ150の側壁を保護し得る。
[0041]一実施形態では、ヒータ150は、AlN(窒化アルミニウム)から構成される。あるいは、ヒータ150は、SiC(炭化ケイ素)又は他の材料から構成され得る。一実施形態では、ヒータ150は、ヒータ本体に配置された1又は複数の抵抗性加熱要素を含み得る。一実施形態では、1又は複数の抵抗性加熱要素がプレートに配置され得る。
[0042]ヒータ150は、処理中に基板144を450℃、500℃、又はそれ以上の加工温度に加熱し得る。従来の接地シールドはアルミニウム又はステンレス鋼で構成され、プラズマ溶射されたY(イットリア又は酸化イットリウム)のコーティングを含む。ただし、従来の接地シールドのアルミニウム又はステンレス鋼は、YのCTEよりもはるかに高いCTE値を有する。例えば、アルミニウムのCTEは約21-24 10-6/℃であり、ステンレス鋼のCTEは約7.6から17.3 10-6/℃、YのCTEは7.2 10-6/℃である。このCTE値の不一致により、450℃以上の加工温度でYコーティングに亀裂が生じ、従来の接地シールド本体から剥離する。
[0043]したがって、幾つかの実施形態では、少なくとも接地シールド149のプレートは、バルクセラミック材料から構成される。接地シールド149のシャフトは、同じセラミック材料であってよい、又はプレートに使用される材料とは異なる材料であってよい。接地シールドのプレート(及びオプションとして接地シールドのシャフト)に使用され得るセラミック材料の例は、Al(アルミナ)、AlN、Si(シリコン)、SiC、SiN(窒化ケイ素)、YAl12(YAG)、YAl(YAM)、Y、Y、Er、Gd、GdAl12(GAG)、YF、YAlO(YAP)、Nd、ErAl(EAM)、ErAl12(EAG)、ErAlO(EAP)、GdAl(GAM)、GdAlO(GAP)、NdAl12、NdAl、NdAlO、又はYAl及びY-ZrOの固溶体で構成されるセラミック化合物を含み得る。
[0044]RF接地を提供するために(したがって、接地シールドとして機能するために)、接地シールド149は、導電性構成要素を含むべきである。したがって、接地シールド149は、接地シールド150のプレートの少なくとも上面に導電層151を含み得る。導電層151は、Mo(モリブデン)、W(タングステン)、Ta(タンタル)、Ni(ニッケル)、ハステロイ(登録商標)(ニッケル、モリブデン、及びクロムの合金)、インコネル(登録商標)(ニッケル、クロム、及び鉄の合金)、Ti(チタン)、Ti合金(TC4等)、ITO(酸化インジウムスズ)等から構成され得る。ここで使用する導電層とは、約20℃から約800℃の間の温度で電気抵抗率が5Ω・cm以下の層を意味する。導電層151は、固体層であり得る、又はパターニングされた又は印刷された層であり得る(例えば、ウェブ状パターン、グリッドパターン、ブルズアイパターン、スパイラルパターン等のような1又は複数のパターンを有する)。導電層151は、以下に更に説明するように、1又は複数の点で接地に接続され得る。接地シールド149はRF接地を提供するため、寄生容量及び/又はインダクタンスを最小化し、接地シールド149の様々な点において対象の周波数で同等の低インピーダンスを提示するために、接地への複数の経路を有し得る。
[0045]導電層151は、第1の保護層152でコーティングされる。第1の保護層152は、導電層151より厚くてよく、接地シールド149の本体のCTEに近いCTEを有する材料から構成され得る。第1の保護層152は、導電性又は非導電性の金属、合金、セラミック、及び他の複合材料から構成され得る。第1の保護層152は、良好な耐酸化性を有し得、450℃以上の温度でプラズマ耐性であり得る。実施形態では、第1の保護層152は、Al、YSiO、YSi、Ta、チタン合金(例えば、TC4)、SiC、Y、YAl、YAl12、YAlO、Y、石英、Si、AlN、AlON(酸窒化アルミニウム)、TiO(チタニア)、ZrO(ジルコニア)、TiC(炭化チタン)、ZrC(炭化ジルコニウム)、TiN(窒化チタン)、TiCN(炭窒化チタン)、Y安定化ZrO(YSZ)から構成され得る。
[0046]第1の保護層152は、第2の保護層153でコーティングされ得る。第2の保護層153は、第1の保護層152の全ての亀裂及び/又はポアを密封する薄い層であり得る。第2の保護層153は、YSiO、YSi、Ta、チタン合金(TC、TC4等)、SiC、YAl、YAl12、YAlO、Y、石英、Si、AlN、AlON、TiO、ZrO、TiC、ZrC、TiN、TiCN、Y安定化ZrO(YSZ)等から構成され得る。第2の保護層153はまた、Alマトリックス中に分配されたYAl12、Y-ZrO固溶体又はSiC-Si固溶体等のセラミック複合材から構成され得る。
[0047]第1の保護層152及び/又は第2の保護層153の他の例示的な組成物は、Y、Al、Er、YF、ErAl12、Y-O-F(例えば、Y)、ErAl12、ErAl、ErAlO、Y-ZrOの固溶体、及びYAl及びY-ZrOの固溶体を含むセラミック化合物を含む。
[0048]Y-ZrOの固溶体に関して、第1の保護層152及び/又は第2の保護層153は、10~90モル比(モル%)の濃度のY及び10~90モル%の濃度のZrOを含み得る。幾つかの例では、Y-ZrOの固溶体は、10~20モル%のY及び80~90モル%のZrOを含み得、20~30モル%のY及び70~80モル%のZrOを含み得、30~40モル%のY及び60~70モル%のZrOを含み得、40~50モル%のY及び50~60モル%のZrOを含み得、60~70モル%のY及び30~40%のZrOを含み得る。70~80モル%のY及び20~30モル%のZrOが含まれる場合があり、80~90モル%のY及び10~20モル%のZrO等が含まれる場合がある。
[0049]YAl及びY-ZrOの固溶体を含むセラミック化合物に関して、一実施形態では、セラミック化合物は、62.93モル%のY、23.23モル%のZrO、及び13.94モル%のAlを含む。別の実施形態では、セラミック化合物は、50~75モル%の範囲のY、10~30モル%の範囲のZrO、及び10~30モル%の範囲のAlを含み得る。別の実施形態では、セラミック化合物は、40~100モル%の範囲のY、0.1~60モル%の範囲のZrO、及び0.1~10モル%の範囲のAlを含み得る。別の実施形態では、セラミック化合物は、40~60モル%の範囲のY、30~50モル%の範囲のZrO、及び10~20モル%の範囲のAlを含み得る。別の実施形態では、セラミック化合物は、40~50モル%の範囲のY、20~40モル%の範囲のZrO、及び20~40モル%の範囲のAlを含み得る。別の実施形態では、セラミック化合物は、60~80モル%の範囲のY、0.1~10モル%の範囲のZrO、及び20~40モル%の範囲のAlを含み得る。別の実施形態では、セラミック化合物は、40~60モル%の範囲のY、0.1~20モル%の範囲のZrO、及び30~40モル%の範囲のAlを含み得る。他の実施形態では、他の分布もセラミック化合物に使用可能である。
[0050]一実施形態では、Y、ZrO、Er、Gd及びSiOの組み合わせを含む代替セラミック化合物が、接地シールド149の接地シールド本体に使用される。一実施形態では、代替セラミック化合物は、40~45モル%の範囲のY、0~10モル%の範囲のZrO、35~40モル%の範囲のEr、5~10モル%の範囲のGd、及び5~15モル%の範囲のSiOを含み得る。別の実施形態では、代替セラミック化合物は、30~60モル%の範囲のY、0~20モル%の範囲のZrO、20~50モル%の範囲のEr、0~10モル%の範囲のGd、及び0~30モル%の範囲のSiOを含み得る。第1の例では、代替セラミック化合物は、40モル%のY、5モル%のZrO、35モル%のEr、5モル%のGd、及び15モル%のSiOを含む。第2の例では、代替セラミック化合物は、45モル%のY、5モル%のZrO、35モル%のEr、10モル%のGd、及び5モル%のSiOを含む。第3の例では、代替セラミック化合物は、40モル%のY、5モル%のZrO、40モル%のEr、7モル%のGd、及び8モル%のSiOを含む。一実施形態では、接地シールド本体は、70~75モル%のY及び25~30モル%のZrOを含む材料から構成され得る。更なる実施形態では、接地シールド200本体は、73.13モル%のY及び26.87モル%のZrOを含むYZ-20という材料から構成される。
[0051]前述の多孔性コーティングのいずれも、ZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物等の微量の他の材料を含み得る。
[0052]図2は、接地シールド200の一実施形態を示す分解図である。接地シールド200は、図1に示す接地シールド149に対応し得る。接地シールドは、プレート204と、プレート204の上面から延在する隆起したエッジ206とを含み得る。一実施形態では、接地シールド200は、プレート204の下面から延在する中空シャフト214を更に含む。中空シャフト214は、内壁216及び外壁218を含む。
[0053]一実施形態では、プレート204は、保護されるべきヒータの形状にほぼ対応する形状を有し得る。例えば、ヒータの上部は円形であり得、プレート204は、図示したように、円盤形状を有し得る。プレート204は、約0.20インチから約2.00インチの間の厚さを有し得る。
[0054]一実施形態では、プレート204は、プレート204を貫通して穿孔された複数の孔を有し得る。複数の孔は、導電性プラグで充填され得る。導電性プラグは、プレート204の上面の導電層のための接地への経路を提供し得る。導電性プラグ(ビア等)については、図6を参照して以下で詳しく説明する。
[0055]隆起したエッジ206は、プレート204の上面から延在していてよく、エッジ内壁208、エッジ上面210、及びエッジ外壁212を含み得る。エッジ内壁208は、約0.20インチから約2.00インチの間の高さを有し得る。エッジ上面210は、約0.05インチから約0.50インチの間の幅を有し得る。エッジ外壁212は、約0.20インチから約4.00インチの間の高さを有し得る。一実施形態では、隆起したエッジ206は、接地されるべきヒータの形状にほぼ対応する形状を有し得る。例えば、エッジ内壁208は、隆起したエッジ206によって取り囲まれるヒータの円形ディスクの高さに対応する高さを有し得る。
[0056]別の実施形態では、接地シールド200は、プレート204の下面から延在する中空シャフト214を更に含み得る。中空シャフト214は、内壁216及び外壁218を含み得る。一実施形態では、中空シャフト214は、接地されるべきヒータの形状に対応する形状を有し得る。例えば、内壁216は、ヒータの円筒形シャフトの直径に対応する直径、又はそれよりわずかに大きい直径を有し得る。
[0057]一実施形態では、接地シールド200のプレート204及び中空シャフト214は、単一の構成要素(例えば、単一の焼結セラミック本体)であり得る。あるいは、プレート204は、中空シャフト214とは別個の構成要素であり得る。上記実施形態では、プレート204は、ボルト又は他の締結具等を用いて、中空シャフト214に結合させることができる。一実施形態では、中空シャフト214は、2つのセクションから構成され得、これらは、同一又はほぼ同一のセクションであり得る。これらのセクションは、ヒータのシャフトの周りで一体になる(例えば、共にボルト止めされる)場合がある。次に、中空シャフト214の組み合わされたセクションが、プレート204に固定され得る。
[0058]一実施形態では、接地シールド200は、バルク焼結セラミック材料を含み得る。接地シールド200は、Al、AlN、Si、SiC、SiN、ZrO、YAl12、YAl、Y、Y、Er、Gd、GdAl12、YF、Nd、ErAl、ErAl12(EAG)、ErAlO、GdAl、GdAlO、NdAl12、NdAl、又はNdAlOのうちの1又は複数の組成を有し得る。
[0059]一実施形態では、プレート204及び隆起したエッジ206は、中空シャフト214と同じ組成を有していなくてよい。例えば、プレート204及び隆起したエッジ206は、前述のバルク焼結セラミック材料のいずれかで構成され得、中空シャフト214は、ステンレス鋼又はアルミニウム等のより強い金属材料で構成され得る。
[0060]一実施形態では、接地シールド200は、バルク焼結セラミック材料から構成され、少なくともプレート204の上面に堆積された導電層220と、少なくとも導電層220に堆積された第1の保護層222とを更に含む。
[0061]導電層220は、Mo、W、Ta、Ti、TC4、ハステロイ(登録商標)、インコネル(登録商標)、ITO、又は高温環境で安定な別の導電性材料のうちの1又は複数の組成を有し得る。一実施形態では、導電層220は、450℃以上の温度(例えば、ハステロイ(登録商標))等の処理温度で良好な耐酸化性を提供する材料の組成を有する。導電層220は、従来の大気圧プラズマ溶射、低圧プラズマ溶射(LPPS)、真空プラズマ溶射(VPS)、スクリーン印刷、湿式化学堆積(例えば、ゾルゲル)、物理的気相堆積(PVD)、化学気相堆積(CVD)、エアロゾル堆積、蒸発、原子層堆積(ALD)、プラズマ化学気相堆積(PEVCVD)、イオンアシスト堆積(IAD)、イオンめっき、浸漬コーティング、スパッタリング、熱溶射、熱間静水圧プレス、冷間静水圧プレス、積層、圧縮成形、鋳造、圧縮、スクリーン印刷、焼結又は共焼結技法によって堆積され得る。導電層220は、約0.05μmから2.00mmの間の厚さを有し得る。
[0062]第1の保護層222は、耐プラズマ性セラミック材料から構成され得る。第1の保護層は、Al、YSiO、YSi、Ta、Ta、チタン合金(TC4等)、SiC、Y、YAl、YAl12、YAlO、Y、石英、Si、AlN、AlON、TiO、ZrO、TiC、ZrC、TiN、TiCN、Y安定化ZrO等の組成を有し得る。第1の保護層222はまた、Alマトリックス中に分配されたYAl12、Y-ZrO固溶体又はSiC-Si固溶体等のセラミック複合材から構成され得る。第1の保護層222はまた、固溶体を含む酸化イットリウム(イットリア及びYとしても知られる)を含むセラミック複合材であり得る。例えば、第1の保護層222は、化合物YAl及び固溶体Y-xZr(Y-ZrO固溶体)から構成されるセラミック複合材から構成され得る。純粋な酸化イットリウム及び酸化イットリウム含有固溶体は、ZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物のうちの1又は複数でドープされ得ることに留意されたい。
[0063]一実施形態では、第1の保護層222は、化合物YAl及び固溶体Y-xZr(Y-ZrO固溶体)を含む複合セラミックコーティングから構成され得る。更なる実施形態では、第1の保護層222の組成物は、62.93モル%のY、23.23モル%のZrO、及び13.94モル%のAlを含み得る。別の実施形態では、複合セラミックコーティングは、50~75モル%の範囲のY、10~30モル%の範囲のZrO、及び10~30モル%の範囲のAlを含み得る。他の実施形態では、他の分布もまた、複合セラミックコーティングに使用され得る。一実施形態では、複合セラミックは、ZrO、Al、又はそれらの組み合わせのうちの1又は複数と混合することができる固溶体を含む酸化イットリウムである。
[0064]一実施形態では、第1の保護層222は、35モル%のY、65モル%のAlから構成されるイットリウムアルミニウムガーネット(YAG)から構成され得る。別の実施形態では、第1の保護層222は、30~40モル%のY及び60~70モル%のAlから構成されるYAGから構成され得る。
[0065]第1の保護層222はまた、本明細書において上述した他の材料から構成され得る。
[0066]第1の保護層222は、従来の大気圧プラズマ溶射、LPPS、VPS、スクリーン印刷、湿式化学堆積(例えば、ゾルゲル)、PVD、CVD、エアロゾル堆積、蒸発、PECVD、IAD、イオンめっき、浸漬コーティング、スパッタリング、熱溶射、熱間静水圧プレス、冷間静水圧プレス、積層、圧縮成形、鋳造、圧縮、スクリーン印刷、焼結又は共焼結技法によって堆積され得る。一実施形態では、第1の保護層222は、約50.00nmから約2.00mmの間の厚さを有し得る。別の実施形態では、第1の保護層222は、約1.00μmから約2.00mmの間の厚さを有し得る。一実施形態では、第1の保護層222は、約0.10から10.0%(例えば、約0.10から1%、1から5%、1から3%、3から5%、5から7%、及び5から7%等)の亀裂及び多孔度を有し得る。
[0067]一実施形態では、第1の保護層222は、Alから構成され得、従来の大気圧プラズマ溶射、LPPS、又はVPSのいずれかによって堆積され得る。更なる実施形態では、第1の保護層222は、ほぼゼロの多孔度(例えば、0.1%未満の多孔度)を有し得る。
[0068]一実施形態では、第1の保護層222は、特定の滑らかさに研磨される。第1の保護層222は、グラインダ又は化学機械平坦化(CMP)機によって研磨され得る。グラインダは、物品の表面を研削及び/又は研磨する研磨ディスクを有する機械である。グラインダ又はCMP機は、第1の保護層222の表面を研削して、層の粗さを低減し、及び/又は層の厚さを低減することができる。一実施形態では、第1の保護層222は、0.10ミクロン以下の平均粗さを有するように研磨され得る。
[0069]一実施形態では、第1の保護層222に使用される材料は、セラミック接地シールド200と第1の保護層222との間のCTEミスマッチを最小化して、処理中に第1の保護層222を損傷し得る熱機械的応力を回避するために、第1の保護層222のCTEがセラミック接地シールド200のCTEと一致するように適切に選択され得る。一実施形態では、第1の保護層222のCTEは、セラミック接地シールド200(例えば、セラミック接地シールド200のプレート)のCTEの約2.5 10-6/℃以内の値の差を有する。一実施形態では、セラミック接地シールド200は、Alから構成され得、第1の保護層222は、セラミック接地シールド200のCTEの約2.5 10-6/℃以内のCTE差を有する材料から構成され得る。
[0070]更なる実施形態では、接地シールド200は、少なくともプレート204の上面に堆積された導電層220と、少なくとも導電層220に堆積された第1の保護層222と、少なくとも第1の保護層222に堆積された少なくとも第2の保護層224とを更に含む、バルク焼結セラミック材料から構成され得る。
[0071]導電層220は、本明細書に開示のいずれかの導電性材料から構成され得る。
第1の保護層222は、本明細書に開示のいずれかのセラミック材料から構成され得る。
[0072]第2の保護層224は、Y、Er、Ta、YF、Al、AlF、ZrO、及びそれらの組み合わせから構成され得る。第2の保護層224はまた、YSiO、YSi、Ta、チタン合金(例えば、TC4)、SiC、YAl、YAl12、YAlO、Y、石英、Si、AlN、AlON、TiO、ZrO、TiC、ZrC、TiN、TiCN、Y安定化ZrO等からも構成され得る。第2の保護層224はまた、Alマトリックス中に分配されたYAl12、Y-ZrO固溶体又はSiC-Si固溶体等のセラミック複合材であり得る。第2の保護層224はまた、酸化イットリウム(イットリア及びYとしても知られる)含有固溶体を含むセラミック複合材であり得る。例えば、第2の保護層224は、化合物YAl及び固溶体Y-xZr(Y-ZrO固溶体)から構成されるセラミック複合材であり得る。純粋な酸化イットリウム及び酸化イットリウム含有固溶体は、ZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物のうちの1又は複数でドープされ得ることに留意されたい。
[0073]一実施形態では、第2の保護層224は、化合物YAl及び固溶体Y-xZr(Y-ZrO固溶体)から構成される複合セラミックコーティングである。更なる実施形態では、第2の保護層224の組成物は、62.93モル%のY、23.23モル%のZrO、及び13.94モル%のAlを含み得る。別の実施形態では、第2の保護層224は、50~75モル%の範囲のY、10~30モル%の範囲のZrO、及び10~30モル%の範囲のAlを含み得る。他の実施形態では、他の分布もまた、複合セラミックコーティングに使用され得る。一実施形態では、複合セラミックは、ZrO、Al、又はそれらの組み合わせのうちの1又は複数と混合され得る酸化イットリウム含有固溶体である。
[0074]一実施形態では、第2の保護層224は、35モル%のY、65モル%のAlから構成されるYAGから構成され得る。別の実施形態では、第2の保護層224は、30~40モル%のY及び60~70モル%のAlから構成されるYAGであり得る。第2の保護層224は、0.1から10.0%の間の多孔度を有し得る。
[0075]第2の保護層224はまた、第1の保護層224を参照して本明細書で上記に開示の他の材料のいずれかから構成され得る。
[0076]第2の保護層224は、従来の大気圧プラズマ溶射、LPPS、VPS、スクリーン印刷、湿式化学堆積(例えば、ゾルゲル)、PVD、CVD、エアロゾル堆積、蒸発、PEVCVD、IAD、イオンめっき、浸漬コーティング、スパッタリング、熱溶射、熱間静水圧プレス、冷間静水圧プレス、積層、圧縮成形、鋳造、圧縮、スクリーン印刷、焼結又は共焼結技法によって堆積され得る。一実施形態では、第2の保護層224は、ALDによって堆積され得る。
[0077]一実施形態では、第2の保護層224は、約50.00nmから約2.00mm又はそれ以上の厚さを有し得る。別の実施形態では、第2の保護層224は、約1.00μmから約2.00mmの間の厚さを有し得る。第2の保護層224は、共形層であり得、ほぼゼロの多孔度(例えば、0.1%未満の多孔度)を有し得る。一実施形態では、第1の保護層222は、約0.10~10.0%(例えば、約0.10~1%、1~5%、1~3%、3~5%、及び5~7%等)の亀裂及び多孔度を有し得る。第2の保護層224は、第1の保護層222のポア及び/又は亀裂をシールするトップコート層であり得る。第2の保護層224は非常に薄いため、第2の保護層224のCTEは、第1の保護層222又はプレート204のCTEと一致しない可能性がある。
[0078]幾つかの実施形態では、第2の保護層224は、第1の保護層222の前に堆積される。上記実施形態では、第2の保護層224は、導電層220を、第1の保護層222の亀裂及び/又はポアに浸透するガス及び/又はプラズマから保護し得る。
[0079]一実施形態に係る導電層220、第1の保護層222、及び第2の保護層224を、プレート204の上面を覆うものとして示す。代替実施形態では、導電層220、第1の保護層222及び/又は第2の保護層224のうちの1又は複数は、エッジ内壁208、エッジ上面210、エッジ外壁212、シャフト内部216、シャフト外部218、プレート204の下面、及び/又は接地シールド200の他の面を更に覆い得る。これらの実施形態では、導電層220は、接地シールド200の機能を促進するために、接地への代替経路を提供し得る。例えば、導電層220は、シャフト内壁216又はシャフト外部218を覆い得る。幾つかの実施形態を、図3A~図5Eを参照しながら以下に示す。
[0080]一実施形態では、第1の保護層222の材料は、塩素ガス処理環境に対する耐性について適切に選択され得る。例えば、第1の保護層222は、チタン合金(例えば、TC4)、ハステロイ(登録商標)、又は目標CTE値を有する任意の他の塩素耐性材料から構成され得る。一実施形態では、第2の保護層224の材料はまた、塩素ガス処理環境に対する耐性について適切に選択され得、目標CTE値を有する上記の塩素耐性材料のいずれかから構成され得る。
[0081]一実施形態では、第1の保護層222の材料は、フッ素ガス処理環境に対する耐性について適切に選択され得る。例えば、第1の保護層222は、YF、AlF、Er、又は任意の他のフッ素耐性材料から構成され得る。一実施形態では、第2の保護層224の材料はまた、フッ素ガス処理環境に対する耐性について適切に選択され得、目標CTE値を有する上記のフッ素耐性材料のいずれかから構成され得る。
[0082]更なる実施形態では、接地シールド200は、第2の保護層224に堆積される第3の保護層(図示せず)を更に含み得る。第3の保護層は、Y、Er、Ta、YF、Al、AlF、ZrO、及びそれらの組み合わせから構成され得る。一実施形態では、第3の保護層は、約0.10~10.0%(例えば、約0.10~1%、1~5%、1~3%、3~5%、5~7%等)の亀裂及び多孔度を有し得る。別の実施形態では、第3の保護層は、共形層であり得、ほぼゼロの多孔度(例えば、0.1%未満の多孔度)を有し得る。
[0083]第3の保護層は、従来の大気圧プラズマ溶射、LPPS、VPS、スクリーン印刷、湿式化学堆積(例えば、ゾルゲル)、PVD、CVD、ALD、エアロゾル堆積、蒸発、PECVD、IAD、イオンめっき、浸漬コーティング、スパッタリング、熱溶射、熱間静水圧プレス、冷間静水圧プレス、積層、圧縮成形、鋳造、圧縮、スクリーン印刷、焼結又は共焼結技法によって堆積され得る。第3の保護層は、約50nmから5μm又はそれ以上の厚さを有し得る。第3の保護層は、共形層であり得る。
[0084]一実施形態では、接地シールド200は、導電性材料から構成され得、プレート204の少なくとも上面に堆積された第1の保護層222と、第1の保護コーティング222に堆積された第2の保護コーティング224とを更に含み得る。上記実施形態では、導電層220は省略され得る。
[0085]導電性材料は、Mo、W、Ta、ハステロイ(登録商標)、インコネル(登録商標)、ITO、Si、又はSiC、又は高温環境で安定な他の任意の材料のうちの1又は複数の組成を有し得る。一実施形態では、導電性金属マトリックス複合材(MMC)材料が、接地シールド200に使用される。MMC材料は、金属マトリックスと、マトリックス全体に埋め込まれ、分散された補強材料とを含む。金属マトリックスは、単一の金属又は2つ以上の金属又は金属合金を含み得る。使用できる金属には、アルミニウム(Al)、マグネシウム(Mg)、チタン(Ti)、コバルト(Co)、コバルト-ニッケル合金(CoNi)、ニッケル(Ni)、クロム(Cr)、金(Au)、銀(Ag)又はそれらの様々な組み合わせが含まれるが、これらに限定されない。補強材料は、MMCに所望の構造強度を提供するように選択され得、例えば、熱伝導率及びCTE等のMMCの他の特性に所望の値を提供するようにも選択され得る。使用できる補強材料の例には、Si、炭素(C)、又はSiCが含まれるが、他の材料も使用できる。
[0086]第1の保護層222及び第2の保護層224は、本明細書で上述した適切な材料のいずれかから構成され得る。
[0087]一実施形態では、第1の保護層222に使用される材料は、導電性接地シールド200と第1の保護層222との間のCTEミスマッチを最小化して、処理中に第1の保護層222を損傷し得る熱機械的応力を回避するために、第1の保護層222のCTEが導電性接地シールド200のCTEと一致するように適切に選択され得る。別の実施形態では、第1の保護層222に使用される材料は、第1の保護層222のCTEが導電性接地シールド220のCTEと実質的に一致する(例えば、2.5 10-6/℃以内である)ように適切に選択され得る。一実施形態では、接地シールド200の本体は、接地シールド200の本体のCTEがAl(又は第1の保護層222について上に列挙した他のセラミック材料の1つ)のCTEと実質的に一致するように適切に選択された材料から構成され得、第1の保護層222は、Al(又は第1の保護層について上に列挙された他の材料の1つ)から構成され得る。例えば、接地シールド200の本体は、AlのCTEと一致するCTEを有するチタン合金(例えば、TC4)から構成され得、第1の保護層222は、Alから構成される。別の実施形態では、接地シールド200の本体は、接地シールド200の本体のCTEがAlのCTEの2.5 10-6/℃以内であり得るように適切に選択された材料から構成され得る。別の実施形態では、接地シールド200の本体はSiCで構成され得、第1の保護層222はYSiC又はYSiで構成される。
[0088]図3A~図3Dは、導電層220及び第1の保護層222を備えた図2に示す構造を含む、セラミック接地シールド200の様々な実施形態を示す断面側面図である。図3Aに、プレート204の上面に導電層302が堆積され得る一実施形態を示す。導電層302に、第1の保護層304が堆積され得る。図3Bに、エッジ内壁208及びエッジ上面210に、導電層302が更に堆積され得る代替実施形態を示す。導電層302に、第1の保護層304が堆積され得る。図3Cに、プレート204の上面及び中空シャフト214の内壁216に導電層302が堆積され得る別の代替実施形態を示す。導電層302に、第1の保護層304が堆積され得る。図3Dに、プレート204の上面、エッジ内壁208、エッジ上面210、エッジ外壁212、プレート204の下面、及び中空シャフト214の外壁218に導電層302が堆積され得る別の代替実施形態を示す。
[0089]更なる実施形態では、導電層302及び第1の保護層304は、図示の例でこれらの層が覆っているのを示す接地シールド200のいずれかの面に加えて、接地シールド200の各面を完全に覆い得る、又はエッジ外壁212及び/又はプレート204の下面を覆い得る。更なる実施形態では、導電層302が、図示した接地シールド200のいずれかの面を覆い得るが、第1の保護層304は、図示の例で第1の保護層304が覆っているのを示す接地シールド200のいずれかの面に加えて、接地シールド200の各面を完全に覆い得る、あるいは、エッジ外壁212及び/又はプレート204の下面を覆い得る。
[0090]図3A~図3Dに示す実施形態のいずれにおいても、第1の保護層304は、導電層302を完全に覆っていてよく、その結果、導電層302は、図1に示す処理チャンバの処理環境に暴露されなくてよい。これにより、導電層302の、高酸化及び/又は腐食環境の存在下での腐食が回避され得る。更に、図3A~図3Dに示す実施形態のいずれかにおいて、導電層302によって覆われていない接地シールド200の任意の面に、第1の保護層304が堆積され得る。例えば、プレート204の上面に、導電層302が堆積され得、導電層302及びエッジ内壁208に、第1の保護層304が堆積され得る。
[0091]図示していない別の実施形態では、接地シールド200は、セラミック材料から構成され得る。プレート204の上面、エッジ内壁208、エッジ上面210、及びエッジ外壁212上に、導電層302が堆積され得る。導電層302に、第1の保護コーティング304が堆積され得る。更なる実施形態では、プレート204の下面にも、導電層302が堆積され得る。導電層302上に、第1の保護層304が堆積され得る。
[0092]図4A~図4Dは、導電層220、第1の保護層222、及び第2の保護層224を備えた図2に示す構造を含むセラミック接地シールド200の様々な実施形態を示す断面側面図である。図4Aに、プレート204の上面に導電層402が堆積され得る一実施形態を示す。導電層402に、第1の保護層404が堆積され得る。第1の保護層404に、第2の保護層406が堆積され得る。図4Bに、プレート204の上面、エッジ内壁208、及びエッジ上面210に導電層402が堆積され得る代替実施形態を示す。導電層402に、第1の保護層404が堆積され得る。第1の保護層404に、第2の保護層406が堆積され得る。図4Cに、プレート204の上面及び中空シャフト214の内壁216に導電層402が堆積され得る別の代替実施形態を示す。導電層402に、第1の保護層404が堆積され得る。第1の保護層404に、第2の保護層406が堆積され得る。図4Dに、プレート204の上面、エッジ内壁208、エッジ上面210、エッジ外壁212、プレート204の下面、及び中空シャフト214の外壁218に導電層402が堆積され得る別の代替実施形態を示す。導電層402に、第1の保護層404が堆積され得る。第1の保護層404に、第2の保護層406が堆積され得る。上記のすべての実施形態において、第2の保護層406に、第3の保護層(図示せず)が堆積され得る。
[0093]更なる実施形態では、図示の例で導電層402、第1の保護層404、及び第2の保護層406が覆っているのを示す接地シールド200のいずれかの面に加えて、これらの層が、接地シールドの各面を完全に覆い得る、又はエッジ外壁212及び/又はプレート204の下面を覆い得る。更なる実施形態では、導電層402が、図示した接地シールド200のいずれかの面を覆い得るが、図示の例で第1の保護層404及び第2の保護層406が覆っているのを示す接地シールド200のいずれかの面に加えて、第1の保護層404及び第2の保護層406が、接地シールド200の各面を完全に覆い得る、あるいは、エッジ外壁212及び/又はプレート204の下面を覆い得る。更なる実施形態では、導電層402及び第1の保護層404が、図示した接地シールド200のいずれかの面を覆い得るが、図示の例で第2の保護層406が覆っているのを示す接地シールド200のいずれかの面に加えて、第2の保護層406が、接地シールド200の各面を完全に覆い得る、あるいはエッジ外壁212及び/又はプレート204の下面を覆い得る。
[0094]図4A~図4Dに示す実施形態のいずれかにおいて、導電層402が図1に示す処理環境に暴露されないように、第1の保護層404及び/又は第2の保護層406が、導電層402を完全に覆い得る。これにより、導電層402の、プラズマの高酸化及び/又は腐食環境の存在下での腐食が回避され得る。更に、図4A~図4Dに示す実施形態のいずれかにおいて、導電層402を含まない接地シールド200の面に、第1の保護層404及び/又は第2の保護層406が堆積され得る。例えば、プレート204の上面に、導電層402が堆積され得、導電層402及びエッジ内壁208に、第1の保護層404が堆積され得る。更に、第1の保護層404、エッジ内壁208に、第2の保護層406が堆積され得、また、接地シールド200の追加の面にも堆積され得る。
[0095]図示していない別の実施形態では、接地シールド200は、セラミック材料から構成され得る。プレート204の上面、エッジ内壁208、エッジ上面210、及びエッジ外壁212上に、導電層402が堆積され得る。導電層402に、第1の保護層404が堆積され得る。第1の保護層404上に、第2の保護層406が堆積され得る。更なる実施形態では、プレート204の下面上にも、導電層402が堆積され得る。導電層402に、第1の保護層404が堆積され得る。第1の保護層404に、第2の保護層406が堆積され得る。
[0096]図5A~図5Eは、第1の保護層222及び第2の保護層224を備えた図2に示す構造を含む、導電接地シールド200の様々な実施形態を示す断面側面図である。図5Aに、プレート204の上面に第1の保護層502が堆積され得る一実施形態を示す。第1の保護層502に、第2の保護層504が堆積され得る。図5Bに、プレート204の上面、エッジ内壁208、及びエッジ上面210に第1の保護層502が堆積され得る代替実施形態を示す。第1の保護層502に、第2の保護層504が堆積され得る。図5Cに、プレート204の上面及び中空シャフト214の内壁216に第1の保護層502が堆積され得る別の代替実施形態を示す。第1の保護層502に、第2の保護層504が堆積され得る。図5Dに、プレート204の上面、エッジ内壁208、エッジ上面210、エッジ外壁212、プレート204の下面、及び中空シャフト214の外壁218に第1の保護層502が堆積され得る別の代替実施形態を示す。第1の保護層502に、第2の保護層504が堆積され得る。図5Eに、接地シールド200のすべての面に第1の保護層502が堆積され得る別の代替実施形態を示す。第1の保護層502に、第2の保護層504が堆積され得る。図1に示す処理環境への曝露を回避するために、接地シールド200のすべての面に、第1の保護層502及び/又は第2の保護層504が堆積され得る。これにより、接地シールド200の、高酸化及び/又は腐食環境の存在下での腐食が回避され得る。上記のすべての実施形態において、第2の保護層504に、第3の保護層(図示せず)が堆積され得る。
[0097]更なる実施形態では、図示の例で第1の保護層502及び第2の保護層504が覆っているのを示す接地シールド200の任意の面に加えて、第1の保護層502及び第2の保護層504が接地シールド200の面を完全に覆い得る、又はエッジ外壁212及び/又はプレート204の下面を覆い得る。更なる実施形態では、第1の保護層502が図示した接地シールド200の任意の面を覆い得るが、第2の保護層504は、図示の例で第2の保護層504が覆っているのを示す接地シールド200の任意の面に加えて、エッジ外壁212及び/又はプレート204の下面を覆い得る。
[0098]図5A~図5Eに示すいずれかの実施形態において、第1の保護層502によって覆われていない接地シールド200の任意の面に、第2の保護層504が堆積され得る。例えば、プレート204の上面に第1の保護層502が堆積され得、第1の保護層502及びエッジ内壁208に第2の保護層504が堆積され得る。
[0099]図示していない別の実施形態では、接地シールド200は、導電性材料から構成され得る。プレート204の上面、エッジ内壁208、エッジ上面210、及びエッジ外壁212に、第1の保護層502が堆積され得る。第1の保護層502に、第2の保護層504が堆積され得る。更なる実施形態では、プレート204の下面にも、第1の保護層502が堆積され得る。第1の保護層502に、第2の保護層504が堆積され得る。図1に示す処理チャンバの処理環境への曝露を回避するために、接地シールド200のすべての面に、第1の保護層502及び/又は第2の保護層504が堆積され得る。これにより、接地シールド200の、高酸化及び/又は腐食環境の存在下での腐食が回避され得る。
[0100]図6は、セラミック接地シールド200の一実施形態を示す断面側面図であり、プレート204を貫通して複数の孔608が穿孔され得、導電性プラグ610で充填され得る。導電性プラグ610は、RF信号のための導電経路を提供し得る。
[0101]導電性プラグ610は、Mo、W、Ta、ハステロイ(登録商標)、インコネル(登録商標)、ITO、又は別の導電性材料のうちの1又は複数の組成を有し得る。プレート204の上面及び導電性プラグ610の面に、導電層602が堆積され得る。導電層602に、第1の保護層604が堆積され得る。第1の保護層604上に、第2の保護層606が堆積され得る。第1の保護層604は、本明細書に記載の任意のセラミック材料の組成を有し得る。同様に、第2の保護層606は、本明細書で以前に記載の任意のセラミック材料の組成を有し得る。代替実施形態では、第1の保護層604及び/又は第2の保護層606が、接地シールド200の追加の面を覆い得る。例えば、第1の保護層604及び/又は第2の保護層606は、エッジ内壁208、エッジ上面210、エッジ外壁212、プレート204の下面、中空シャフト214の内壁216、及び中空シャフト214の外壁218のうちの少なくとも1つに堆積され得る。
[0102]図7に、接地シールドを形成するための第1のプロセス700を示す。ブロック702において、セラミック接地シールド本体が配設される。配設されるセラミック接地シールド本体は、プレート及びプレートの上面から延在する隆起したエッジであり得る。隆起したエッジは、エッジ内壁、エッジ上面、及びエッジ外壁を含み得る。接地シールド本体は、内壁及び外壁を含む中空シャフトを更に含み得る。一実施形態では、セラミック接地シールド本体は、ほぼ目標のサイズ及び形状を有するグリーンボディに焼結を実施することによって製造される。焼結プロセスの後、焼結セラミック本体を機械的に処理して、より高い精度で目標寸法を達成することができる。一実施形態では、接地シールド本体は、2つ又は3つの別個の構成要素から構成され得る。これらの構成要素を、焼結して更に個別に処理することができる。あるいは、構成要素のうちの1又は複数は、焼結セラミック材料でなくてもよい(例えば、ステンレス鋼等の金属であり得る)。例えば、接地シールドプレートは焼結セラミックであり得、接地シールドのシャフトはステンレス鋼又は別の金属であり得る。
[0103]ブロック704において、複数の孔が、接地シールド本体のプレートを貫通して穿孔され得る。ブロック706において、複数の孔が、複数の導電性プラグで充填され得る。導電性プラグはプレートの下面でリード線に接続され得、リード線は接地され得る。
[0104]ブロック708において、少なくとも接地シールド本体の上面が粗面化され得る。接地シールド本体の上面の粗さは、ビードブラスタを使用することで達成できる。ビードブラスタは、ビードブラストキャビネット、ハンドヘルドビードブラスタ、自動ビードブラスタ、又は他のいずれかの種類のビードブラスタであり得る。代替実施形態では、接地シールド本体の上面の粗さは、電動研磨パッドを使用することによって達成することができる。接地シールド本体の上面(例えば、プレートの上面)は、約0.10ミクロンから約6.00ミクロンの間の目標粗さまで粗面化され得る。これにより、導電層及び/又は第1の保護層の接地シールド本体への接着が改善し得る。例えば、プラズマ溶射コーティングの接着は、最初に接地シールド本体の面を粗面化することによって改善され得る。
[0105]ブロック710において、少なくとも接地シールド本体の上面に、導電層が堆積され得る。導電層は、本明細書に記載のいずれかの導電性材料から構成され得る。導電層は、従来の大気圧プラズマ溶射、LPPS、VPS、スクリーン印刷、湿式化学気相堆積(例、ゾルゲル)、PVD、CVD、エアロゾル堆積、蒸発、ALD、PECVD、IAD、イオンめっき、浸漬コーティング、スパッタリング、熱溶射、熱間静水圧プレス、冷間静水圧プレス、積層、圧縮成形、鋳造、圧縮、スクリーン印刷、焼結又は共焼結技法によって堆積され得る。
[0106]ブロック712において、導電層に、第1の保護層が堆積され得る。第1の保護層は、本明細書に記載のいずれかのセラミック材料から構成され得る。第1の保護層は、従来の大気圧プラズマ溶射、LPPS、VPS、スクリーン印刷、湿式化学堆積(例えば、ゾルゲル)、CVD、PVD、エアロゾル堆積、蒸発PECVD、イオンアシスト堆積(IAD)、イオンめっき、及びそれらの組み合わせによって堆積され得る。例えば、PVD、CVD、ALD、PECVD、又はIAD等の技法を使用して導電層を堆積させる場合、導電層は共形層であり得、導電層の面は、下にある接地シールド本体のおおよその粗さ(例えば、接地シールド本体の面の目標粗さ)を有し得る。したがって、第1の保護層が、例えば、プラズマ溶射又はエアロゾル堆積によって堆積される場合、導電層の表面粗さにより、導電層への第1の保護層の接着が改善し得る。
[0107]ブロック714において、第1の保護層によって覆われた接地シールド本体の面が研磨され得る。研磨は、例えば、グラインダ又はCMPマシンによって実施され得る。第1の保護層は、約0.10ミクロンから約2.00ミクロンの間の平均表面粗さまで研磨され得る。
[0108]ブロック716において、第1の保護層に、第2の保護層が堆積され得る。第2の保護層は、本明細書に記載のいずれかのセラミック材料から構成され得る。第2の保護層は、従来の大気圧プラズマ溶射、LPPS、VPS、スクリーン印刷、湿式化学堆積(例、ゾルゲル)、PVD、CVD、ALD、エアロゾル堆積、蒸発、ALD、PECVD、IAD、イオンめっき、浸漬コーティング、スパッタリング、熱溶射、熱間静水圧プレス、冷間静水圧プレス、積層、圧縮成形、鋳造、圧縮、スクリーン印刷、焼結又は共焼結技法によって堆積され得る。一実施形態では、第2の保護層は、ALD又はCVD、プラズマ浸漬イオン堆積(PIID)、湿式化学堆積(例えば、ゾルゲル)、又はめっき等の非見通し堆積技法によって堆積され得る。一実施形態では、第2の保護層は、第1の保護層の亀裂及び/又はポアをシールする、多孔度がほぼゼロの共形保護層であり得る。
[0109]ブロック718において、第2の保護層に、第3の保護層が堆積され得る。第3の保護層は、本明細書に記載のいずれかのセラミック材料から構成され得る。第3の保護層は、従来の大気プラズマ溶射、LPPS、VPS、スクリーン印刷、湿式化学堆積(例えば、ゾルゲル)、PVD、CVD、ALD、エアロゾル堆積、蒸発、ALD、PECVD、IAD、イオンめっき、浸漬コーティング、スパッタリング、熱溶射、熱間静水圧プレス、冷間静水圧プレス、積層、圧縮成形、鋳造、圧縮、スクリーン印刷、焼結又は共焼結技法によって堆積され得る。
[0110]接地シールド本体が複数の異なる構成要素から構成され得る場合、構成要素のうちの1又は複数の幾つか又はすべてが、導電層、第1の保護層、第2の保護層、及び/又は第3の保護層でコーティングされていてよい。続いて、複数の構成要素が組み立てられ得る。例えば、接地シールドシャフトの両半分は共にヒータシャフトの周りに取り付けられ得、接地シールドシャフトの組み合わされた両半分が、ヒータを取り囲む接地シールドプレートに取り付けられ得る。
[0111]図8に、接地シールドを形成するための第2のプロセス800を示す。ブロック802において、導電接地シールド本体が配設される。配設される導電接地シールド本体は、プレートと、プレートの上面から延在する隆起したエッジとを含み得る。隆起したエッジは、エッジ内壁、エッジ上面、及びエッジ外壁を含み得る。接地シールド本体は、内壁及び外壁を含む中空シャフトを更に含み得る。導電接地シールド本体は、接地シールド本体について本明細書に記載の導電性材料のいずれかによって形成され得る。一実施形態では、接地シールド本体の面が(例えば、ビードブラストによって)粗面化される。
[0112]ブロック804において、少なくとも接地シールド本体の上面に、第1の保護層が堆積され得る。第1の保護層は、本明細書に記載のいずれかのセラミック材料から構成され得る。第1の保護層は、従来の大気圧プラズマ溶射、LPPS、VPS、スクリーン印刷、湿式化学堆積(例、ゾルゲル)、PVD、CVD、ALD、エアロゾル堆積、蒸発、PECVD、IAD、イオンめっき、浸漬コーティング、スパッタリング、熱溶射、熱間静水圧プレス、冷間静水圧プレス、積層、圧縮成形、鋳造、圧縮、スクリーン印刷、焼結又は共焼結技法によって堆積され得る。
[0113]ブロック806において、第1の保護層によって覆われた接地シールド本体の面が研磨され得る。
[0114]ブロック808において、第1の保護層に、第2の保護層が堆積され得る。第2の保護層は、本明細書に記載のいずれかのセラミック材料から構成され得る。第2の保護層は、従来の大気圧プラズマ溶射、LPPS、VPS、スクリーン印刷、湿式化学堆積(例、ゾルゲル)、PVD、CVD、ALD、エアロゾル堆積、蒸発、ALD、PECVD、IAD、イオンめっき、浸漬コーティング、スパッタリング、熱溶射、熱間静水圧プレス、冷間静水圧プレス、積層、圧縮成形、鋳造、圧縮、スクリーン印刷、焼結又は共焼結技法によって堆積され得る。一実施形態では、第2の保護層は、ALD又はCVD、プラズマ浸漬イオン堆積(PIID)、湿式化学堆積、又はめっき等の非見通し堆積技法によって堆積される。
[0115]ブロック810において、第2の保護層に、第3の保護層が堆積され得る。第3の保護層は、本明細書に記載のいずれかのセラミック材料から構成され得る。第3の保護層は、従来の大気圧プラズマ溶射、LPPS、VPS、スクリーン印刷、湿式化学堆積(例、ゾルゲル)、PVD、CVD、ALD、エアロゾル堆積、蒸発、ALD、PECVD、IAD、イオンめっき、浸漬コーティング、スパッタリング、熱溶射、熱間静水圧プレス、冷間静水圧プレス、積層、圧縮成形、鋳造、圧縮、スクリーン印刷、焼結又は共焼結技法によって堆積され得る。
[0116]前述の説明は、本開示の幾つかの実施形態の十分な理解を提供するために、特定のシステム、構成要素、方法等の例等の多数の特定の詳細を示すものである。しかしながら、本開示の少なくとも幾つかの実施形態は、これらの特定の詳細なしで実施され得ることが当業者には明らかであろう。他の例では、本開示を不必要に曖昧にしないために、周知の構成要素又は方法を詳細には説明していない、又は単純なブロック図形式で提示している。したがって、記載の特定の詳細は単なる例示にすぎない。特定の実装態様は、これらの例示的な詳細とは異なる場合があり、それでも、本開示の範囲内であると考えられる。
[0117]本明細書全体の「一実施形態」又は「実施形態」への言及は、実施形態に関連して説明する特定の特徴、構造、又は特性が少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な場所での「一実施形態において」又は「実施形態において」という句の出現は、必ずしもすべてが同じ実施形態を指すとは限らない。更に、「又は」という用語は、排他的「又は」ではなく、包括的「又は」を意味する。本明細書で「約」又は「ほぼ」という用語が使用される場合、これは、提示される公称値が±10%以内で正確であることを意味する。
[0118]本明細書の方法の工程を、特定の順序で示し、説明しているが、各方法の工程の順序は、特定の工程が逆の順序で実施され得るように、又は特定の工程が少なくとも部分的に他の工程と同時に実施され得るように変更され得る。別の実施形態では、個別の工程の命令又は副工程は、断続的及び/又は交互の方法であり得る。一実施形態では、複数の金属結合工程が単一のステップとして実施される。
[0119]上記の説明は、例示的なものであり、限定的なものではないことを理解されたい。上記の説明を読んで理解すれば、他の多くの実施形態が当業者には明らかとなる。したがって、本開示の範囲は、上記特許請求の範囲が権利を得た同等物の全範囲とともに、添付の特許請求の範囲を参照して決定されるべきである。
また、本願は以下に記載する態様を含む。
(態様13)
処理チャンバの接地シールドであって、
プレートと、前記プレートの上面から延在する隆起したエッジとを含み、ヒータが前記プレートの上面上の前記隆起したエッジ内に適合し、前記隆起したエッジが、エッジ内壁、エッジ上面、及びエッジ外壁を含む、導電性本体と、
少なくとも前記プレートの上面上の第1の保護層であって、約1.00μmから2.00mmの厚さを有し、0.1から10.0%の多孔度を有し、アルミナ、Y SiO 、Y Si 、Y 、タンタル、炭化ケイ素、イットリア、酸化エルビウム、Y -ZrO 固溶体、Y Al 及びY -ZrO 固溶体を含む材料、又はそれらの組み合わせのうちの少なくとも1つを含む、第1の保護層と、
少なくとも前記第1の保護層上の第2の保護層であって、共形層であり、50.00nmから5.00μmの厚さを有し、0.1%未満の多孔度を有し、酸化イットリウム、酸化エルビウム、酸化タンタル、フッ化イットリウム、アルミナ、フッ化アルミニウム、二酸化ジルコニウム、Y -ZrO 固溶体、Y Al 及びY -ZrO 固溶体を含む材料、又はそれらの組み合わせのうちの少なくとも1つを含む、第2の保護層と
を備える、接地フィールド。
(態様14)
前記導電性本体が第1のCTEを有し、前記第1の保護層が第2のCTEを有し、前記第2のCTEの値は前記第1のCTEの値と同じである、態様13に記載の接地シールド。
(態様15)
前記導電性本体が第1のCTEを有し、前記第1の保護層が第2のCTEを有し、前記第2のCTEの値は前記第1のCTEの値の2.5×10 -6 /℃以内である、態様13に記載の接地シールド。

Claims (12)

  1. 処理チャンバの接地シールドであって、
    プレートと、前記プレートの上面から延在する隆起したエッジとを含み、ヒータが前記プレートの上面の前記隆起したエッジ内に適合する、セラミック本体と、
    少なくとも前記プレートの上面の導電層と、
    少なくとも前記導電層の上の第1の保護層と
    を備える、接地シールド。
  2. 前記第1の保護層上の第2の保護層であって、共形であり、50.00nmから2.00mmの厚さを有し、0.1%未満の多孔度を有する第2の保護層
    を更に備える、請求項1に記載の接地シールド。
  3. 前記第2の保護層が、酸化イットリウム、酸化エルビウム、酸化タンタル、フッ化イットリウム、アルミナ、フッ化アルミニウム、二酸化ジルコニウム、Y-ZrO固溶体、YAl及びY-ZrO固溶体を含む材料、又はそれらの組み合わせのうちの少なくとも1つを含む、請求項2に記載の接地シールド。
  4. 前記隆起したエッジが、エッジ内壁、エッジ上面、及びエッジ外壁を含み、前記導電層、前記第1の保護層、又は前記第2の保護層のうちの少なくとも1つが、前記エッジ内壁、前記エッジ上面、又は前記エッジ外壁のうちの少なくとも1つを更に覆う、請求項2に記載の接地シールド。
  5. 前記第1の保護層が、アルミナ、YSiO、YSi、Y、タンタル、炭化ケイ素、イットリア、酸化エルビウム、Y-ZrO固溶体、YAl及びY-ZrO固溶体を含む材料、又はそれらの組み合わせのうちの少なくとも1つを含む、請求項1に記載の接地シールド。
  6. 前記セラミック本体が、前記プレートに穿孔された複数の孔を更に含み、前記複数の孔のうちの1又は複数が、導電性プラグで充填される、請求項1に記載の接地シールド。
  7. 前記セラミック本体が、第1の熱膨張係数(CTE)を有し、前記第1の保護層が、第2のCTEを有し、前記第2のCTE値は、前記第1のCTEの2.5×10-6/℃以内である、請求項1に記載の接地シールド。
  8. 処理チャンバの基板支持アセンブリであって、
    ヒータと、
    円盤状のセラミック本体と、前記円盤状のセラミック本体の下面から延在するシャフトとを含む接地シールドであって、前記円盤状のセラミック本体の上面が、前記円盤状のセラミック本体の上面から延在する隆起したエッジを含み、前記ヒータが、前記円盤状のセラミック本体の上面の前記隆起したエッジ内に配置されており、
    少なくとも前記円盤状のセラミック本体の上面上の導電層と、
    少なくとも前記導電層上の第1の保護層と
    を更に含む接地シールドと、
    を備える、基板支持アセンブリ。
  9. 前記第1の保護層上の第2の保護層を更に備え、前記第2の保護層は共形層であり、50.00nmから2.00mmの厚さを有し、0.1%未満の多孔度を有し、酸化イットリウム、酸化エルビウム、酸化タンタル、フッ化イットリウム、アルミナ、フッ化アルミニウム、二酸化ジルコニウム、Y-ZrO固溶体、YAl及びY-ZrO固溶体を含む材料、又はそれらの組み合わせのうちの少なくとも1つを含む、請求項8に記載の基板支持アセンブリ。
  10. 前記隆起したエッジが、エッジ内壁、エッジ上面、及びエッジ外壁を含み、前記導電層、前記第1の保護層、又は前記第2の保護層のうちの少なくとも1つが、前記エッジ内壁、エッジ表面、又は前記エッジ外壁のうちの少なくとも1つを更に覆う、請求項9に記載の基板支持アセンブリ。
  11. 前記導電層、前記第1の保護層、又は前記第2の保護層のうちの少なくとも1つが、中空シャフトの外壁又は前記中空シャフトの内壁のいずれかを更に覆う、請求項10に記載の基板支持アセンブリ。
  12. 前記第1の保護層が、1.00μmから2.00mmの厚さを有し、6%未満の多孔度を有し、アルミナ、YSiO、YSi、Y、酸化タンタル、フッ化イットリウム、アルミナ、フッ化アルミニウム、二酸化ジルコニウム、Y-ZrO固溶体、YAl及びY-ZrO固溶体を含む材料、又はそれらの組み合わせのうちの少なくとも1つを含む、請求項8に記載の基板支持アセンブリ。
JP2021531911A 2018-12-06 2019-12-05 処理チャンバの耐食性接地シールド Active JP7460626B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023089931A JP2023123461A (ja) 2018-12-06 2023-05-31 処理チャンバの耐食性接地シールド

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/212,580 US11562890B2 (en) 2018-12-06 2018-12-06 Corrosion resistant ground shield of processing chamber
US16/212,580 2018-12-06
PCT/US2019/064747 WO2020118090A1 (en) 2018-12-06 2019-12-05 Corrosion resistant ground shield of processing chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023089931A Division JP2023123461A (ja) 2018-12-06 2023-05-31 処理チャンバの耐食性接地シールド

Publications (2)

Publication Number Publication Date
JP2022510433A JP2022510433A (ja) 2022-01-26
JP7460626B2 true JP7460626B2 (ja) 2024-04-02

Family

ID=70972112

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021531911A Active JP7460626B2 (ja) 2018-12-06 2019-12-05 処理チャンバの耐食性接地シールド
JP2023089931A Pending JP2023123461A (ja) 2018-12-06 2023-05-31 処理チャンバの耐食性接地シールド

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023089931A Pending JP2023123461A (ja) 2018-12-06 2023-05-31 処理チャンバの耐食性接地シールド

Country Status (6)

Country Link
US (2) US11562890B2 (ja)
JP (2) JP7460626B2 (ja)
KR (2) KR20230111267A (ja)
CN (1) CN113169114A (ja)
TW (2) TW202306006A (ja)
WO (1) WO2020118090A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102632725B1 (ko) * 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법
JP7101628B2 (ja) * 2019-02-04 2022-07-15 東京エレクトロン株式会社 プラズマ処理装置および電極構造体
WO2020236240A1 (en) * 2019-05-22 2020-11-26 Applied Materials, Inc. Substrate support cover for high-temperature corrosive environment
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
US11557499B2 (en) * 2020-10-16 2023-01-17 Applied Materials, Inc. Methods and apparatus for prevention of component cracking using stress relief layer
US11515195B2 (en) * 2020-10-26 2022-11-29 Applied Materials, Inc. Semiconductor chamber components with high-performance coating
US20220181124A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Erosion resistant metal fluoride coatings, methods of preparation and methods of use thereof
WO2022159278A1 (en) * 2021-01-21 2022-07-28 Lam Research Corporation Processing parts using solid-state additive manufacturing
US20230063923A1 (en) * 2021-08-25 2023-03-02 Honeywell International Inc. Multilayer protective coating systems for gas turbine engine applications and methods for fabricating the same
CN114197038A (zh) * 2021-12-10 2022-03-18 中国电子科技集团公司第四十六研究所 一种提高氮化铝外延层紫外透过率的保护装置及使用方法
WO2023146648A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Undercoating coverage and resistance control for escs of substrate processing systems
JP2023124884A (ja) * 2022-02-26 2023-09-07 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008021963A (ja) 2006-06-16 2008-01-31 Tokyo Electron Ltd 載置台構造及び熱処理装置
JP2011525719A (ja) 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 低温pecvd用途用のペデスタルヒータ
JP2011529273A (ja) 2008-07-23 2011-12-01 アプライド マテリアルズ インコーポレイテッド プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート
JP2016508288A (ja) 2012-12-14 2016-03-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理チャンバ構成要素用の熱放射バリア
JP2017514991A (ja) 2014-04-25 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温アプリケーション用プラズマ耐食性薄膜コーティング
JP2017538278A (ja) 2014-10-17 2017-12-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温処理用静電チャックアセンブリ

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0563873B1 (en) 1992-04-03 1998-06-03 Matsushita Electric Industrial Co., Ltd. High frequency ceramic multi-layer substrate
US6069346A (en) 1993-01-12 2000-05-30 American Roller Company Ceramic heater roller with ground shield and fault detection
JP3261566B2 (ja) 1997-01-22 2002-03-04 株式会社ケーブイケー 水栓用カバー
JPH11343571A (ja) 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
JP2002057207A (ja) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
JP4394073B2 (ja) * 2003-05-02 2010-01-06 東京エレクトロン株式会社 処理ガス導入機構およびプラズマ処理装置
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US8680443B2 (en) * 2004-01-06 2014-03-25 Watlow Electric Manufacturing Company Combined material layering technologies for electric heaters
CN101018885B (zh) 2004-08-24 2010-07-14 圣戈本陶瓷及塑料股份有限公司 半导体加工部件及用该部件进行的半导体加工
JP2009084686A (ja) * 2007-09-11 2009-04-23 Tokyo Electron Ltd 基板載置機構、基板処理装置、基板載置機構上への膜堆積抑制方法及び記憶媒体
US7851893B2 (en) 2008-06-10 2010-12-14 Stats Chippac, Ltd. Semiconductor device and method of connecting a shielding layer to ground through conductive vias
EP2433975A1 (en) 2010-09-14 2012-03-28 Arkema Coatings Resins S.A.U. Improved powder coating compositions and a method for coating a substrate, such as a thermally sensitive substrate
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9153463B2 (en) * 2011-11-25 2015-10-06 Nhk Spring Co., Ltd. Substrate support device
US20140011038A1 (en) 2012-07-05 2014-01-09 General Electric Company Coating system for a gas turbine component
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794459B (zh) 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
TWI798594B (zh) 2014-04-25 2023-04-11 美商應用材料股份有限公司 用於高溫應用的耐電漿腐蝕薄膜塗層
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9034771B1 (en) * 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
WO2016129591A1 (ja) 2015-02-09 2016-08-18 三菱重工航空エンジン株式会社 コーティング部材及びコーティング部材の製造方法
TWI725067B (zh) 2015-10-28 2021-04-21 美商應用材料股份有限公司 可旋轉靜電夾盤
US10612121B2 (en) 2016-03-14 2020-04-07 Applied Materials, Inc. Plasma resistant coating with tailorable coefficient of thermal expansion
WO2017184223A1 (en) * 2016-04-22 2017-10-26 Applied Materials, Inc. Substrate support pedestal having plasma confinement features
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180337026A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008021963A (ja) 2006-06-16 2008-01-31 Tokyo Electron Ltd 載置台構造及び熱処理装置
JP2011525719A (ja) 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 低温pecvd用途用のペデスタルヒータ
JP2011529273A (ja) 2008-07-23 2011-12-01 アプライド マテリアルズ インコーポレイテッド プロセスキットリングへの制御されたrf電力配分を有するプラズマリアクタ用ワークピースサポート
JP2016508288A (ja) 2012-12-14 2016-03-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理チャンバ構成要素用の熱放射バリア
JP2017514991A (ja) 2014-04-25 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温アプリケーション用プラズマ耐食性薄膜コーティング
JP2017538278A (ja) 2014-10-17 2017-12-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温処理用静電チャックアセンブリ

Also Published As

Publication number Publication date
TW202038295A (zh) 2020-10-16
TW202306006A (zh) 2023-02-01
KR102557349B1 (ko) 2023-07-20
JP2023123461A (ja) 2023-09-05
CN113169114A (zh) 2021-07-23
WO2020118090A1 (en) 2020-06-11
JP2022510433A (ja) 2022-01-26
KR20210087558A (ko) 2021-07-12
US20200185203A1 (en) 2020-06-11
US11562890B2 (en) 2023-01-24
KR20230111267A (ko) 2023-07-25
TWI784216B (zh) 2022-11-21
US20230122695A1 (en) 2023-04-20

Similar Documents

Publication Publication Date Title
JP7460626B2 (ja) 処理チャンバの耐食性接地シールド
JP7368398B2 (ja) プラズマ耐食性希土類酸化物系薄膜コーティング
US20180151401A1 (en) Substrate support assembly having a plasma resistant protective layer
US8941969B2 (en) Single-body electrostatic chuck
KR20230027281A (ko) 반도체 프로세싱 챔버를 위한 매칭된 화학 물질 컴포넌트 바디 및 코팅

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210802

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220920

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221219

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230131

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240321

R150 Certificate of patent or registration of utility model

Ref document number: 7460626

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150