JP2017514991A - 高温アプリケーション用プラズマ耐食性薄膜コーティング - Google Patents

高温アプリケーション用プラズマ耐食性薄膜コーティング Download PDF

Info

Publication number
JP2017514991A
JP2017514991A JP2016555995A JP2016555995A JP2017514991A JP 2017514991 A JP2017514991 A JP 2017514991A JP 2016555995 A JP2016555995 A JP 2016555995A JP 2016555995 A JP2016555995 A JP 2016555995A JP 2017514991 A JP2017514991 A JP 2017514991A
Authority
JP
Japan
Prior art keywords
protective layer
article
thin film
susceptor
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016555995A
Other languages
English (en)
Other versions
JP2017514991A5 (ja
JP6709164B2 (ja
Inventor
バヒド フィロウズドア
バヒド フィロウズドア
ビラジャ ピー カヌンゴ
ビラジャ ピー カヌンゴ
ジェニファー ワイ サン
ジェニファー ワイ サン
マーティン ジェイ サリナス
マーティン ジェイ サリナス
ジャレッド アーマッド リー
ジャレッド アーマッド リー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017514991A publication Critical patent/JP2017514991A/ja
Publication of JP2017514991A5 publication Critical patent/JP2017514991A5/ja
Application granted granted Critical
Publication of JP6709164B2 publication Critical patent/JP6709164B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0635Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings

Abstract

サセプタなどの物品は、本体の表面上に第1保護層及び第2保護層によって被覆された熱伝導性材料の本体を含む。第1保護層は、熱伝導性セラミックスである。第2保護層は、第1保護層を被覆し、650℃の温度でクラッキングに耐性のある耐プラズマ性セラミックス薄膜である。

Description

本発明の実施形態は、概して、高温に、かつ直接又は遠隔プラズマ環境に、頻繁に曝露されるチャンバコンポーネントを保護することに関する。
背景
半導体産業では、ますます減少するサイズの構造を作る多くの製造プロセスによって、デバイスは製造される。いくつかの製造プロセス(例えば、プラズマエッチング及びプラズマ洗浄プロセス)は、基板をエッチング又は洗浄するために、プラズマの高速流に基板を曝露させる。プラズマは、非常に浸食性がある可能性があり、処理チャンバ及びプラズマに曝露される他の表面を浸食する可能性がある。したがって、プラズマ溶射保護コーティングは、一般的に、処理チャンバコンポーネントを浸食(腐食)から保護するために使用される。
いくつかの製造プロセスは、高い温度(例えば、400℃以上の温度)で実施される。伝統的なプラズマ溶射保護コーティングは、このようなプロセスに使用されるいくつかのチャンバコンポーネントには不適切である可能性がある。
概要
例示的な一実施形態では、物品は、熱伝導性材料を有する本体を含む。物品は、本体の表面上の第1保護層を更に含み、第1保護層は、熱伝導性セラミックスである。物品は、第1保護層上の第2保護層を更に含み、第2保護層は、最高650℃の温度でクラッキングに耐性のある耐プラズマ性セラミックス薄膜を含む。
別の例示的な一実施形態では、方法は、熱伝導性材料本体を含む物品を提供する工程を含む。方法は、熱伝導性セラミックスである第1保護層を熱伝導性材料本体の表面上に堆積させる工程を更に含む。方法は、最高650℃の温度でクラッキングに耐性のある耐プラズマ性セラミックス薄膜を含む第2保護層を第1保護層上に堆積させるためにイオンアシスト蒸着を実行する工程を更に含む。
別の例示的一実施形態では、原子層堆積チャンバ用のサセプタは、グラファイト本体を含む。サセプタは、グラファイト本体の表面上に第1保護層を更に含み、第1保護層は炭化ケイ素を含む。サセプタは、第1保護層上に第2保護層を更に含み、第2保護層は、最高650℃の室温の温度でクラッキングに耐性のある耐プラズマ性セラミックス薄膜を含み、第2保護層は、ErAl12、YAl12、及びYFからなる群から選択されるセラミックスを含む。
本発明は、添付図面の図の中で、限定としてではなく、例として示され、同様の参照符号は同様の要素を示す。この開示における「一」又は「1つの」実施形態への異なる参照は、必ずしも同じ実施形態への参照ではなく、そのような参照は、少なくとも1つを意味することに留意すべきである。
処理チャンバの一実施形態の断面図を示す。 一方の表面上に薄膜の保護コーティングを有する原子層堆積(ALD)用サセプタを示す。 穴内に耐プラズマ性プラグが挿入された原子層堆積チャンバ用サセプタの拡大断面図を示す。 一方の表面上に保護層スタックを有する例示的物品の断面側面図を示す。 物品上に1以上の保護層を形成するためのプロセスの一実施形態を示す。 イオンアシスト蒸着(IAD)などの高エネルギー粒子を用いた種々の堆積技術に適用可能な堆積機構を示す。 IAD堆積装置の概略図を示す。 本発明の実施形態に従って形成された薄膜保護層に対する浸食速度を示す。
実施形態の詳細な説明
本発明の実施形態は、物品の1以上の表面上に薄膜保護層を有する原子層堆積(ALD)チャンバ用のチャンバコンポーネントなどの物品を提供する。保護層は、約50ミクロン以下の厚さを有することができ、物品を保護するために、プラズマ耐食性を提供することができる。チャンバコンポーネントは、ウェハの処理中に高温に曝露される可能性がある。例えば、チャンバコンポーネントは、450℃を超える温度に曝露される可能性がある。薄膜保護層は、これらの高温でクラッキング(熱分解)に対して耐性をもつ又は効果的に影響を受けないような方法で形成される。薄膜保護層は、イオンアシスト蒸着(IAD)を使用して加熱された基板上に堆積された緻密で倣った(適合した)薄膜とすることができる。薄膜保護層は、YAl12、ErAl12、又はYFで形成することができる。薄膜保護層によって提供される改善された耐食性は、メンテナンス及び製造コストを低減しつつ、物品の耐用年数を向上させることができる。
図1は、本発明の実施形態に係る薄膜保護層で被覆された1以上のチャンバコンポーネントを有する処理チャンバ100の断面図である。処理チャンバ100は、ALD処理チャンバとすることができる。一実施形態では、処理チャンバ100は、チャンバ洗浄用に処理チャンバ100内にフッ素ラジカル(F)を送出するためにリモートプラズマユニットを使用する。あるいはまた、他のタイプの処理チャンバを、本明細書に記載の実施形態と共に使用してもよい。
処理チャンバ100は、高温ALDプロセス用に使用することができる。例えば、処理チャンバ100は、窒化チタン(TiN)の堆積用に使用することができる。TiN堆積プロセスは、典型的には、450℃又はそれ以上の温度で実行されるALDプロセスである。別の一例の高温ALDプロセスは、ジクロロシラン(DCS)タングステンシリサイドの堆積である。DCSタングステンシリサイドプロセスは、約500〜600℃の温度で、WF、DCS、及びSiHの反応によって実行される。他の高温ALDプロセスが、処理チャンバ100によって実行されてもよい。
薄膜保護層を含むことができるチャンバコンポーネントの例は、サセプタ134、チャンバ本体105、シャワーヘッド110などを含む。以下でより詳細に記載される薄膜保護層は、YAl12(YAG)、ErAl12(EAG)、及び/又はYFを含むことができる。薄膜保護層はまた、いくつかの実施形態では他のセラミックスを含むことができる。また、薄膜保護層は、保護層スタック内の1つの層であってもよい。図示のように、サセプタ134は、一実施形態に係る薄膜保護層(第2保護層136)を有する。しかしながら、他のチャンバコンポーネント(例えば、上に列挙したもの)のいずれも、薄膜保護層を含むことができることを理解すべきである。
一実施形態では、処理チャンバ100は、内部容積106を囲むチャンバ本体105及びシャワーヘッド110を含む。チャンバ本体105は、アルミニウム、ステンレス鋼、又は他の適切な材料から製造することができる。チャンバ本体105は、一般的に、側壁及び底部を含む。シャワーヘッド110、側壁、及び/又は底部のいずれも、薄膜保護層を含むことができる。
チャンバ排気部125及び1以上の排気ポート137は、チャンバの内部容積106から排気を排出することができる。排気ポート137は、排気して処理チャンバ100の内部容積106の圧力を調節するために利用される1以上のポンプ160及びスロットルバルブ156及び/又はゲートバルブ154を含むポンプシステムに接続することができる。
シャワーヘッド110は、チャンバ本体105の側壁上に支持されることができる。シャワーヘッド110(又は蓋)は、処理チャンバ100の内部容積106へのアクセスを許容するために開かれてもよく、閉じると同時に処理チャンバ100に対してシールを提供することができる。シャワーヘッド110は、ガス分配プレート及び1以上のインジェクタ122、123、124を含むことができる。シャワーヘッド110は、アルミニウム、ステンレス鋼、又は他の適切な材料から製造することができる。あるいはまた、シャワーヘッド110は、いくつかの実施形態では、蓋及びノズルで置き換えることができる。
ガスパネル152は、1以上のガス供給ライン138〜146を介してシャワーヘッド110を通って内部容積106に処理ガス及び/又は洗浄ガスを提供することができる。基板上に層を堆積させるCVD操作を実行するために使用可能な処理ガスの例は、堆積される層に応じて、NH、TiCl、テトラキス(ジメチルアミノ)チタン(TDMAT)、WF、DCS、SiHなどを含む。リモートプラズマ源(RPS)150は、洗浄中にフッ素ラジカル(F)を生成することができ、1以上のガス送出ライン138〜146を介してフッ素ラジカルを送出することができる。ガス送出ライン138〜146、排気ポート137、及びシャワーヘッド110は、アルミニウム又は他の適切な材料とすることができるドーム180によって覆われていてもよい。
チャンバコンポーネント(例えば、チャンバ本体105の内壁、シャワーヘッド110、サセプタ134など)は、処理中、材料の堆積層を蓄積する。堆積特性並びに粒子汚染の変化を軽減するために、そのような堆積層は、リモートプラズマ洗浄プロセスを使用してチャンバコンポーネントから定期的に洗浄される。チャンバコンポーネントの表面から堆積された材料を洗浄するために使用することができる洗浄ガスの例は、ハロゲン含有ガス(例えば、とりわけ、C、SF、SiCl、HBr、NF、CF、CHF、CH、F、NF、Cl、CCl、BCl、及びSiF)及び他のガス(例えば、O、又はNO)を含む。キャリアガスの例は、N、He、Ar、及び洗浄ガスに不活性な他のガス(例えば、非反応性ガス)を含む。一実施形態では、プラズマ洗浄プロセスを実行するために、NF及びArが使用される。
サセプタ134は、シャワーヘッド110下の処理チャンバ100の内部容積106内に配置され、ベース132によって支持される。サセプタ134は、処理中、1以上の基板を保持する。サセプタ134は、1以上の基板と相互作用する処理ガスの均一な分布を保証するように、ALDプロセス中に軸中心の周りに回転するように構成される。このような均一な分布は、1以上の基板上に堆積された層の厚さの均一性を向上させる。
サセプタ134は、加熱されるように、かつ処理中、サセプタ134全体に均一な熱を維持するように構成される。したがって、サセプタ134は、熱衝撃に対する高い耐性を有する熱伝導性材料からなる本体を有することができる。一実施形態では、本体は、グラファイトなどの半金属材料である。サセプタ134はまた、高い耐熱衝撃性を有する他の材料(例えば、ガラスカーボン)からなる本体を有することができる。
サセプタ134は、複数の凹部を有する。それぞれの凹部は、ほぼ凹部内に保持されることができる基板(例えば、ウェハ)のサイズとすることができる。基板は、処理中、サセプタ134に真空付着(チャッキング)させることができる。
一実施形態では、サセプタ134の本体は、少なくとも1つの面上に第1保護層135、及び第1保護層135の上に第2保護層136上を有する。一実施形態では、第1保護層はSiCであり、第2保護層は、YAl12(YAG)、ErAl12(EAG)、又はYFのうちの1つである。別の一実施形態では、サセプタ134は、YAl12(YAG)、ErAl12(EAG)、又はYFのうちの1つである単一の保護層のみを有する。他の実施形態では、追加の保護層を使用することもできる。一例のサセプタは、図2A〜図2Bを参照してより詳細に示される。
一実施形態では、1以上の加熱素子130が、サセプタ134の下方に配置される。1以上の熱シールドもまた、高い温度に加熱されるべきではないコンポーネントを保護するために、加熱素子130の近傍に配置することができる。一実施形態では、加熱素子130は、抵抗又は誘導加熱素子である。別の一実施形態では、加熱素子は、放射加熱ランプである。加熱素子130は、いくつかの実施形態では、最大700℃以上の温度にサセプタ134を加熱することができる。
図2Aは、ALDチャンバ用の一例のサセプタ200を示す。サセプタ200は、薄膜保護コーティングを有する。一実施形態では、薄膜保護コーティングは、サセプタの上面のみを被覆する。あるいはまた、薄膜保護コーティングは、サセプタの上面および下面を被覆する。薄膜保護層は、サセプタの側壁もまた被覆してもよい。サセプタ200の目的は、同時に複数のウェハを支持して均一に加熱することである。サセプタ200は、抵抗加熱素子又はランプを用いて放射加熱することができる。処理中、サセプタ200は、単原子層堆積(ALD)又は他のCVDプロセスを介して(支持されたウェハと共に)コーティングされる。洗浄間平均時間(MTBC)を増加させるために、サセプタ200は、一連の処理の間に発生した内部の膜応力によりコーティングが剥離するのを防止するために定期的に洗浄されるべきである。サセプタ200は、熱又はリモートプラズマプロセスのいずれかによって洗浄することができる。NFを使用したリモートプラズマ洗浄の場合には、フッ素ラジカル(F)が、遠隔で生成され、堆積膜を除去するために処理領域内に送出される。しかしながら、高温でのFはまた、サセプタ材料(例えば、CVD SiC及びグラファイト)を浸食するだろう。したがって、使用される化学物質に耐食性のある保護コーティングが、塗布される。保護コーティングはまた、堆積膜の全体が除去されることを保証するために「オーバーエッチング」の期間を可能にする。
一実施形態では、サセプタ200は、半金属の熱伝導性ベース(例えば、グラファイト)を含む。サセプタ200は、複数の基板(例えば、複数のウェハ)を支持するのに十分な大きさとすることができる円盤状の形状を有することができる。一実施形態では、サセプタは、1メートル以上の直径を有する。
サセプタ200は、各々が処理中にウェハ又は他の基板を支持するように構成することができる1以上の凹部(ポケットとも呼ばれる)201〜206を含むことができる。図示の例では、サセプタ200は、6つの凹部201〜206を含む。しかしながら、他のサセプタは、より多くの又はより少ない凹部を有していてもよい。
凹部201〜206のそれぞれは、多くの表面構造を含む。凹部201内の表面構造の例は、外側リング208、複数のメサ206、及びメサ206間のチャネル又はガス流路を含む。構造は、いくつかの実施形態では、約10〜80ミクロンの高さを有することができる。
一実施形態では、サセプタ200は、熱伝導性の半金属ベースの1以上の表面上にSiC又はSiNのCVD堆積層を更に含む。凹部201〜206及び表面構造(例えば、メサ206及び外側リング208)は、サセプタ200に開けた穴を介して熱伝達(又は背面)ガス(例えば、He)の供給源に流体結合させることができる。動作中、背面ガスは、サセプタ200と基板との間の熱伝達を向上させるために、ガス流路内に制御された圧力で供給することができる。
凹部及び表面構造は、第1保護層が堆積される前に、サセプタ200の本体内に形成させることができる。あるいはまた、凹部及び/又は表面構造は、第1保護層が上に堆積された後、第1保護層内に形成されてもよい。第2保護層は、凹部及び表面構造に倣った適合薄膜保護層とすることができる。あるいはまた、表面構造は、第2保護層内に形成されてもよい。したがって、表面構造(例えば、メサ206及び外側リング208)の全ては、第2保護層の表面に存在している。一実施形態では、第2保護層は、約5〜50ミクロンの厚さを有する。別の一実施形態では、第2保護層は、20ミクロン以下の厚さを有する。別の一実施形態では、第2保護層は、最大1000ミクロンの厚さを有する。
サセプタ200は、リフトピン穴210を更に含む。例えば、サセプタ200は、リフトピン(例えば、Alリフトピン)を支持する3つのリフトピン穴を含むことができる。リフトピンは、サセプタ200上へのウェハのロード及びアンロードを可能にする。サセプタ200は、回転スピンドルにサセプタを固定するために使用することができる凹部215を含むことができる。凹部215は、回転スピンドルにサセプタ200を機械的に固定するために使用することができる穴220を含むことができる。
図2Bは、穴に挿入された耐プラズマ性プラグ250を有するサセプタ200の拡大断面図を示す。IADとPVDは、直進的プロセスである。したがって、薄膜保護コーティングは、サセプタ内の穴(例えば、リフトピン穴210、穴220、又はヘリウム穴)の内側をコーティングすることはできない。一実施形態では、初期の穴は、大きめの寸法でサセプタ内に形成される。耐プラズマ性プラグ(例えば、耐プラズマ性プラグ250)は、別々に製造され、大きめの穴内に挿入させることができる。耐プラズマ性プラグ250は、大きめの穴内に圧入する(例えば、機械的にプレスさせる)ことができる。耐プラズマ性プラグ250は、バルク焼結耐プラズマ性セラミックス材料(例えば、AlN、Y、YAlとY−ZrOの固溶液とを含むセラミックス化合物、又は他の希土類酸化物)から形成することができる。
耐プラズマ性プラグ250は、それら自体が、それらの中心に、最終的な穴を有することができ、この場合、最終的な穴は、所望の直径を有する。CVD堆積層及び/又は薄膜保護層は、サセプタのみを、又はサセプタと耐プラズマ性プラグ250の両方をコーティングすることができる。一実施形態では、CVD堆積層は、耐プラズマ性プラグ250が挿入される前に堆積させることができる。その後、薄膜保護層は、耐プラズマ性プラグ250の挿入後に堆積させることができる。薄膜保護層は、プラグ250の外壁とそれが挿入された最初の穴との間のギャップを充填する及び/又は埋めることができる。いくつかの例では、薄膜保護層は、プラグと、プラグが挿入される最初の穴との間のギャップを埋めるのに十分な厚さでないかもしれない。したがって、ギャップを埋めるために、プラグが挿入された後、CVDコーティングを堆積させてもよい。その後、薄膜保護層をCVDコーティング上に堆積させることができる。
一実施形態では、耐プラズマ性プラグのベースは、(図示のように)耐プラズマ性プラグの上部よりも狭くなっている。これは、耐プラズマ性プラグがサセプタ200内に所定の深さまで圧入されることを可能にする。
図3〜図5は、1以上の薄膜保護層によって覆われた物品(例えば、チャンバコンポーネント)の断面側面図を示す。図3は、第1保護層330及び第2保護層308を有する物品300の一実施形態の断面側面図を示す。第1保護層は、SiC、SiN、又は他のセラミックス材料とすることができる。第1保護層330は、CVDプロセスによって本体305上に堆積されていてもよい。第1保護層は、最大200ミクロンの厚さを有することができる。一実施形態では、第1保護層は、約5〜100ミクロンの厚さである。
第2保護層308は、IADを使用して第1保護層330の上に塗布されたセラミックス薄膜保護層とすることができる。第2保護層308を堆積させるために使用することができる2つの例示的なIAD法は、電子ビームIAD(EB−IAD)及びイオンビームスパッタリングIAD(IBS−IAD)を含む。第2保護層308は、トップコートとしての役割を果たすことができ、耐食性バリアとしての役割を果たし、第1保護層330の露出面をシールする(例えば、第1保護層330内の固有の表面クラック及び穴をシールする)ことができる。
IAD堆積された第2保護層308は、(例えば、プラズマ溶射法又はスパッタリング法によって引き起こされる膜応力と比較して、)比較的低い膜応力を有することができる。IAD堆積された第2保護層308はまた、1%未満である空孔率、いくつかの実施形態では約0.1%未満の空孔率を有することができる。したがって、IAD堆積された保護層は、チャンバコンポーネントのアプリケーションに対するパフォーマンス上の利点を有することができる緻密な構造である。また、IAD堆積された第2保護層308は、第1保護層330を最初に粗面化する、又は他の時間の掛かる表面準備工程を実行することなしに堆積させることができる。
第2保護層308を形成するために使用することができるセラミックスの例は、YAl12(YAG)、ErAl12(EAG)、及びYFを含む。使用することができる別の例示的なセラミックスは、YAl(YAM)である。上記のセラミックスのいずれも、微量の他の材料(例えば、ZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物)を含んでもよい。
物品300の本体305及び/又は第1保護層330は、1以上の表面構造を含むことができる。サセプタに対しては、表面構造は、凹部、メサ、シーリングバンド、ガス流路、ヘリウム穴などを含むことができる。シャワーヘッドに対しては、表面構造は、ガス分配用の数百又は数千の穴、ガス分配穴の周囲のディボット又はバンプなどを含むことができる。他のチャンバコンポーネントは、他の表面構造を有するかもしれない。
第2保護層308は、本体305及び第1保護層330の表面形状に倣う(一致する)ことができる。例えば、第2保護層308は、第1保護層330の上面の相対的な形状を維持する(例えば、第1保護層330内の構造の形状を伝える)ことができる。また、第2保護層308は、本体305及び/又は第1保護層330内の穴を塞がないように十分に薄くすることができる。第2保護層は、1000ミクロン未満の厚さを有することができる。一実施形態では、第2保護層308は、約20ミクロン以下の厚さを有する。更なる一実施形態では、第2保護層は、約0.5ミクロン〜約7ミクロンの間の厚さを有する。
代替の一実施形態では、第1保護層330は、省略されてもよい。したがって、YAl12(YAG)、ΕrAl12(EAG)、YF、又はYAl(YAM)の単一保護層のみを、本体305の1以上の表面上に堆積させることができる。
表1は、IAD堆積されたYAM、YF、YAG、及びEAGに対する材料特性を示す。図示のように、IAD堆積されたYAMの5ミクロン(μm)のコーティングは、695ボルト(V)の絶縁破壊電圧を有する。IAD堆積されたYFの5μmのコーティングは、522Vの絶縁破壊電圧を有する。IAD堆積されたYAGの5μmのコーティングは、1080Vの絶縁破壊電圧を有する。IAD堆積されたEAGの5μmのコーティングは、900Vの絶縁破壊電圧を有する。
アルミナの1.6mm上のYFの誘電率は、約9.2であり、YAG薄膜の誘電率は、約9.76であり、EAG薄膜の誘電率は約9.54である。アルミナの1.6mm上のYF薄膜の損失正接は、約9E−4であり、YAG薄膜の損失正接は、約4E−4であり、EAG薄膜の損失正接は、約4E−4である。YAG薄膜の熱伝導率は、約20.1W/m・Kであり、EAG薄膜の熱伝導率は、約19.2W/m・Kである。
アルミナ基板への薄膜保護層の接着強度は、特定されたセラミックス材料のそれぞれに対して27メガパスカル(MPa)を超えることができる。接着強度は、基板から薄膜保護層を分離するために使用される力の量を測定することによって決定することができる。
ヘルミシティは、薄膜保護層を用いて達成することができるシール能力を測定する。示されるように、YFを使用して約2.6E−9立方センチメートル毎秒(cm/s)のHe漏れ速度を達成でき、YAGを使用して約4.4E−10のHe漏れ速度を達成でき、EAGを使用して約9.5E−10のHe漏れ速度を達成できる。より低いHe漏れ速度は、改善されたシールを示す。実施例の薄膜保護層の各々は、典型的なAlよりも低いHe漏れ速度を有する。
Al12、YAl、ErAl12、及び、YFの各々は、プラズマ処理中に摩耗に耐えることができる硬度を有する。示されるように、YFは、約3.411ギガパスカル(GPa)のビッカース硬さ(5kgf)を有し、YAGは、約8.5GPaの硬度を有し、EAGは、約9.057GPaの硬度を有する。YAGの測定された摩耗速度は、高周波時間当り約0.28ナノメートル(nm/RF時間)であり、EAGの摩耗速度は、約0.176nm/RF時間である。
なお、YAl12、YAl、ErAl12、及びYFは、いくつかの実施形態では、上で特定される材料の特性及び特徴が、最大30%変化できるように改質することができることに留意すべきである。したがって、これらの材料特性に対して記載された値は、実施例の達成可能な値として理解されるべきである。本明細書内で記載されるセラミックス薄膜保護層は、提供された値に限定して解釈されるべきではない。
図4は、物品400の本体405の上に堆積された薄膜保護層スタック406を有する物品400の一実施形態の断面側面図を示す。代替の一実施形態では、薄膜保護層スタック406は、SiC又はSiNの第1保護層の上に堆積されてもよい。
薄膜保護層スタック406内の1以上の薄膜保護層(例えば、第1層408及び/又は第2層410)は、YAG、YAM、EAG、又はYFのうちの1つとすることができる。また、保護層の一部は、Er、Gd、GdAl12、又はYAlとY−ZrOの固溶液とを含むセラミックス化合物を含んでいてもよい。一実施形態では、同一のセラミックス材料は、2つの隣接する薄膜保護層に対して使用されない。しかしながら、別の一実施形態では、隣接する層は、同じセラミックスで構成されてもよい。
図5は、物品500の本体505上に堆積された薄膜保護層スタック506を有する物品500の別の一実施形態の断面側面図を示す。あるいはまた、薄膜保護層スタック506は、SiC又はSiN層の上に堆積させることができる。物品500は、薄膜保護層スタック506が4つの薄膜保護層508、510、515、518を有することを除き、物品400と類似している。
薄膜保護層スタック(例えば、図示したもの)は、任意の数の薄膜保護層を有することができる。スタック内の薄膜保護層は、全て同じ厚さを有していてもよく、又はそれらは、様々な厚さを有していてもよい。薄膜保護層のそれぞれは、約50ミクロン未満の厚さを有していてもよく、いくつかの実施形態では、約10ミクロン未満である。一例では、第1層408は、3ミクロンの厚さを有することができ、第2層410は、3ミクロンの厚さを有することができる。別の一例では、第1層508は、2ミクロンの厚さを有するYAG層とすることができ、第2層510は、1ミクロンの厚さを有する化合物セラミックス層とすることができ、第3層515は、1ミクロンの厚さを有するYAG層とすることができ、第4層518は、1ミクロンの厚さを有する化合物セラミックス層とすることができる。
セラミックス層の数と、使用するセラミックス層の組成の選択は、所望のアプリケーション及び/又はコーティングされる物品の種類に基づいてもよい。IADによって形成されたEAG、YAG、及びYF薄膜保護層は、典型的には、アモルファス構造を有している。対照的に、IAD堆積された化合物セラミックス及びEr層は、典型的には、結晶又はナノ結晶構造を有する。結晶及びナノ結晶セラミックス層は、一般的に、アモルファスセラミックス層よりも耐食性が高い可能性がある。しかしながら、いくつかの例では、結晶構造又はナノ結晶構造を有する薄膜セラミックス層は、時々縦割れ(ほぼ膜厚方向で、コーティング面にほぼ垂直に走るクラック)が発生する場合がある。このような縦割れは、格子不整合に起因する可能性があり、プラズマ化学に対して攻撃点となる可能性がある。物品が加熱され、冷却されるたびに、薄膜保護層と、それが被覆する基板との間の熱膨張係数の不整合は、薄膜保護層の応力を生じさせる。このような応力は、縦割れに集中する可能性がある。これは、薄膜保護層が、最終的にそれが被覆する基板から離れて剥離を引き起こす可能性がある。対照的に、縦割れがない場合、応力は薄膜全域に亘ってほぼ均一に分布される。
したがって、一実施形態では、薄膜保護層スタック406内の第1層408は、YAG又はEAGなどのアモルファスセラミックスであり、薄膜保護層スタック406内の第2層410は、セラミックス化合物又はErなどの結晶又はナノ結晶セラミックスである。このような一実施形態では、第2層410は、第1層408に比べて、より高い耐プラズマ性を提供することができる。本体405上に(又はSiC又はSiN保護層上に)直接ではなく、第1層408上に第2層410を形成することによって、第1層408は後続の層の格子不整合を最小化するためのバッファとしての役割を果たす。こうして、第2層410の寿命を増大させることができる。
別の一例では、本体、YAl12(YAG)、YAl、Er、Gd、ErAl12、GdAl12、及びYAlとY−ZrOの固溶液とを含むセラミックス化合物のそれぞれは、異なる熱膨張係数を有する可能性がある。2つの隣接する材料間の熱膨張係数の不整合が大きければ大きいほど、それらの材料のうちのいずれかが最終的に、割れ、剥がれ、又はそうでなくとも他の材料へのその接着を失う可能性が大きくなる。保護層スタック406、506は、隣接する層間の(又は層と本体405、505との間の)熱膨張係数の不整合を最小化するような方法で形成させることができる。例えば、本体505は、グラファイトとすることができ、EAGは、グラファイトの熱膨張係数に最も近い熱膨張係数を有することができ、YAGの熱膨張係数がそれに続き、化合物セラミックスの熱膨張係数がそれに続く。したがって、一実施形態では、第1層508は、EAGとすることができ、第2層510は、YAGとすることができ、第3層515は、化合物セラミックスとすることができる。
別の一例では、保護層スタック506内の層は、2つの異なるセラミックスの交互層とすることができる。例えば、第1層508と第3層515は、YAGとすることができ、第2層510と第4層518は、EAG又はYFとすることができる。このような交互層は、交互層内で使用される一方の材料がアモルファスであり、交互層内で使用される他方の材料が結晶又はナノ結晶である場合に、上記のものと同様の利点を提供することができる。
別の一例では、識別可能な色を有する薄膜コーティングを、薄膜保護層スタック406又は506のある点に堆積させることができる。例えば、識別可能な色を有する薄膜コーティングは、薄膜スタックの底部に堆積させることができる。識別可能な色を有する薄膜コーティングは、例えば、Er又はSmOとすることができる。技術者が識別可能な色を見たときに、サセプタを交換又は改修(再生)する必要があることを警告することができる。
いくつかの実施形態では、薄膜保護層スタック406、506内の1以上の層は、熱処理を用いて形成された遷移層である。本体405、505が、セラミックス体の場合は、薄膜保護層と本体との間の相互拡散を促進するために、高温熱処理を実行することができる。また、熱処理は、隣接する薄膜保護層間又は厚い保護層と薄膜保護層との間の相互拡散を促進するために実行してもよい。特に、遷移層は、非多孔質層とすることができる。遷移層は、2つのセラミックス間の拡散接合の役割を果たすことができ、隣接するセラミックス間の改善された接着性を提供することができる。これは、プラズマ処理中に保護層が割れる、剥離する、又ははがれるのを防止するのを助けることができる。
熱処理は、最長約24時間(例えば、一実施形態では3〜6時間)の間、最高約1400〜1600℃の加熱処理とすることができる。これは、第1薄膜保護層と、隣接するセラミックス体、厚い保護層、又は第2薄膜保護層のうちの1以上との間に相互拡散層を作ることができる。
図6は、物品上に1以上の保護層を形成するためのプロセス600の一実施形態を示す。プロセス600のブロック605では、サセプタが提供される。サセプタは、ALD処理チャンバ用とすることができる。一実施形態では、サセプタは、熱伝導性の半金属体(良好な熱伝導性を有する半金属体)を有する。一実施形態では、熱伝導性の半金属体は、グラファイト体である。あるいはまた、非熱伝導性のサセプタを提供してもよい。非熱伝導性サセプタは、炭素ガラスからなる本体を有することができる。他の実施形態では、サセプタ以外の物品を提供してもよい。例えば、ALD処理チャンバ用アルミニウムシャワーヘッドを提供することができる。
一実施形態では、ブロック608で耐プラズマ性セラミックスプラグがサセプタ内の穴内に挿入される。耐プラズマ性セラミックスプラグは穴内に圧入することができる。代替の一実施形態では、耐プラズマ性セラミックスプラグは、ブロック610の後にサセプタ内の穴内に挿入される。別の一実施形態では、耐プラズマ性セラミックスプラグは、サセプタ内の穴内に挿入されない。
ブロック610では、提供されたサセプタ上に第1保護層を堆積させるためにCVDプロセスが実行される。一実施形態では、第1保護層は、サセプタのプラズマ対向面のみを覆う。別の一実施形態では、第1保護層は、サセプタの前面及び背面を覆う。別の一実施形態では、第1保護層は、サセプタの前面、背面、及び側面を覆う。一実施形態では、第1保護層は、SiCである。あるいはまた、第1保護層は、SiN、又は別の適切な材料とすることができる。第1保護層は、最大約200ミクロンの厚さを有することができる。サセプタの表面構造を、グラファイト内に機械加工してもよい。一実施形態では、第1保護層は、堆積後に研磨される。
ブロック615では、サセプタは、200℃以上の温度に加熱される。例えば、サセプタは、200〜400℃の温度に加熱することができる。一実施形態では、サセプタは、300℃の温度に加熱される。
ブロック620では、サセプタを加熱しながら、第1保護層の1以上の表面上に第2保護層を堆積させるために、IADが実行される。一実施形態では、第2保護層は、第1保護層のプラズマ対向面のみを覆う。別の一実施形態では、第2保護層は、サセプタの表裏の第1保護層を覆う。別の一実施形態では、第2保護層は、第1保護層のすべての表面を覆う。一実施形態では、IAD堆積の前に、酸素及び/又はアルゴンイオンがイオン銃によってサセプタに向けられる。酸素及びアルゴンイオンは、第1保護層上の表面の有機汚染物を燃焼させ、残留粒子を分散させることができる。
実行可能な2種類のIADは、EB−IADとIBS−IADを含む。EB−IADは、蒸着によって実行することができる。IBS−IADは、固体ターゲット材料をスパッタリングすることによって実行することができる。第2保護層は、YAl12、YAl、ErAl12、又はYFとすることができる。第2保護層は、アモルファスとすることができ、450℃の温度でクラッキングに対する耐性を有することが可能である。一実施形態では、保護層は、最高550℃の繰り返しの熱サイクル後でさえ、クラッキングに見舞われないことが可能である。更なる一実施形態では、第2保護層は、最高650℃の室温の温度でクラッキングに対する耐性を有する。第1保護層及びサセプタの両方とも第2保護層とは異なる熱膨張係数を有する可能性があり、第2保護層は、第1保護層及びサセプタの上に堆積されるが、クラッキングに対する耐性を有することができる。
第2保護層の堆積速度は、毎秒約1〜8オングストロームとすることができ、堆積パラメータを調整することによって変化させることができる。一実施形態では、堆積速度は、毎秒1〜2オングストローム(Å/秒)である。堆積速度はまた、堆積中に変更してもよい。一実施形態では、基板上に適合し良好に付着するコーティングを達成するために、約0.25〜1Å/秒の初期の堆積速度が使用される。その後、より短く、より費用効果的なコーティングの実行内で、より厚いコーティングを達成するために、2〜10Å/秒の堆積速度が使用される。
第2保護層は、それが上に堆積される材料に対して、非常に適合しており、厚さが均一であり、良好な接着性を有することが可能な薄膜保護層とすることができる。一実施形態では、第2保護層は、1000ミクロン未満の厚さを有する。更なる一実施形態では、第2保護層は、5〜50ミクロンの厚さを有する。更に別の一実施形態では、第2保護層は20ミクロン未満の厚さを有する。
ブロック625では、追加の保護層(例えば、追加の薄膜保護層)を堆積するかどうかに関しての判断がなされる。追加の保護層が堆積される場合、プロセスはブロック630へと継続する。ブロック630では、他の保護層が、IADを用いて第2保護層上に形成される。
一実施形態では、他の保護層は、第2保護層のセラミックスとは異なるセラミックスで構成される。一実施形態では、他の保護層は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、YF、又はYAlとY−ZrOの固溶液とのセラミックス化合物のうちの1つである。
別の一実施形態では、他の保護層は、第2保護層のセラミックスと同じであるセラミックスで構成される。例えば、マスクは、第2保護層を形成した後に、サセプタ上に配置してもよい。このマスクは、構造(例えば、メサ及びシール)がサセプタ上に(例えば、サセプタ内の凹部内に)形成されるためのものである開口部を有することができる。その後、追加の保護層を、これらの構造を形成するために堆積させることができる。一実施形態では、構造(例えば、メサ)は、10〜20ミクロンの高さを有する。
その後、本方法は、ブロック625に戻る。ブロック625で追加の薄膜保護層が塗布されない場合は、プロセスは終了する。
図7Aは、イオンアシスト蒸着(IAD)などの高エネルギー粒子を利用した様々な堆積技術に適用可能な堆積メカニズムを示す。典型的なIAD法は、イオン衝突を組み込む堆積プロセス(例えば、本明細書に記載されるような耐プラズマ性コーティングを形成するためのイオン衝突の存在下での蒸着(例えば、活性化反応性蒸着法(ARE)又はEB−IAD)及びスパッタリング(例えば、IBS−IAD))を含む。IAD法のいずれも、反応性ガス種(例えば、O、N、ハロゲン等)の存在下で実施することができる
図示のように、薄膜保護層715は、イオンなどの高エネルギー粒子703の存在下で堆積材料702の蓄積によって形成される。堆積材料702は、原子、イオン、ラジカル、又はそれらの混合物を含む。それが形成されるとき、高エネルギー粒子703は、薄膜保護層715に衝突し、圧縮することができる。
一実施形態では、IADは、本明細書の他の箇所で先に説明したように、薄膜保護層715を形成するために利用される。図7Bは、IAD堆積装置の概略図を示す。図示されるように、材料源752(ターゲット本体とも呼ばれる)は、堆積材料702のフラックスを提供し、一方、高エネルギー粒子源755は、高エネルギー粒子703のフラックスを提供し、これらの両方とも、IADプロセスを通して物品750に衝突する。高エネルギー粒子源755は、酸素又は他のイオン源とすることができる。高エネルギー粒子源755はまた、粒子の発生源由来(例えば、プラズマ、反応性ガス由来、又は堆積材料を提供する材料源由来)の他の種類の高エネルギー粒子(例えば、不活性ラジカル、中性子原子、及びナノサイズ粒子)を提供することができる。堆積材料702を提供するために使用される材料源(例えば、ターゲット本体)752は、薄膜保護層715が構成されるのと同じセラミックスに対応するバルク焼結セラミックスとすることができる。例えば、材料源は、バルク焼結セラミックス複合体、又はバルク焼結YAG、Er、Gd、ErAl12、YF、又はGdAl12とすることができる。IADは、材料源及び高エネルギーイオン源を提供するために、1以上のプラズマ又はビームを利用してもよい。あるいはまた、材料源は、金属であってもよい。
反応種はまた、耐プラズマ性コーティングの堆積中に提供してもよい。一実施形態では、高エネルギー粒子703は、非反応種(例えば、Ar)又は反応種(例えば、O)のうちの少なくとも1つを含む。更なる実施形態では、薄膜保護層715に最も弱く接着された堆積材料を選択的に除去する傾向を更に増大させるために、CO及びハロゲン(Cl、F、Br等)などの反応種をまた、耐プラズマ性コーティングの形成中に導入してもよい。
IADプロセスでは、高エネルギー粒子703は、他の堆積パラメータとは独立して、高エネルギーイオン(又は他の粒子)源755によって制御することができる。高エネルギーイオンフラックスのエネルギー(例えば、速度)、密度、及び入射角は、薄膜保護層の組成、構造、結晶方位、及び粒径を制御するために調整することができる。調整することができる追加のパラメータは、堆積中、並びに堆積の期間の間の物品の温度である。
イオンアシストエネルギーは、コーティングを高密度化し、基板の表面上への材料の堆積を加速するために使用される。イオンアシストエネルギーは、イオン源の電圧と電流の両方を使用して変更することができる。電圧と電流は、コーティングの応力と、コーティングの結晶化度を操作するために、高い及び低いコーティング密度を達成するように調整することができる。イオンアシストエネルギーは、約50〜500V及び約1〜50アンペア(A)の範囲とすることができる。イオンアシストエネルギーはまた、コーティングの化学量論を意図的に変更するために使用することができる。例えば、金属ターゲットは、堆積中に使用することができ、金属酸化物に転換される。
コーティング温度は、堆積チャンバ及び/又は基板を加熱するためのヒータを使用し、堆積速度を調節することによって制御することができる。堆積中の基板(物品)温度は、低温(典型的には室温である一実施形態で約120〜150℃)と高温(一実施形態では約270℃以上)におおざっぱに分けることができる。一実施形態では、約300℃の堆積温度が使用される。あるいはまた、より高い(例えば、最高450℃)又はより低い(例えば、最低で室温)の堆積温度を使用することができる。堆積温度は、膜応力、結晶化度、及び他のコーティング特性を調整することために使用することができる。
作動距離は、電子ビーム(又はイオンビーム)銃と基板との間の距離である。作動距離は、最も高い均一性を有するコーティングを達成するように変化させることができる。また、作動距離は、コーティングの堆積速度及び密度に影響を与える可能性がある。
堆積角度は、電子ビーム(又はイオンビーム)と基板との間の角度である。堆積角度は、基板の位置及び/又は姿勢を変えることによって変化させることができる。堆積角度を最適化することにより、三次元の幾何学的形状内で均一なコーティング(被覆)を達成することができる。
EB−IAD及びIBS−IAD堆積は、表面状態の広い範囲で実現可能である。しかしながら、研磨された表面は、均一なコーティングカバレッジを達成するために好ましい。IAD堆積中に基板を保持するために、種々の固定具を使用することができる。
図8は、本発明の実施形態に従って形成された薄膜保護層に対する浸食速度を示す。図8は、NFプラズマ化学に曝露されたときの薄膜保護層の浸食速度を示している。図示されるように、IAD堆積された薄膜保護層は、SiCと比べてはるかに改善された耐食性を示している。例えば、SiCは、高周波時間当たり2.5μm(μm/RF時間)を超える浸食速度を示した。対照的に、IAD堆積されたEAG、YAG、及びYFの薄膜保護層はすべて、0.2μm/RF時間未満の浸食速度を示した。
前述の説明は、本発明のいくつかの実施形態の良好な理解を提供するために、具体的なシステム、コンポーネント、方法等の例などの多数の具体的な詳細を説明している。しかしながら、本発明の少なくともいくつかの実施形態は、これらの具体的な詳細なしに実施することができることが当業者には明らかであろう。他の例では、周知のコンポーネント又は方法は、本発明を不必要に不明瞭にしないために、詳細には説明しないか、単純なブロック図形式で提示されている。したがって、説明された具体的な詳細は、単なる例示である。特定の実装では、これらの例示的な詳細とは異なる場合があるが、依然として本発明の範囲内にあることが理解される。
本明細書全体を通して「1つの実施形態」又は「一実施形態」への参照は、その実施形態に関連して記載された特定の構成、構造、又は特性が少なくとも1つの実施形態に含まれることを意味している。したがって、本明細書を通じて様々な場所における「1つの実施形態では」又は「一実施形態では」という語句の出現は、必ずしも全て同じ実施形態を指すものではない。また、用語「又は」は、排他的な「又は」ではなく包含的な「又は」を意味することを意図している。用語「約」又は「およそ」は、本明細書で使用される場合、これは、提示された公称値が±30%以内で正確であることを意味することを意図している。
本明細書内の本方法の操作が、特定の順序で図示され説明されているが、特定の操作を逆の順序で行うように、又は特定の操作を少なくとも部分的に他の操作と同時に実行するように、各方法の操作の順序を変更することができる。別の一実施形態では、異なる操作の命令又は副操作は、断続的及び/又は交互の方法とすることができる。
なお、上記の説明は例示であり、限定的ではないことを意図していることが理解されるべきである。上記の説明を読み理解することにより、多くの他の実施形態が当業者にとって明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を、そのような特許請求の範囲が権利を与える均等物の全範囲と共に参照して決定されるべきである。

Claims (15)

  1. 熱伝導性材料を含む本体と、
    本体の表面上の第1保護層であって、熱伝導性セラミックスである第1保護層と、
    第1保護層上の第2保護層であって、最高650℃の温度でクラッキングに耐性のある耐プラズマ性セラミックス薄膜を含む第2保護層とを含む物品。
  2. 熱伝導性材料は、グラファイトを含む、請求項1記載の物品。
  3. 熱伝導性材料は、熱伝導性の半金属を含み、第1保護層は、炭化ケイ素を含む、請求項1記載の物品。
  4. 物品は、原子層堆積チャンバ用サセプタである、請求項1記載の物品。
  5. 第1層は、複数の凹部を含み、複数の凹部のそれぞれは、ウェハを支持するように構成され、複数の表面構造を有し、第2層は、複数の凹部及び複数の表面構造に倣っている、請求項4記載の物品。
  6. 第2保護層は、ErAl12、YAl12、及びYFからなる群から選択されるセラミックスを含み、5〜50ミクロンの厚さを有する、請求項1記載の物品。
  7. 第1保護層上の保護層スタックを含み、保護層スタックは、少なくとも第2保護層と、第2保護層を覆う第3保護層とを含み、第3保護層は、約20ミクロン未満の厚さを有し、YAl12、YAl、Er、Gd、ErAl12、GdAl12、又はYAlとY−ZrOの固溶液とを含むセラミックス化合物のうちの少なくとも1つを含む、請求項1記載の物品。
  8. 第2層は、フッ素系の化学物質を有するプラズマに耐食性がある、請求項1記載の物品。
  9. 本体内の複数の穴内に複数の耐プラズマ性プラグを含み、第2保護層は、複数の耐プラズマ性プラグを覆う、請求項1記載の物品。
  10. 複数の耐プラズマ性プラグは、AlN、Y、又はYAlとY−ZrOの固溶液とを含むセラミックス化合物のうちの少なくとも1つを含む焼結セラミックスで構成される、請求項9記載の物品。
  11. 熱伝導体を含む物品を提供する工程と、
    熱伝導性セラミックスである第1保護層を熱伝導体の表面上に堆積させる工程と、
    最高650℃の温度でクラッキングに耐性のある耐プラズマ性セラミックス薄膜を含む第2保護層を第1保護層上に堆積させるためにイオンアシスト蒸着を実行する工程を含む方法。
  12. 約200〜400℃の温度に物品を加熱する工程と、
    物品を加熱しながら、イオンアシスト蒸着を実行する工程を含む、請求項11記載の方法。
  13. 第1保護層を堆積する工程は、化学気相堆積プロセスを実行する工程を含み、第2保護層は、5〜50ミクロンの厚さを有する、請求項11記載の方法。
  14. 物品は、原子層堆積チャンバ用のサセプタを含み、熱伝導体は、グラファイトを含み、第1保護層は、炭化ケイ素を含み、第2保護層は、ErAl12、YAl12、及びYFからなる群から選択されるセラミックスを含む、請求項11記載の方法。
  15. 複数の耐プラズマ性プラグは、AlN、Y、又はYAlとY−ZrOの固溶液とを含むセラミックス化合物のうちの少なくとも1つを含む焼結セラミックスで構成され、前記方法は、第1保護層を堆積させる工程を実行する前、又はイオンアシスト蒸着を実行する前に、熱伝導体内の複数の穴内に複数の耐プラズマ性プラグを挿入する工程を含む、請求項14記載の方法。
JP2016555995A 2014-04-25 2015-04-23 高温アプリケーション用プラズマ耐食性薄膜コーティング Active JP6709164B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461984691P 2014-04-25 2014-04-25
US61/984,691 2014-04-25
US14/693,745 2015-04-22
US14/693,745 US9976211B2 (en) 2014-04-25 2015-04-22 Plasma erosion resistant thin film coating for high temperature application
PCT/US2015/027345 WO2015164638A1 (en) 2014-04-25 2015-04-23 Plasma erosion resistant thin film coating for high temperature application

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020015981A Division JP7175289B2 (ja) 2014-04-25 2020-02-03 高温アプリケーション用プラズマ耐食性薄膜コーティング

Publications (3)

Publication Number Publication Date
JP2017514991A true JP2017514991A (ja) 2017-06-08
JP2017514991A5 JP2017514991A5 (ja) 2018-05-24
JP6709164B2 JP6709164B2 (ja) 2020-06-10

Family

ID=54333203

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016555995A Active JP6709164B2 (ja) 2014-04-25 2015-04-23 高温アプリケーション用プラズマ耐食性薄膜コーティング
JP2020015981A Active JP7175289B2 (ja) 2014-04-25 2020-02-03 高温アプリケーション用プラズマ耐食性薄膜コーティング
JP2022178555A Pending JP2023017933A (ja) 2014-04-25 2022-11-08 高温アプリケーション用プラズマ耐食性薄膜コーティング

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020015981A Active JP7175289B2 (ja) 2014-04-25 2020-02-03 高温アプリケーション用プラズマ耐食性薄膜コーティング
JP2022178555A Pending JP2023017933A (ja) 2014-04-25 2022-11-08 高温アプリケーション用プラズマ耐食性薄膜コーティング

Country Status (5)

Country Link
US (3) US9976211B2 (ja)
JP (3) JP6709164B2 (ja)
KR (2) KR102493316B1 (ja)
CN (3) CN111254436B (ja)
WO (1) WO2015164638A1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018162205A (ja) * 2017-03-17 2018-10-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 原子層堆積による多孔質体の耐プラズマ性コーティング
JP2019183278A (ja) * 2018-04-06 2019-10-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ゾーン制御された、希土類酸化物ald及びcvdコーティング
JP2020537827A (ja) * 2017-10-19 2020-12-24 エヴァテック・アーゲー 基板を処理するための方法及び装置
JP2021507513A (ja) * 2017-12-15 2021-02-22 ラム リサーチ コーポレーションLam Research Corporation 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング
JP2022510433A (ja) * 2018-12-06 2022-01-26 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
JP2022021458A (ja) * 2020-07-22 2022-02-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
KR20230146583A (ko) 2021-03-29 2023-10-19 토토 가부시키가이샤 복합 구조물 및 복합 구조물을 구비한 반도체 제조 장치
KR20230146584A (ko) 2021-03-29 2023-10-19 토토 가부시키가이샤 복합 구조물 및 복합 구조물을 구비한 반도체 제조 장치
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11557464B2 (en) * 2019-06-20 2023-01-17 Applied Materials, Inc. Semiconductor chamber coatings and processes
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP2023502137A (ja) * 2019-11-22 2023-01-20 ラム リサーチ コーポレーション プラズマチャンバの低温焼結コーティング
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
JP7115582B2 (ja) * 2020-04-30 2022-08-09 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
JPWO2021241645A1 (ja) * 2020-05-28 2021-12-02
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639584A (zh) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及形成复合涂层的方法
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
KR102390123B1 (ko) * 2020-12-22 2022-04-25 한국세라믹기술원 내플라즈마 세라믹 기판 및 그 제조방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11702744B2 (en) 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113698208B (zh) * 2021-08-24 2022-06-14 南通三责精密陶瓷有限公司 一种等离子刻蚀用碳化硅载盘的制造方法及碳化硅载盘
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023146648A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Undercoating coverage and resistance control for escs of substrate processing systems
US11735212B1 (en) * 2022-04-25 2023-08-22 Sae Magnetics (H.K.) Ltd. Thermally assisted magnetic head including a record/read separate protective structure, head gimbal assembly and hard disk drive each having the thermally assisted magnetic head
US20240093355A1 (en) * 2022-09-21 2024-03-21 Applied Materials, Inc. Glassy Carbon Shutter Disk For Physical Vapor Deposition (PVD) Chamber

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001262346A (ja) * 2000-03-15 2001-09-26 Nippon Carbon Co Ltd ピンホ−ルを低減したSiC被覆黒鉛部材の製法
JP2004508728A (ja) * 2000-09-05 2004-03-18 サンーゴバン セラミックス アンド プラスティクス,インコーポレイティド 多孔領域を有する静電チャック
JP2005097685A (ja) * 2002-11-27 2005-04-14 Kyocera Corp 耐食性部材およびその製造方法
JP2009231448A (ja) * 2008-03-21 2009-10-08 Sumco Corp 気相成長装置用サセプタ
JP2012508467A (ja) * 2008-11-10 2012-04-05 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ部品用耐プラズマコーティング
JP2012517701A (ja) * 2009-02-11 2012-08-02 アプライド マテリアルズ インコーポレイテッド 非接触基板処理

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
EP1013623B1 (en) * 1998-12-21 2004-09-15 Shin-Etsu Chemical Co., Ltd. Corrosion-resistant composite oxide material
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1301941A2 (en) 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
US6490145B1 (en) * 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
JP4503270B2 (ja) * 2002-11-28 2010-07-14 東京エレクトロン株式会社 プラズマ処理容器内部材
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
CN1841675A (zh) 2003-02-12 2006-10-04 松下电器产业株式会社 半导体器件的制造方法
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US8124240B2 (en) 2005-06-17 2012-02-28 Tohoku University Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP2008016795A (ja) * 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080066683A1 (en) 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7772752B2 (en) * 2007-03-29 2010-08-10 Ngk Spark Plug Co., Ltd. Plasma-jet spark plug
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
TWI484576B (zh) * 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) * 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
KR20110136583A (ko) * 2010-06-15 2011-12-21 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5227466B2 (ja) * 2011-02-25 2013-07-03 日本特殊陶業株式会社 プラズマジェット点火プラグ
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
KR20140085448A (ko) * 2011-09-09 2014-07-07 이노벤트 테크놀로지스 코팅된 도가니 및 코팅된 도가니를 제조하는 방법
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
CN104126028B (zh) 2011-12-19 2017-02-22 普莱克斯 S.T.技术有限公司 生产热障和环境障涂层的含水浆料及制备和施用其的方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9447365B2 (en) 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001262346A (ja) * 2000-03-15 2001-09-26 Nippon Carbon Co Ltd ピンホ−ルを低減したSiC被覆黒鉛部材の製法
JP2004508728A (ja) * 2000-09-05 2004-03-18 サンーゴバン セラミックス アンド プラスティクス,インコーポレイティド 多孔領域を有する静電チャック
JP2005097685A (ja) * 2002-11-27 2005-04-14 Kyocera Corp 耐食性部材およびその製造方法
JP2009231448A (ja) * 2008-03-21 2009-10-08 Sumco Corp 気相成長装置用サセプタ
JP2012508467A (ja) * 2008-11-10 2012-04-05 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ部品用耐プラズマコーティング
JP2012517701A (ja) * 2009-02-11 2012-08-02 アプライド マテリアルズ インコーポレイテッド 非接触基板処理

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
JP2018162205A (ja) * 2017-03-17 2018-10-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 原子層堆積による多孔質体の耐プラズマ性コーティング
JP7093192B2 (ja) 2017-03-17 2022-06-29 アプライド マテリアルズ インコーポレイテッド 原子層堆積による多孔質体の耐プラズマ性コーティング
JP2020537827A (ja) * 2017-10-19 2020-12-24 エヴァテック・アーゲー 基板を処理するための方法及び装置
JP7050912B2 (ja) 2017-10-19 2022-04-08 エヴァテック・アーゲー 基板を処理するための方法及び装置
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
JP2021507513A (ja) * 2017-12-15 2021-02-22 ラム リサーチ コーポレーションLam Research Corporation 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング
JP7325417B2 (ja) 2017-12-15 2023-08-14 ラム リサーチ コーポレーション 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング
JP7304192B2 (ja) 2018-04-06 2023-07-06 アプライド マテリアルズ インコーポレイテッド ゾーン制御された、希土類酸化物ald及びcvdコーティング
JP2019183278A (ja) * 2018-04-06 2019-10-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ゾーン制御された、希土類酸化物ald及びcvdコーティング
JP2022510433A (ja) * 2018-12-06 2022-01-26 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
JP7460626B2 (ja) 2018-12-06 2024-04-02 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
JP7242612B2 (ja) 2020-07-22 2023-03-20 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP2022021458A (ja) * 2020-07-22 2022-02-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR20230146583A (ko) 2021-03-29 2023-10-19 토토 가부시키가이샤 복합 구조물 및 복합 구조물을 구비한 반도체 제조 장치
KR20230146584A (ko) 2021-03-29 2023-10-19 토토 가부시키가이샤 복합 구조물 및 복합 구조물을 구비한 반도체 제조 장치

Also Published As

Publication number Publication date
US11773479B2 (en) 2023-10-03
US10815562B2 (en) 2020-10-27
US9976211B2 (en) 2018-05-22
KR20160145816A (ko) 2016-12-20
CN106133885B (zh) 2020-03-03
CN106133885A (zh) 2016-11-16
KR102493316B1 (ko) 2023-01-27
WO2015164638A1 (en) 2015-10-29
JP2023017933A (ja) 2023-02-07
US20180230587A1 (en) 2018-08-16
CN111270223A (zh) 2020-06-12
US20150307982A1 (en) 2015-10-29
JP6709164B2 (ja) 2020-06-10
CN111254436A (zh) 2020-06-09
US20210010126A1 (en) 2021-01-14
JP7175289B2 (ja) 2022-11-18
CN111254436B (zh) 2023-02-17
KR102388784B1 (ko) 2022-04-19
JP2020080412A (ja) 2020-05-28
KR20220051276A (ko) 2022-04-26

Similar Documents

Publication Publication Date Title
JP7175289B2 (ja) 高温アプリケーション用プラズマ耐食性薄膜コーティング
US11680308B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US11566319B2 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6630345B2 (ja) 希土類酸化物のイオンアシスト蒸着トップコート
JP6487915B2 (ja) プロセスリング上の希土類酸化物系薄膜コーティング用イオンアシスト蒸着
TWI659853B (zh) 用於高溫應用的耐電漿腐蝕薄膜塗層

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180409

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180409

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181016

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190115

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190416

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20191002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200203

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20200210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200428

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200522

R150 Certificate of patent or registration of utility model

Ref document number: 6709164

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250