JP2017514991A - 高温アプリケーション用プラズマ耐食性薄膜コーティング - Google Patents
高温アプリケーション用プラズマ耐食性薄膜コーティング Download PDFInfo
- Publication number
- JP2017514991A JP2017514991A JP2016555995A JP2016555995A JP2017514991A JP 2017514991 A JP2017514991 A JP 2017514991A JP 2016555995 A JP2016555995 A JP 2016555995A JP 2016555995 A JP2016555995 A JP 2016555995A JP 2017514991 A JP2017514991 A JP 2017514991A
- Authority
- JP
- Japan
- Prior art keywords
- protective layer
- article
- thin film
- susceptor
- layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
- C23C14/081—Oxides of aluminium, magnesium or beryllium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/02—Pretreatment of the material to be coated
- C23C14/024—Deposition of sublayers, e.g. to promote adhesion of the coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/0635—Carbides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/0694—Halides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
- C23C14/083—Oxides of refractory metals or yttrium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/221—Ion beam deposition
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4581—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
Abstract
Description
Claims (15)
- 熱伝導性材料を含む本体と、
本体の表面上の第1保護層であって、熱伝導性セラミックスである第1保護層と、
第1保護層上の第2保護層であって、最高650℃の温度でクラッキングに耐性のある耐プラズマ性セラミックス薄膜を含む第2保護層とを含む物品。 - 熱伝導性材料は、グラファイトを含む、請求項1記載の物品。
- 熱伝導性材料は、熱伝導性の半金属を含み、第1保護層は、炭化ケイ素を含む、請求項1記載の物品。
- 物品は、原子層堆積チャンバ用サセプタである、請求項1記載の物品。
- 第1層は、複数の凹部を含み、複数の凹部のそれぞれは、ウェハを支持するように構成され、複数の表面構造を有し、第2層は、複数の凹部及び複数の表面構造に倣っている、請求項4記載の物品。
- 第2保護層は、Er3Al5O12、Y3Al5O12、及びYF3からなる群から選択されるセラミックスを含み、5〜50ミクロンの厚さを有する、請求項1記載の物品。
- 第1保護層上の保護層スタックを含み、保護層スタックは、少なくとも第2保護層と、第2保護層を覆う第3保護層とを含み、第3保護層は、約20ミクロン未満の厚さを有し、Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、又はY4Al2O9とY2O3−ZrO2の固溶液とを含むセラミックス化合物のうちの少なくとも1つを含む、請求項1記載の物品。
- 第2層は、フッ素系の化学物質を有するプラズマに耐食性がある、請求項1記載の物品。
- 本体内の複数の穴内に複数の耐プラズマ性プラグを含み、第2保護層は、複数の耐プラズマ性プラグを覆う、請求項1記載の物品。
- 複数の耐プラズマ性プラグは、AlN、Y2O3、又はY4Al2O9とY2O3−ZrO2の固溶液とを含むセラミックス化合物のうちの少なくとも1つを含む焼結セラミックスで構成される、請求項9記載の物品。
- 熱伝導体を含む物品を提供する工程と、
熱伝導性セラミックスである第1保護層を熱伝導体の表面上に堆積させる工程と、
最高650℃の温度でクラッキングに耐性のある耐プラズマ性セラミックス薄膜を含む第2保護層を第1保護層上に堆積させるためにイオンアシスト蒸着を実行する工程を含む方法。 - 約200〜400℃の温度に物品を加熱する工程と、
物品を加熱しながら、イオンアシスト蒸着を実行する工程を含む、請求項11記載の方法。 - 第1保護層を堆積する工程は、化学気相堆積プロセスを実行する工程を含み、第2保護層は、5〜50ミクロンの厚さを有する、請求項11記載の方法。
- 物品は、原子層堆積チャンバ用のサセプタを含み、熱伝導体は、グラファイトを含み、第1保護層は、炭化ケイ素を含み、第2保護層は、Er3Al5O12、Y3Al5O12、及びYF3からなる群から選択されるセラミックスを含む、請求項11記載の方法。
- 複数の耐プラズマ性プラグは、AlN、Y2O3、又はY4Al2O9とY2O3−ZrO2の固溶液とを含むセラミックス化合物のうちの少なくとも1つを含む焼結セラミックスで構成され、前記方法は、第1保護層を堆積させる工程を実行する前、又はイオンアシスト蒸着を実行する前に、熱伝導体内の複数の穴内に複数の耐プラズマ性プラグを挿入する工程を含む、請求項14記載の方法。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201461984691P | 2014-04-25 | 2014-04-25 | |
US61/984,691 | 2014-04-25 | ||
US14/693,745 | 2015-04-22 | ||
US14/693,745 US9976211B2 (en) | 2014-04-25 | 2015-04-22 | Plasma erosion resistant thin film coating for high temperature application |
PCT/US2015/027345 WO2015164638A1 (en) | 2014-04-25 | 2015-04-23 | Plasma erosion resistant thin film coating for high temperature application |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2020015981A Division JP7175289B2 (ja) | 2014-04-25 | 2020-02-03 | 高温アプリケーション用プラズマ耐食性薄膜コーティング |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2017514991A true JP2017514991A (ja) | 2017-06-08 |
JP2017514991A5 JP2017514991A5 (ja) | 2018-05-24 |
JP6709164B2 JP6709164B2 (ja) | 2020-06-10 |
Family
ID=54333203
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016555995A Active JP6709164B2 (ja) | 2014-04-25 | 2015-04-23 | 高温アプリケーション用プラズマ耐食性薄膜コーティング |
JP2020015981A Active JP7175289B2 (ja) | 2014-04-25 | 2020-02-03 | 高温アプリケーション用プラズマ耐食性薄膜コーティング |
JP2022178555A Pending JP2023017933A (ja) | 2014-04-25 | 2022-11-08 | 高温アプリケーション用プラズマ耐食性薄膜コーティング |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2020015981A Active JP7175289B2 (ja) | 2014-04-25 | 2020-02-03 | 高温アプリケーション用プラズマ耐食性薄膜コーティング |
JP2022178555A Pending JP2023017933A (ja) | 2014-04-25 | 2022-11-08 | 高温アプリケーション用プラズマ耐食性薄膜コーティング |
Country Status (5)
Country | Link |
---|---|
US (3) | US9976211B2 (ja) |
JP (3) | JP6709164B2 (ja) |
KR (2) | KR102493316B1 (ja) |
CN (3) | CN111254436B (ja) |
WO (1) | WO2015164638A1 (ja) |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2018162205A (ja) * | 2017-03-17 | 2018-10-18 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 原子層堆積による多孔質体の耐プラズマ性コーティング |
JP2019183278A (ja) * | 2018-04-06 | 2019-10-24 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ゾーン制御された、希土類酸化物ald及びcvdコーティング |
JP2020537827A (ja) * | 2017-10-19 | 2020-12-24 | エヴァテック・アーゲー | 基板を処理するための方法及び装置 |
JP2021507513A (ja) * | 2017-12-15 | 2021-02-22 | ラム リサーチ コーポレーションLam Research Corporation | 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング |
JP2022510433A (ja) * | 2018-12-06 | 2022-01-26 | アプライド マテリアルズ インコーポレイテッド | 処理チャンバの耐食性接地シールド |
JP2022021458A (ja) * | 2020-07-22 | 2022-02-03 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
US11761079B2 (en) | 2017-12-07 | 2023-09-19 | Lam Research Corporation | Oxidation resistant protective layer in chamber conditioning |
KR20230146583A (ko) | 2021-03-29 | 2023-10-19 | 토토 가부시키가이샤 | 복합 구조물 및 복합 구조물을 구비한 반도체 제조 장치 |
KR20230146584A (ko) | 2021-03-29 | 2023-10-19 | 토토 가부시키가이샤 | 복합 구조물 및 복합 구조물을 구비한 반도체 제조 장치 |
US11920239B2 (en) | 2015-03-26 | 2024-03-05 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
Families Citing this family (245)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9212099B2 (en) | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
US9343289B2 (en) | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9711334B2 (en) | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US9583369B2 (en) | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
US20150079370A1 (en) | 2013-09-18 | 2015-03-19 | Applied Materials, Inc. | Coating architecture for plasma sprayed chamber components |
US9440886B2 (en) | 2013-11-12 | 2016-09-13 | Applied Materials, Inc. | Rare-earth oxide based monolithic chamber material |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US9976211B2 (en) | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US9460898B2 (en) | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US11326253B2 (en) * | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11572617B2 (en) | 2016-05-03 | 2023-02-07 | Applied Materials, Inc. | Protective metal oxy-fluoride coatings |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9850573B1 (en) | 2016-06-23 | 2017-12-26 | Applied Materials, Inc. | Non-line of sight deposition of erbium based plasma resistant ceramic coating |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US20180016678A1 (en) | 2016-07-15 | 2018-01-18 | Applied Materials, Inc. | Multi-layer coating with diffusion barrier layer and erosion resistant layer |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10186400B2 (en) | 2017-01-20 | 2019-01-22 | Applied Materials, Inc. | Multi-layer plasma resistant coating by atomic layer deposition |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10755900B2 (en) | 2017-05-10 | 2020-08-25 | Applied Materials, Inc. | Multi-layer plasma erosion protection for chamber components |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US20190136372A1 (en) * | 2017-08-14 | 2019-05-09 | Applied Materials, Inc. | Atomic layer deposition coatings for high temperature heaters |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US11279656B2 (en) | 2017-10-27 | 2022-03-22 | Applied Materials, Inc. | Nanopowders, nanoceramic materials and methods of making and use thereof |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
KR20200108016A (ko) | 2018-01-19 | 2020-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US11047035B2 (en) | 2018-02-23 | 2021-06-29 | Applied Materials, Inc. | Protective yttria coating for semiconductor equipment parts |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
TW202344708A (zh) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11401599B2 (en) | 2018-06-18 | 2022-08-02 | Applied Materials, Inc. | Erosion resistant metal silicate coatings |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11667575B2 (en) | 2018-07-18 | 2023-06-06 | Applied Materials, Inc. | Erosion resistant metal oxide coatings |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US20200131634A1 (en) * | 2018-10-26 | 2020-04-30 | Asm Ip Holding B.V. | High temperature coatings for a preclean and etch apparatus and related methods |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11180847B2 (en) | 2018-12-06 | 2021-11-23 | Applied Materials, Inc. | Atomic layer deposition coatings for high temperature ceramic components |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US10858741B2 (en) | 2019-03-11 | 2020-12-08 | Applied Materials, Inc. | Plasma resistant multi-layer architecture for high aspect ratio parts |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11557464B2 (en) * | 2019-06-20 | 2023-01-17 | Applied Materials, Inc. | Semiconductor chamber coatings and processes |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
JP2021019198A (ja) | 2019-07-19 | 2021-02-15 | エーエスエム・アイピー・ホールディング・ベー・フェー | トポロジー制御されたアモルファスカーボンポリマー膜の形成方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
JP2023502137A (ja) * | 2019-11-22 | 2023-01-20 | ラム リサーチ コーポレーション | プラズマチャンバの低温焼結コーティング |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
JP7115582B2 (ja) * | 2020-04-30 | 2022-08-09 | Toto株式会社 | 複合構造物および複合構造物を備えた半導体製造装置 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
JPWO2021241645A1 (ja) * | 2020-05-28 | 2021-12-02 | ||
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639584A (zh) * | 2020-12-15 | 2022-06-17 | 中微半导体设备(上海)股份有限公司 | 半导体零部件、等离子体处理装置及形成复合涂层的方法 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
KR102390123B1 (ko) * | 2020-12-22 | 2022-04-25 | 한국세라믹기술원 | 내플라즈마 세라믹 기판 및 그 제조방법 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11702744B2 (en) | 2021-02-17 | 2023-07-18 | Applied Materials, Inc. | Metal oxyfluoride film formation methods |
US11837448B2 (en) | 2021-04-27 | 2023-12-05 | Applied Materials, Inc. | High-temperature chamber and chamber component cleaning and maintenance method and apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
CN113698208B (zh) * | 2021-08-24 | 2022-06-14 | 南通三责精密陶瓷有限公司 | 一种等离子刻蚀用碳化硅载盘的制造方法及碳化硅载盘 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
WO2023146648A1 (en) * | 2022-01-28 | 2023-08-03 | Lam Research Corporation | Undercoating coverage and resistance control for escs of substrate processing systems |
US11735212B1 (en) * | 2022-04-25 | 2023-08-22 | Sae Magnetics (H.K.) Ltd. | Thermally assisted magnetic head including a record/read separate protective structure, head gimbal assembly and hard disk drive each having the thermally assisted magnetic head |
US20240093355A1 (en) * | 2022-09-21 | 2024-03-21 | Applied Materials, Inc. | Glassy Carbon Shutter Disk For Physical Vapor Deposition (PVD) Chamber |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001262346A (ja) * | 2000-03-15 | 2001-09-26 | Nippon Carbon Co Ltd | ピンホ−ルを低減したSiC被覆黒鉛部材の製法 |
JP2004508728A (ja) * | 2000-09-05 | 2004-03-18 | サンーゴバン セラミックス アンド プラスティクス,インコーポレイティド | 多孔領域を有する静電チャック |
JP2005097685A (ja) * | 2002-11-27 | 2005-04-14 | Kyocera Corp | 耐食性部材およびその製造方法 |
JP2009231448A (ja) * | 2008-03-21 | 2009-10-08 | Sumco Corp | 気相成長装置用サセプタ |
JP2012508467A (ja) * | 2008-11-10 | 2012-04-05 | アプライド マテリアルズ インコーポレイテッド | プラズマチャンバ部品用耐プラズマコーティング |
JP2012517701A (ja) * | 2009-02-11 | 2012-08-02 | アプライド マテリアルズ インコーポレイテッド | 非接触基板処理 |
Family Cites Families (104)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3796182A (en) | 1971-12-16 | 1974-03-12 | Applied Materials Tech | Susceptor structure for chemical vapor deposition reactor |
SE8000480L (sv) | 1979-02-01 | 1980-08-02 | Johnson Matthey Co Ltd | Artikel lemplig for anvendning vid hoga temperaturer |
US4695439A (en) | 1986-09-25 | 1987-09-22 | Gte Products Corporation | Yttrium oxide stabilized zirconium oxide |
US4773928A (en) | 1987-08-03 | 1988-09-27 | Gte Products Corporation | Plasma spray powders and process for producing same |
US4880614A (en) | 1988-11-03 | 1989-11-14 | Allied-Signal Inc. | Ceramic thermal barrier coating with alumina interlayer |
US5415756A (en) | 1994-03-28 | 1995-05-16 | University Of Houston | Ion assisted deposition process including reactive source gassification |
US6500314B1 (en) | 1996-07-03 | 2002-12-31 | Tegal Corporation | Plasma etch reactor and method |
US5837058A (en) | 1996-07-12 | 1998-11-17 | Applied Materials, Inc. | High temperature susceptor |
US6217662B1 (en) | 1997-03-24 | 2001-04-17 | Cree, Inc. | Susceptor designs for silicon carbide thin films |
EP1013623B1 (en) * | 1998-12-21 | 2004-09-15 | Shin-Etsu Chemical Co., Ltd. | Corrosion-resistant composite oxide material |
US6949203B2 (en) | 1999-12-28 | 2005-09-27 | Applied Materials, Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
US6596123B1 (en) * | 2000-01-28 | 2003-07-22 | Applied Materials, Inc. | Method and apparatus for cleaning a semiconductor wafer processing system |
TW503449B (en) | 2000-04-18 | 2002-09-21 | Ngk Insulators Ltd | Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members |
NL1015550C2 (nl) | 2000-06-28 | 2002-01-02 | Xycarb Ceramics B V | Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor. |
EP1301941A2 (en) | 2000-07-20 | 2003-04-16 | North Carolina State University | High dielectric constant metal silicates formed by controlled metal-surface reactions |
US6723209B2 (en) | 2001-03-16 | 2004-04-20 | 4-Wave, Inc. | System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals |
TWI246873B (en) | 2001-07-10 | 2006-01-01 | Tokyo Electron Ltd | Plasma processing device |
US6490145B1 (en) * | 2001-07-18 | 2002-12-03 | Applied Materials, Inc. | Substrate support pedestal |
US20030047464A1 (en) | 2001-07-27 | 2003-03-13 | Applied Materials, Inc. | Electrochemically roughened aluminum semiconductor processing apparatus surfaces |
US20030029563A1 (en) | 2001-08-10 | 2003-02-13 | Applied Materials, Inc. | Corrosion resistant coating for semiconductor processing chamber |
KR20030025007A (ko) | 2001-09-19 | 2003-03-28 | 삼성전자주식회사 | 쉴드링을 가지는 식각장비 |
US7371467B2 (en) | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
US8067067B2 (en) | 2002-02-14 | 2011-11-29 | Applied Materials, Inc. | Clean, dense yttrium oxide coating protecting semiconductor processing apparatus |
US20080213496A1 (en) * | 2002-02-14 | 2008-09-04 | Applied Materials, Inc. | Method of coating semiconductor processing apparatus with protective yttrium-containing coatings |
US20080264564A1 (en) | 2007-04-27 | 2008-10-30 | Applied Materials, Inc. | Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas |
US20030175142A1 (en) | 2002-03-16 | 2003-09-18 | Vassiliki Milonopoulou | Rare-earth pre-alloyed PVD targets for dielectric planar applications |
US7311797B2 (en) | 2002-06-27 | 2007-12-25 | Lam Research Corporation | Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor |
JP4260450B2 (ja) * | 2002-09-20 | 2009-04-30 | 東京エレクトロン株式会社 | 真空処理装置における静電チャックの製造方法 |
JP4503270B2 (ja) * | 2002-11-28 | 2010-07-14 | 東京エレクトロン株式会社 | プラズマ処理容器内部材 |
CN1249789C (zh) | 2002-11-28 | 2006-04-05 | 东京毅力科创株式会社 | 等离子体处理容器内部件 |
CN1841675A (zh) | 2003-02-12 | 2006-10-04 | 松下电器产业株式会社 | 半导体器件的制造方法 |
US20050142393A1 (en) | 2003-12-30 | 2005-06-30 | Boutwell Brett A. | Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase |
JP2005260040A (ja) | 2004-02-12 | 2005-09-22 | Sony Corp | ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法 |
JP2006108602A (ja) | 2004-09-10 | 2006-04-20 | Toshiba Corp | 半導体装置及びその製造方法 |
JP2006186306A (ja) * | 2004-09-30 | 2006-07-13 | Toshiba Ceramics Co Ltd | ガス拡散プレートおよびその製造方法 |
JP2006128000A (ja) | 2004-10-29 | 2006-05-18 | Advanced Lcd Technologies Development Center Co Ltd | プラズマ処理装置 |
US8124240B2 (en) | 2005-06-17 | 2012-02-28 | Tohoku University | Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure |
KR20070013118A (ko) | 2005-07-25 | 2007-01-30 | 삼성전자주식회사 | 플라즈마 식각 장치 |
US7672110B2 (en) | 2005-08-29 | 2010-03-02 | Applied Materials, Inc. | Electrostatic chuck having textured contact surface |
JP4985928B2 (ja) | 2005-10-21 | 2012-07-25 | 信越化学工業株式会社 | 多層コート耐食性部材 |
US20070128862A1 (en) | 2005-11-04 | 2007-06-07 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
US7736759B2 (en) | 2006-01-20 | 2010-06-15 | United Technologies Corporation | Yttria-stabilized zirconia coating with a molten silicate resistant outer layer |
JP2008016795A (ja) * | 2006-07-06 | 2008-01-24 | Momentive Performance Materials Inc | 耐腐食性ウェーハプロセス装置およびその作製方法 |
US20080029032A1 (en) | 2006-08-01 | 2008-02-07 | Sun Jennifer Y | Substrate support with protective layer for plasma resistance |
US20080066683A1 (en) | 2006-09-19 | 2008-03-20 | General Electric Company | Assembly with Enhanced Thermal Uniformity and Method For Making Thereof |
US7479464B2 (en) | 2006-10-23 | 2009-01-20 | Applied Materials, Inc. | Low temperature aerosol deposition of a plasma resistive layer |
US8097105B2 (en) | 2007-01-11 | 2012-01-17 | Lam Research Corporation | Extending lifetime of yttrium oxide as a plasma chamber material |
US7659204B2 (en) | 2007-03-26 | 2010-02-09 | Applied Materials, Inc. | Oxidized barrier layer |
US7772752B2 (en) * | 2007-03-29 | 2010-08-10 | Ngk Spark Plug Co., Ltd. | Plasma-jet spark plug |
US7718559B2 (en) | 2007-04-20 | 2010-05-18 | Applied Materials, Inc. | Erosion resistance enhanced quartz used in plasma etch chamber |
US7696117B2 (en) | 2007-04-27 | 2010-04-13 | Applied Materials, Inc. | Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas |
US8367227B2 (en) | 2007-08-02 | 2013-02-05 | Applied Materials, Inc. | Plasma-resistant ceramics with controlled electrical resistivity |
TWI484576B (zh) * | 2007-12-19 | 2015-05-11 | Lam Res Corp | 半導體真空處理設備用之薄膜黏接劑 |
US8129029B2 (en) | 2007-12-21 | 2012-03-06 | Applied Materials, Inc. | Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating |
US20090214825A1 (en) * | 2008-02-26 | 2009-08-27 | Applied Materials, Inc. | Ceramic coating comprising yttrium which is resistant to a reducing plasma |
US9017765B2 (en) | 2008-11-12 | 2015-04-28 | Applied Materials, Inc. | Protective coatings resistant to reactive plasma processing |
US8858745B2 (en) * | 2008-11-12 | 2014-10-14 | Applied Materials, Inc. | Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas |
JP5407324B2 (ja) | 2008-12-24 | 2014-02-05 | 堺化学工業株式会社 | 酸化ジルコニウム分散液の製造方法 |
US20110086178A1 (en) | 2009-10-14 | 2011-04-14 | General Electric Company | Ceramic coatings and methods of making the same |
US20110198034A1 (en) | 2010-02-11 | 2011-08-18 | Jennifer Sun | Gas distribution showerhead with coating material for semiconductor processing |
FR2957358B1 (fr) | 2010-03-12 | 2012-04-13 | Snecma | Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique |
KR20110136583A (ko) * | 2010-06-15 | 2011-12-21 | 삼성엘이디 주식회사 | 서셉터 및 이를 구비하는 화학 기상 증착 장치 |
US20110315081A1 (en) | 2010-06-25 | 2011-12-29 | Law Kam S | Susceptor for plasma processing chamber |
US20120196139A1 (en) | 2010-07-14 | 2012-08-02 | Christopher Petorak | Thermal spray composite coatings for semiconductor applications |
US9728429B2 (en) | 2010-07-27 | 2017-08-08 | Lam Research Corporation | Parasitic plasma prevention in plasma processing chambers |
KR101108692B1 (ko) | 2010-09-06 | 2012-01-25 | 한국기계연구원 | 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법 |
US8916021B2 (en) | 2010-10-27 | 2014-12-23 | Applied Materials, Inc. | Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof |
JP5227466B2 (ja) * | 2011-02-25 | 2013-07-03 | 日本特殊陶業株式会社 | プラズマジェット点火プラグ |
US20130048606A1 (en) | 2011-08-31 | 2013-02-28 | Zhigang Mao | Methods for in-situ chamber dry clean in photomask plasma etching processing chamber |
KR20140085448A (ko) * | 2011-09-09 | 2014-07-07 | 이노벤트 테크놀로지스 | 코팅된 도가니 및 코팅된 도가니를 제조하는 방법 |
US20130102156A1 (en) * | 2011-10-21 | 2013-04-25 | Lam Research Corporation | Components of plasma processing chambers having textured plasma resistant coatings |
CN104126028B (zh) | 2011-12-19 | 2017-02-22 | 普莱克斯 S.T.技术有限公司 | 生产热障和环境障涂层的含水浆料及制备和施用其的方法 |
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9212099B2 (en) | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
US20130273313A1 (en) | 2012-04-13 | 2013-10-17 | Applied Materials, Inc. | Ceramic coated ring and process for applying ceramic coating |
US9090046B2 (en) | 2012-04-16 | 2015-07-28 | Applied Materials, Inc. | Ceramic coated article and process for applying ceramic coating |
US9394615B2 (en) | 2012-04-27 | 2016-07-19 | Applied Materials, Inc. | Plasma resistant ceramic coated conductive article |
US20130288037A1 (en) | 2012-04-27 | 2013-10-31 | Applied Materials, Inc. | Plasma spray coating process enhancement for critical chamber components |
US9150602B2 (en) | 2012-07-24 | 2015-10-06 | Atomic Energy Council, Institute Of Nuclear Energy Research | Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same |
US9604249B2 (en) | 2012-07-26 | 2017-03-28 | Applied Materials, Inc. | Innovative top-coat approach for advanced device on-wafer particle performance |
US9343289B2 (en) | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US9447365B2 (en) | 2012-07-27 | 2016-09-20 | Applied Materials, Inc. | Enhanced cleaning process of chamber used plasma spray coating without damaging coating |
US20140037969A1 (en) | 2012-08-03 | 2014-02-06 | General Electric Company | Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition |
US9916998B2 (en) | 2012-12-04 | 2018-03-13 | Applied Materials, Inc. | Substrate support assembly having a plasma resistant protective layer |
US8941969B2 (en) | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
US9358702B2 (en) | 2013-01-18 | 2016-06-07 | Applied Materials, Inc. | Temperature management of aluminium nitride electrostatic chuck |
US9245761B2 (en) * | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9708713B2 (en) | 2013-05-24 | 2017-07-18 | Applied Materials, Inc. | Aerosol deposition coating for semiconductor chamber components |
US9865434B2 (en) | 2013-06-05 | 2018-01-09 | Applied Materials, Inc. | Rare-earth oxide based erosion resistant coatings for semiconductor application |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9711334B2 (en) | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US9583369B2 (en) | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
US9624593B2 (en) | 2013-08-29 | 2017-04-18 | Applied Materials, Inc. | Anodization architecture for electro-plate adhesion |
US20150079370A1 (en) | 2013-09-18 | 2015-03-19 | Applied Materials, Inc. | Coating architecture for plasma sprayed chamber components |
US9440886B2 (en) | 2013-11-12 | 2016-09-13 | Applied Materials, Inc. | Rare-earth oxide based monolithic chamber material |
US9663870B2 (en) | 2013-11-13 | 2017-05-30 | Applied Materials, Inc. | High purity metallic top coat for semiconductor manufacturing components |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
US20150311043A1 (en) | 2014-04-25 | 2015-10-29 | Applied Materials, Inc. | Chamber component with fluorinated thin film coating |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US9976211B2 (en) | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US10385459B2 (en) | 2014-05-16 | 2019-08-20 | Applied Materials, Inc. | Advanced layered bulk ceramics via field assisted sintering technology |
US10196728B2 (en) | 2014-05-16 | 2019-02-05 | Applied Materials, Inc. | Plasma spray coating design using phase and stress control |
US9460898B2 (en) | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
-
2015
- 2015-04-22 US US14/693,745 patent/US9976211B2/en active Active
- 2015-04-23 KR KR1020227012498A patent/KR102493316B1/ko active IP Right Grant
- 2015-04-23 CN CN202010090438.9A patent/CN111254436B/zh active Active
- 2015-04-23 KR KR1020167033014A patent/KR102388784B1/ko active IP Right Grant
- 2015-04-23 JP JP2016555995A patent/JP6709164B2/ja active Active
- 2015-04-23 WO PCT/US2015/027345 patent/WO2015164638A1/en active Application Filing
- 2015-04-23 CN CN201580017814.9A patent/CN106133885B/zh active Active
- 2015-04-23 CN CN202010090413.9A patent/CN111270223A/zh active Pending
-
2018
- 2018-04-16 US US15/954,455 patent/US10815562B2/en active Active
-
2020
- 2020-02-03 JP JP2020015981A patent/JP7175289B2/ja active Active
- 2020-09-30 US US17/038,906 patent/US11773479B2/en active Active
-
2022
- 2022-11-08 JP JP2022178555A patent/JP2023017933A/ja active Pending
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001262346A (ja) * | 2000-03-15 | 2001-09-26 | Nippon Carbon Co Ltd | ピンホ−ルを低減したSiC被覆黒鉛部材の製法 |
JP2004508728A (ja) * | 2000-09-05 | 2004-03-18 | サンーゴバン セラミックス アンド プラスティクス,インコーポレイティド | 多孔領域を有する静電チャック |
JP2005097685A (ja) * | 2002-11-27 | 2005-04-14 | Kyocera Corp | 耐食性部材およびその製造方法 |
JP2009231448A (ja) * | 2008-03-21 | 2009-10-08 | Sumco Corp | 気相成長装置用サセプタ |
JP2012508467A (ja) * | 2008-11-10 | 2012-04-05 | アプライド マテリアルズ インコーポレイテッド | プラズマチャンバ部品用耐プラズマコーティング |
JP2012517701A (ja) * | 2009-02-11 | 2012-08-02 | アプライド マテリアルズ インコーポレイテッド | 非接触基板処理 |
Cited By (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11920239B2 (en) | 2015-03-26 | 2024-03-05 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
JP2018162205A (ja) * | 2017-03-17 | 2018-10-18 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 原子層堆積による多孔質体の耐プラズマ性コーティング |
JP7093192B2 (ja) | 2017-03-17 | 2022-06-29 | アプライド マテリアルズ インコーポレイテッド | 原子層堆積による多孔質体の耐プラズマ性コーティング |
JP2020537827A (ja) * | 2017-10-19 | 2020-12-24 | エヴァテック・アーゲー | 基板を処理するための方法及び装置 |
JP7050912B2 (ja) | 2017-10-19 | 2022-04-08 | エヴァテック・アーゲー | 基板を処理するための方法及び装置 |
US11761079B2 (en) | 2017-12-07 | 2023-09-19 | Lam Research Corporation | Oxidation resistant protective layer in chamber conditioning |
JP2021507513A (ja) * | 2017-12-15 | 2021-02-22 | ラム リサーチ コーポレーションLam Research Corporation | 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング |
JP7325417B2 (ja) | 2017-12-15 | 2023-08-14 | ラム リサーチ コーポレーション | 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング |
JP7304192B2 (ja) | 2018-04-06 | 2023-07-06 | アプライド マテリアルズ インコーポレイテッド | ゾーン制御された、希土類酸化物ald及びcvdコーティング |
JP2019183278A (ja) * | 2018-04-06 | 2019-10-24 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ゾーン制御された、希土類酸化物ald及びcvdコーティング |
JP2022510433A (ja) * | 2018-12-06 | 2022-01-26 | アプライド マテリアルズ インコーポレイテッド | 処理チャンバの耐食性接地シールド |
US11562890B2 (en) | 2018-12-06 | 2023-01-24 | Applied Materials, Inc. | Corrosion resistant ground shield of processing chamber |
JP7460626B2 (ja) | 2018-12-06 | 2024-04-02 | アプライド マテリアルズ インコーポレイテッド | 処理チャンバの耐食性接地シールド |
JP7242612B2 (ja) | 2020-07-22 | 2023-03-20 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
JP2022021458A (ja) * | 2020-07-22 | 2022-02-03 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
KR20230146583A (ko) | 2021-03-29 | 2023-10-19 | 토토 가부시키가이샤 | 복합 구조물 및 복합 구조물을 구비한 반도체 제조 장치 |
KR20230146584A (ko) | 2021-03-29 | 2023-10-19 | 토토 가부시키가이샤 | 복합 구조물 및 복합 구조물을 구비한 반도체 제조 장치 |
Also Published As
Publication number | Publication date |
---|---|
US11773479B2 (en) | 2023-10-03 |
US10815562B2 (en) | 2020-10-27 |
US9976211B2 (en) | 2018-05-22 |
KR20160145816A (ko) | 2016-12-20 |
CN106133885B (zh) | 2020-03-03 |
CN106133885A (zh) | 2016-11-16 |
KR102493316B1 (ko) | 2023-01-27 |
WO2015164638A1 (en) | 2015-10-29 |
JP2023017933A (ja) | 2023-02-07 |
US20180230587A1 (en) | 2018-08-16 |
CN111270223A (zh) | 2020-06-12 |
US20150307982A1 (en) | 2015-10-29 |
JP6709164B2 (ja) | 2020-06-10 |
CN111254436A (zh) | 2020-06-09 |
US20210010126A1 (en) | 2021-01-14 |
JP7175289B2 (ja) | 2022-11-18 |
CN111254436B (zh) | 2023-02-17 |
KR102388784B1 (ko) | 2022-04-19 |
JP2020080412A (ja) | 2020-05-28 |
KR20220051276A (ko) | 2022-04-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7175289B2 (ja) | 高温アプリケーション用プラズマ耐食性薄膜コーティング | |
US11680308B2 (en) | Plasma erosion resistant rare-earth oxide based thin film coatings | |
US11566319B2 (en) | Ion beam sputtering with ion assisted deposition for coatings on chamber components | |
JP6630345B2 (ja) | 希土類酸化物のイオンアシスト蒸着トップコート | |
JP6487915B2 (ja) | プロセスリング上の希土類酸化物系薄膜コーティング用イオンアシスト蒸着 | |
TWI659853B (zh) | 用於高溫應用的耐電漿腐蝕薄膜塗層 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20180409 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20180409 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20180913 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20181016 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20190115 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20190314 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20190416 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20191002 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20200203 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20200210 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20200428 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20200522 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6709164 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |