JP7304192B2 - ゾーン制御された、希土類酸化物ald及びcvdコーティング - Google Patents

ゾーン制御された、希土類酸化物ald及びcvdコーティング Download PDF

Info

Publication number
JP7304192B2
JP7304192B2 JP2019072410A JP2019072410A JP7304192B2 JP 7304192 B2 JP7304192 B2 JP 7304192B2 JP 2019072410 A JP2019072410 A JP 2019072410A JP 2019072410 A JP2019072410 A JP 2019072410A JP 7304192 B2 JP7304192 B2 JP 7304192B2
Authority
JP
Japan
Prior art keywords
crystalline
layer
oxide
rare earth
oxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019072410A
Other languages
English (en)
Other versions
JP2019183278A5 (ja
JP2019183278A (ja
Inventor
ウー シャオウェイ
ワイ サン ジェニファー
アール ライス マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019183278A publication Critical patent/JP2019183278A/ja
Publication of JP2019183278A5 publication Critical patent/JP2019183278A5/ja
Priority to JP2023103840A priority Critical patent/JP2023120395A/ja
Application granted granted Critical
Publication of JP7304192B2 publication Critical patent/JP7304192B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/60Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape characterised by shape
    • C30B29/68Crystals with laminate structure, e.g. "superlattices"
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/80Constructional details
    • H10N60/85Superconducting active materials
    • H10N60/855Ceramic superconductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)

Description

本明細書に開示される実施形態は、概して、物品のための、遮断層を有する希土類コーティングに関する。特に、酸化イットリウム粒の成長を制御するための1つ以上の遮断層を有する酸化イットリウムコーティングに関する。
背景
様々な製造処理で、半導体処理チャンバ構成要素は、高温、高エネルギープラズマ、腐食性ガスの混合物質、高応力、及びそれらの組み合わせに曝されている。これらの極端な条件は、チャンバ構成要素を浸食及び/又は腐食させ、欠陥に対するチャンバ構成要素の感受性を高めている可能性がある。
過酷な処理条件によるチャンバ構成要素の欠陥を減らすために使用される保護コーティングは、通常、チャンバ構成要素上に堆積される。保護コーティングは様々な技術によって堆積させ得る。その技術として、溶射、スパッタリング、イオンアシスト堆積(IAD)、プラズマ溶射、蒸着技術、原子層堆積、化学気相堆積などが挙げられるが、これらに限定されない。これらの技術のいくつかは、異常に大きい結晶粒を有する保護コーティングを生成する場合がある。異常に大きい結晶粒は保護コーティングの表面粗さを増加させ、粒間又は粒界を貫いて生じる可能性のある亀裂を通して、化学物質が拡散する経路をもたらし得る。
概要
例示的な一実施形態では、物品の表面に耐プラズマ性保護コーティングを含む物品が本明細書に開示される。耐プラズマ性保護コーティングは、結晶質希土類酸化物層と結晶質又は非晶質の金属酸化物層とが交互に重なる層のスタックを含み得る。交互に重なる層のスタックの第1層は結晶質希土類酸化物層であってもよい。結晶質希土類酸化物層は、約500~5000オングストロームの厚さを有してもよい。金属酸化物層が結晶質である諸実施形態では、各金属酸化物層は、希土類酸化物層の結晶相とは異なる原子結晶相を有し得る。各金属酸化物層は、約1~500オングストロームの厚さを有し得る。結晶質又は非晶質の金属酸化物層は、結晶質酸化イットリウム層内の粒成長を抑制し得る。
例示的な一実施形態では、耐プラズマ性保護コーティングを物品の表面に堆積させる工程を含む方法が、本明細書に開示される。この工程では、原子層堆積(ALD)処理又は化学気相堆積(CVD)処理を使用している。耐プラズマ性保護コーティングを堆積させる工程は、ALD又はCVDを使用して結晶質希土類酸化物層を堆積させる工程を含み得る。耐プラズマ性保護コーティングを堆積させる工程は、ALD又はCVDを使用して結晶質又は非晶質の金属酸化物層を結晶質希土類酸化物層上に堆積させる工程をさらに含み得る。金属酸化物層が結晶質である諸実施形態では、金属酸化物層は、結晶質希土類酸化物の結晶相とは異なる原子結晶相を有していてもよい。
例示的な一実施形態では、耐プラズマ性保護コーティングを物品の表面に堆積させる工程を含む方法が、本明細書に開示される。この工程では、原子層堆積(ALD)処理又は化学気相堆積(CVD)処理を使用している。耐プラズマ性保護コーティングを堆積させる工程は、結晶質酸化イットリウム層と結晶質又は非晶質の金属酸化物層とが交互に重なる層のスタックを堆積させる工程を含み得る。結晶質酸化イットリウム層の各々は、立方晶相及び約500~5000オングストロームの厚さを有し得る。金属酸化物層が結晶質である諸実施形態では、金属酸化物層は、結晶質酸化イットリウムの立方晶相とは異なる原子結晶相を有し得る。各金属酸化物層は、約1~500オングストロームの厚さを有し得る。交互に重なる層のスタックの第1層は結晶質酸化イットリウム層であってもよい。結晶質又は非晶質の金属酸化物層は、結晶質酸化イットリウム層内の粒成長を抑制し得る。
本発明の実施形態は、添付図面の図の中で、限定としてではなく、例として示されており、これらの図面において、同様の参照符号は同様の要素を示している。この開示における「一」又は「1つの」実施形態への異なる参照は、必ずしも同じ実施形態への参照ではなく、そのような参照は、少なくとも1つを意味することに留意すべきである。
本明細書に記載の原子層堆積処理の一実施形態を示す。 本明細書に記載の原子層堆積処理の別の一実施形態を示す。 本明細書に記載の原子層堆積処理のさらに別の一実施形態を示す。 諸実施形態による、耐プラズマ性保護コーティングを堆積させるときに使用され得る化学気相堆積技術を示す。 種々の温度における様々な希土類酸化物の種々の結晶相を示す。 いかなる遮断層もない場合の600nm酸化イットリウムコーティングの様々なスケール(それぞれ0.2μmスケール及び100nmスケール)の透過型電子顕微鏡(TEM)画像を示す。 炭素が豊富なイットリア遮断層を有する酸化イットリウムコーティングの100nmスケールのTEM画像を示す。 それぞれ実施例1、2、及び3による例示的な耐プラズマ性保護コーティングを示す。 粉末回折ファイル(PDF)第04-005-4378号を有する立方晶酸化イットリウムのX線回折(XRD)曲線を示す。 実施例1の遮断層に存在する、正方晶ジルコニアと単斜晶ジルコニアとの多相混合物のXRD曲線を示す図である。 実施例1の遮断層に存在する、正方晶ジルコニアと単斜晶ジルコニアとの多相混合物の透過型電子顕微鏡法及びエネルギー分散分光法(TEM/EDS)ライン走査を示す図である。 実施例1の遮断層に存在する、正方晶ジルコニアと単斜晶ジルコニアとの多相混合物の高角度環状暗視野(HAADF)走査透過型電子顕微鏡(STEM)画像を示す図である。 実施例2の遮断層に存在する、化学式Zr0.860.141.93及びPDF番号01-082-1243を有する結晶質ジルコニウム・イットリウム酸化物のXRD曲線を示す図である。 実施例2の遮断層に存在する、化学式Zr0.860.141.9を有する結晶質単相ジルコニウム・イットリウム酸化物のTEM/EDSライン走査を示す。 実施例2の遮断層に存在する、化学式Zr0.860.141.9を有する結晶質単相ジルコニウム・イットリウム酸化物のHAADF-STEM画像を示す。 実施例2の遮断層に存在する、化学式Zr0.860.141.9を有する結晶質ジルコニウム・イットリウム酸化物の様々なスケール(それぞれ10nmスケール及び0.2μmスケール)のTEM画像を示す。 実施例3の遮断層に存在する、化学式Zr0.40.61.7及びPDF番号01-080-4014を有するイットリウム・ジルコニウム酸化物と、PDF番号01-084-3893を有する酸化イットリウムとの多相混合物のXRD曲線を示す。 実施例3の遮断層に存在する、化学式Zr0.40.61.7及びPDF番号01-080-4014を有するイットリウム・ジルコニウム酸化物と、PDF番号01-084-3893を有する酸化イットリウムとの多相混合物のTEM/EDSライン走査を示す。 実施例3の遮断層に存在する、化学式Zr0.40.61.7及びPDF番号01-080-4014を有するイットリウム・ジルコニウム酸化物と、PDF番号01-084-3893を有する酸化イットリウムとの多相混合物のHAADF-STEM画像を示す。 実施例3の遮断層に存在する、化学式Zr0.40.61.7及びPDF番号01-080-4014を有するイットリウム・ジルコニウム酸化物と、PDF番号01-084-3893を有する酸化イットリウムとの多相混合物の0.2μmスケールTEM画像を示す。 実施例4に係る例示的な耐プラズマ性保護コーティングを示す。 実施例4の遮断層に存在する、化学式Zr0.40.61.7及びPDF番号01-080-4014を有するイットリウム・ジルコニウム酸化物と、PDF番号01-084-3893を有する酸化イットリウムとの多相混合物のTEM/EDSライン走査を示す。 実施例4の遮断層に存在する、化学式Zr0.40.61.7及びPDF番号01-080-4014を有するイットリウム・ジルコニウム酸化物と、PDF番号01-084-3893を有する酸化イットリウムとの多相混合物のHAADF-STEM画像を示す。 実施例4の遮断層に存在する、化学式Zr0.40.61.7及びPDF番号01-080-4014を有するイットリウム・ジルコニウム酸化物と、PDF番号01-084-3893を有する酸化イットリウムとの多相混合物の50nmスケールTEM画像を示す。 実施例5に係る酸化イットリウム及び酸化ガドリニウムの例示的な耐プラズマ性保護コーティングを示す。 本明細書に記載の原子層堆積又は化学気相堆積を用いて耐プラズマ性保護コーティングを作成する方法を示す。 実施例6に係る例示的な耐プラズマ性保護コーティングを示す。 遮断層なしでALDによって堆積された1μmのイットリアコーティングのトップダウンSEM画像を示す。 実施例6に係る、遮断層がある1μmのイットリアコーティングのトップダウンSEM画像を示す。 遮断層なしでALDによって堆積された1μmのイットリアコーティングの断面TEM画像を示す。 実施例6に係る、遮断層がある1μmのイットリアコーティングの断面TEM画像を示す。 実施例6の耐プラズマ性保護コーティングのTEM/EDSライン走査を示す。 実施例6の耐プラズマ性保護コーティングのTEM画像を示す。
実施形態の詳細な説明
本明細書に記載の実施形態は、物品(例えば、コーティングされたチャンバ構成要素)及び方法を網羅し、ここでは、1つ以上の(多)結晶質の単相又は多相の希土類酸化物層及び1つ以上の非晶質又は(多)結晶質の単相又は多相の遮断層を有する耐プラズマ性保護コーティングが物品の表面に堆積する。例示的な一実施形態では、1つ以上の結晶質希土類酸化物層は、立方晶相の結晶質酸化イットリウムを含み得る。一例として、立方晶相中の結晶質酸化イットリウム層を用いて、本明細書の諸実施形態を説明する。遮断層の間の層は、任意の希土類金属酸化物又は、(多)結晶質の単相又は多相の希土類金属酸化物の混合物(すなわち、イットリウムを含む、又は含まない)を含み得ることが理解されよう。例えば、遮断層の間の希土類金属酸化物層は、酸化イットリウム及び/又はイットリウム・ジルコニウム酸化物を含み得る。
例示的な一実施形態では、1つ以上の非晶質又は(多)結晶質の単相又は多相の遮断層は、希土類金属含有酸化物、酸化ジルコニウム、酸化アルミニウム及びそれらの混合物からなる群から選択される、結晶質又は非晶質の金属酸化物層を含み得る。1つ以上の遮断層が(多)結晶質の単相又は多相である実施形態では、遮断層は、結晶質酸化イットリウムの立方晶相とは異なる1つの原子結晶相又は複数の原子結晶相を有し得る。例えば、遮断層の(多)結晶質の単相又は多相は、六方晶相、単斜晶相、立方晶相(希土類酸化物層が立方晶相の酸化イットリウムである場合、遮断層は、結晶質酸化イットリウムの立方晶相の格子構造とは異なる格子構造を有し得る)、六方晶相、正方晶相、及びそれらの組み合わせからなる群から選択され得る。
本明細書では、「耐プラズマ性」という用語は、1種類以上のプラズマに対する耐性と共に1種類以上のプラズマに関連する化学的性質及びラジカルに対する耐性を意味する。
本明細書での使用では、「多結晶質」及び「結晶質」という用語は、本明細書では互換的に使用され、多くの結晶粒(クリスタライトとも呼ばれる)を含む材料を意味してもよい。ここで、この結晶粒は、互いにランダムに配向されているか、若しくは好ましい配向又は好ましい組織を有しており、なおかつ様々なサイズを有し得る。クリスタライトが接触する領域は、粒界と呼ばれる。多結晶質層は、単結晶相又は複数の結晶相(本明細書では専門用語で「多相」とも呼ばれる)を含み得る。本明細書で、多相層と言及したときには、複数の結晶相を有する結晶層又は多結晶層を指していると理解される。
物品の表面は、金属材料(例えば、アルミニウム(例えば、Al6061、Al6063)及びステンレス鋼など)又はセラミック材料(例えば、アルミナ(Al)など)であってもよい。
堆積処理は、原子層堆積(ALD)処理又は化学気相堆積(CVD)処理であってもよい。ALD及びCVD処理を使用して、1つ以上の結晶質希土類酸化物層及び1つ以上の非晶質又は結晶質の金属酸化物遮断層を堆積させてもよい。複数の金属を含む層を、前駆体の逐次堆積又は前駆体の共堆積によって堆積させてもよい。
耐プラズマ性保護コーティングは、二層スタック又は複数の交互に重なる層のスタックから構成されてもよい。二層スタック又は複数の交互に重なる層のスタックは、例えば立方晶相の結晶質単相酸化イットリウム(Y)の1つ以上の層と、例えば正方晶相及び単斜晶相の多相酸化ジルコニウム層の1つ以上の層とを含み得る。二層スタック又は複数の交互に重なる層のスタックは、例えば立方晶相の結晶質単相酸化イットリウム(Y)の1つ以上の層と、例えば正方晶相の結晶質単相ジルコニウム・イットリウム酸化物層の1つ以上の層とを含み得る。二層スタック又は複数の交互に重なる層のスタックは、例えば第1格子構造の立方晶相の結晶質単相酸化イットリウム(Y)の1つ以上の層と、例えば第2格子構造を有する立方晶相のジルコニウム・イットリウム酸化物層及び例えば第3格子構造を有する立方晶相の酸化イットリウムの多相混合物の1つ以上の層とを含み得る。第2格子構造及び第3格子構造は、第1格子構造とは異なる。
多層耐プラズマ性保護コーティング内の各遮断層の厚さは、約1オングストロームから約500オングストロームの範囲であってもよい。多層耐プラズマ性保護コーティング内の各希土類酸化物層の厚さは、約500オングストロームから約10000オングストロームの範囲であってもよい。いくつかの実施形態では、多層耐プラズマ性保護コーティング内の各希土類酸化物層の厚さは、約500オングストロームから約5000オングストロームの範囲であってもよい。諸実施形態では、多層耐プラズマ性保護コーティングは、約1μmから約10μm、又は約1μmから約5μmの厚さを有し得る。耐プラズマ性保護コーティングは、例えば、約10:1から約300:1の高アスペクト比を有する物品のフィーチャーの表面を被覆する又は覆うことができる。耐プラズマ性保護コーティングはまた、そのようなフィーチャーをほぼ均一な厚さでコンフォーマルに覆うことができる。一実施形態では、耐プラズマ性保護コーティングは、下地表面(コーティングされる表面フィーチャーを含む)を均一な厚さでコンフォーマルに覆っている。この均一な厚さは、ある部分のコーティングから別の部分のコーティングにかけて約±20%未満の厚さのばらつき、±10%の厚さのばらつき、±5%の厚さのばらつき 、又はより少ない厚さのばらつきを有している。耐プラズマ性保護コーティングは非常に緻密でもあり、気孔率は約0%である(例えば、耐プラズマ性保護コーティングには、諸実施形態では気孔がないこともあり得る)。
ALDは、物品の表面との化学反応を通して材料の制御された自己制限堆積を可能にする。コンフォーマルな処理であることはさておき、ALDは均一な処理でもある。高アスペクト比(例えば、約10:1から約300:1)のフィーチャーを含む物品のすべての露出面には、同じ又はほぼ同じ量の材料が堆積される。ALD処理の典型的な反応サイクルは、ALDチャンバに流れ込んで、物品の表面に吸着された前駆体(すなわち単一の化学物質A)から始まる。続いて過剰な前駆体がALDチャンバから排気され、その後、反応物質(すなわち、単一の化学物質R)がALDチャンバに導入され、続いて排気される。ただし、金属酸化物遮断層を材料の共堆積によって形成してもよい。これを達成するために、第1金属含有酸化物前駆体(A)及び第2金属含有酸化物前駆体(B)などの2つの前駆体の混合物を、任意の数(AxBy)の比率、例えばA90+B10、A70+B30、A50+B50、A30+B70、A10+A90などで室内に共に注入し、物品の表面に吸着させてもよい。これらの例では、x及びyは、Ax+Byとしてモル比(mol%)で表示されている。例えば、A90+B10は、Aが90mol%、Bが10mol%である。あるいは、2つの前駆体を(間に反応物質を注入することなく)逐次的に注入してもよい。過剰な前駆体は排気される。反応物質がALDチャンバに導入され、吸着された前駆体と反応して固体層を形成し、その後、余分な化学物質が排気される。ALDの場合、材料の最終的な厚さは、実行する反応サイクルの回数に依存する。それは、各反応サイクルでは、1原子層又は1原子層の何分の一かのある特定の厚さの層が成長するからである。
CVDは、高密度で、高純度で、均一なコーティングの堆積を可能にし、このコーティングは、高い堆積速度での良好な再現性及び接着性を有する。CVDの典型的な反応サイクルは、出発材料から前駆体を生成する工程と、前駆体を反応チャンバに輸送する工程と、前駆体を加熱された物品上に吸着させる工程と、前駆体を、被覆する物品の表面と化学的に反応させて、堆積物及びガス状副生成物を形成する工程と、ガス状副生成物及び未反応ガス状前駆体を反応チャンバから除去する工程とを含み得る。しかしながら、金属酸化物遮断層を、材料の共堆積によって形成してもよい。これを達成するために、2つの前駆体の混合物(第1金属含有酸化物前駆体(A)及び第2金属含有酸化物前駆体(B)など)を、ALD技術と同様に、チャンバ内に任意の数(AxBy)の比率で共に注入し、物品の表面に堆積させてもよい。
高アスペクト比のフィーチャーを有する構成要素上にコーティングを堆積させるために通常使用される他の技術(プラズマ溶射やイオンアシスト堆積など)とは異なり、ALD及びCVD技術は、そのようなフィーチャー内(すなわち、フィーチャーの表面上)に材料の層を堆積させ得る。さらに、ALD及びCVD技術は、気孔がない(すなわち、ピンホールがない)比較的薄い(例えば、10μm以下)コーティングを生成するので、堆積させている間の亀裂形成をなくすことができる。本明細書で使用される「気孔がない」という言葉は、コーティングの全深さに沿って、透過型電子顕微鏡(TEM)によって測定されるいかなる孔、ピンホール、ボイド、又は亀裂もないことを意味する。TEMは、集束イオンビームミリングによって作成した100nm厚のTEMラメラを使用して、明視野、暗視野、及び高解像度モードで200kVで操作しながら、実施され得る。対照的に、従来の電子ビームIAD又はプラズマ溶射技術では、5又は10μmの厚さでも堆積時にクラックが発生し、気孔率は1~3%又はそれ以上にもなり得る。
耐プラズマ性保護コーティングは様々な物品上に堆積させ得る。いくつかの実施形態では、静電チャック、ノズル、ガス分配プレート、シャワーヘッド、静電チャック構成要素、チャンバ壁、ライナ、ライナキット、ガスライン、蓋、チャンバ蓋、ノズル、単一リング、プロセスキットリング、ベース、シールド、プラズマスクリーン、流量平衡器、冷却ベース、チャンバビューポート、ベローズ、フェースプレート、選択性変調器、プラズマ発生ユニット(例えば、ハウジングを有する無線周波数電極)、及びディフューザなどの処理チャンバ構成要素は、腐食性プラズマの過酷な環境で構成要素を保護するこれらの耐プラズマ性保護コーティングを有することから恩恵を得る。これらのチャンバ構成要素の多くは、約10:1から約300:1の範囲の高アスペクト比及び他の複雑な形状を有しているので、従来の堆積方法では、適切にコーティングすることは困難である。本明細書に記載の諸実施形態は、前述の処理チャンバ構成要素などの高アスペクト比の物品を、物品を保護する耐プラズマ性保護コーティングで被覆することを可能にする。
処理チャンバ内で基板を処理するために使用し得る処理ガスの例には、とりわけC、SF、SiCl、HBr、NF、CF、CHF、CH、F、NF、Cl、CCl、BCl、SiFなどのハロゲン含有ガス、及びO又はNOなどの他のガスがある。キャリアガスの例には、N、He、Ar、及び処理ガスに対して不活性な他のガス(例えば、非反応性ガス)がある。
図1Aは、物品上に耐プラズマ性保護コーティングを成長又は堆積させるALD技術による堆積処理100の一実施形態を示す。図1Bは、本明細書に記載のALD技術による堆積処理102の別の一実施形態を示す。図1Cは、本明細書に記載のALD堆積技術による堆積処理104のさらに別の一実施形態を示す。様々な種類のALD処理法が存在しており、具体的な種類は、コーティングされる表面、コーティング材料、その表面とコーティング材料との間の化学的相互作用などのいくつかの要因に基づいて選択され得る。様々なALD処理法の一般原理は、コーティングされる表面をガス状化学的前駆体のパルスに繰り返し露出することによって薄膜層が成長する工程を含む。ここで、この前駆体は自己制限的に一度に一つずつ表面と化学的に反応する。
図1A~1Cは、表面を有する物品110を示す。物品110は、様々な処理チャンバ構成要素(例えば、半導体処理チャンバ構成要素)を表してもよい。この構成要素には、静電チャック、ノズル、ガス分配プレート、シャワーヘッド、静電チャック構成要素、チャンバ壁、ライナ、ライナキット、ガスライン、蓋、チャンバ蓋、ノズル、単一リング、プロセスキットリング、ベース、シールド、プラズマスクリーン、流量平衡器、冷却ベース、チャンバビューポート、ベローズ、フェースプレート、選択性変調器などが含まれるが、これらに限定されない。物品110(及び図2の物品230)は、金属(アルミニウム、ステンレス鋼など)、セラミック(例えば、Y、Al、YAl12(YAG)など)、金属-セラミック複合体、ポリマー、ポリマ-セラミック複合体、マイラー、ポリエステル、又は他の適切な材料から作られてもよく、AlN、Si、SiC、Al、SiOなどの材料をさらに含んでもよい。
ALDの場合、表面への前駆体の吸着又は吸着された前駆体との反応物質の反応のいずれかを「半反応」と呼ぶことにする。第1半反応の間、前駆体が表面上に完全に吸着され得るだけの十分な時間、前駆体を物品110の表面上(又は物品110上に形成された層上)に律動的に送り込む。前駆体は表面上の有限の数の利用可能な部位に吸着し、表面上に均一な連続吸着層を形成することから、吸着は自己制限的である。前駆体を既に吸着した部位は、吸着した部位が、均一な連続コーティング上に利用可能な新しい部位を形成する処置を受けない限り、及び/又はその処置が行われるまで、同じ前駆体のさらなる吸着には利用できない。例示的な処置はプラズマ処置、すなわち、均一な連続吸着層をラジカルに露出させる処置、又は表面に吸着された最新の均一な連続層と反応し得る別の前駆体の導入であってもよい。
いくつかの実施形態では、2つ以上の前駆体が一緒に注入され、物品の表面に吸着される。余分な前駆体は排出されてから、遂には酸素含有反応物質が注入されて吸着物質と反応し、単一の金属酸化物層又は多金属酸化物層(例えば、YAG及びY-ZrOの相などの)を形成する。この新しい層は次のサイクルで前駆体を吸着できる。
図1Aでは、物品110は、第1期間の間、第1前駆体160へ導かれ、遂には物品110の表面が第1前駆体160を完全に吸着して、吸着層114を形成し得る。続いて、物品110を第1反応物質165へ導いて、吸着層114と反応させ、希土類酸化物層116を成長させ得る。(例えば、希土類酸化物層116を完全に成長又は堆積させるように。本明細書では、用語「成長」及び「堆積」は互換的に使用され得る。)第1前駆体160は、例えば、イットリウム又は他の金属のための前駆体であってもよい。第1反応物質165は、希土類層116が酸化物である場合には、酸素、水蒸気、オゾン、純酸素、酸素ラジカル、又は他の酸素源であってもよい。したがって、ALDを用いて希土類酸化物層116を形成してもよい。
希土類酸化物層116がイットリア(Y)希土類酸化物層である例では、物品110(例えば、アルミナバッファ層を有する又は有さないAl6061基板)を第1前駆体160(例えばトリス(メチルシクロペンタジエニル)イットリウム)へ第1期間の間に導いて、遂には表面上のすべての反応部位が消費されてもよい。残りの第1前駆体160は排気され、次に、HOである第1反応物質165を反応器に注入して、後半のサイクルを開始する。HO分子が第1半反応によって生成されたY含有吸着層と反応した後に、Yの希土類酸化物層116が形成される。
希土類酸化物層116は、均一で連続したコンフォーマルな層であってもよい。希土類酸化物層116は、気孔がない(例えば、気孔率0)、又は、諸実施形態ではほぼ気孔率0である可能性もある(例えば、気孔率0%~0.01%)。いくつかの実施形態では、単一のALD堆積サイクルの後、層116は、1原子層未満から数原子の厚さを有し得る。いくつかの有機金属前駆体分子は大きい。
複数回の完全ALD堆積サイクルを実施して、より厚い希土類酸化物層116を堆積させてもよく、各完全サイクル(例えば、前駆体160を導く工程、排気する工程、反応物質165を導く工程、及び再び排気する工程を含む)では、1原子の数分の1から数原子分だけの厚さが増す。図示のように、最大n回の完全サイクルを実行して、希土類酸化物層116を成長させてもよい。ここで、nは1より大きい整数値である。諸実施形態では、希土類酸化物層116は、約500オングストロームから約10000オングストローム、約500オングストロームから約5000オングストローム、約1000オングストロームから約5000オングストローム、又は約1500オングストロームから約2500オングストロームの厚さを有し得る。
ALDを堆積に使用できるので、シャワーヘッド又はガス供給ラインのガス供給孔のような高アスペクト比のフィーチャーの内側面を被覆してもよく、こうして、構成要素全体が腐食性環境への露出から保護され得る。
諸実施形態では、層116は、単一立方晶相を有する結晶質YなどのYであってもよい。一実施形態では、酸化イットリウム立方晶相は、粉末回折ファイル番号04-005-4378に該当するX線回折曲線を示し得る。
いくつかの実施形態では層116が2つ以上の希土類金属を含み得ることを、理解すべきである。ALDによる多元素希土類酸化物層の堆積は、図1Bの金属酸化物層に関して説明する逐次堆積によって、又は図1Cにより詳細に説明する共堆積によって行われてもよい。
続いて、層116を有する物品110は、第2期間の間、さらなる前駆体170へ導かれ、遂には希土類酸化物層118の表面がさらなる前駆体170を完全に吸着して、吸着層118を形成し得る。続いて、物品110は、反応物質175へ導かれ、吸着層118と反応して、非晶質又は結晶質の単相又は多相の金属酸化物層120を成長させる(例えば、遮断層120を完全に成長又は堆積させるように)。この金属酸化物層120は、簡単に遮断層120とも呼ばれる。したがって、遮断層120を、ALDを用いて希土類酸化物層116上に完全に成長又は堆積させる。一実施例では、前駆体170は、前半のサイクルで使用されるジルコニウム含有前駆体(例えば、トリス(ジメチルアミノ)シクロペンタジエニルジルコニウム)であってもよく、反応物質175は、後半のサイクルで使用されるオゾンであってもよい。
遮断層120は、非晶質又は結晶質の単相又は多相の金属酸化物層を形成し、この金属酸化物層は、均一で連続したコンフォーマルな層であってもよい。第2層120は非常に低い気孔率を有し得て、諸実施形態では1%未満、さらなる諸実施形態では0.1%未満、及び諸実施形態では約0%、なおもさらなる諸実施形態では気孔がない。第2層120は、1回の完全ALD堆積サイクル後に、1原子から数原子(例えば、2~3原子)の厚さを有し得る。複数回のALD堆積段階を実施して、より厚い遮断層120を堆積させることができ、各段階では、1原子の数分の1から数原子分だけの厚さが増す。図示のように、完全堆積サイクルをm回繰り返して、遮断層120が目標厚さを有するようにしてもよい。ここで、mは1より大きい整数値である。諸実施形態では、遮断層120は、約1オングストロームから約500オングストローム、約2オングストロームから約200オングストローム、又は約3オングストロームから約50オングストロームの厚さを有し得る。
希土類酸化物層の厚さの遮断層の厚さに対する比は、約5000:1から約1:1、又は約2500:1であってもよい。いくつかの実施形態では、希土類酸化物の厚さの遮断層の厚さに対する比は、約500:1から約1:1であってもよい。さらに他の諸実施形態では、希土類酸化物の厚さの遮断層の厚さに対する比は、約2500:8、約2500:12、又は約2500:16であってもよい。希土類酸化物層の遮断層に対する比は、保護コーティングが耐腐食性及び耐浸食性を改善すると共に、チャンバ処理によって引き起こされる亀裂及び/又は層間剥離に対する抵抗力を改善する値になり得る。厚さ比は、特定のチャンバ用途に従って選択されてもよい。
図4A及び図4Bに示すように、遮断層なしに堆積された酸化イットリウム層は、制御不可能な異常に大きな粒成長をもたらす。例えば、図4A及び4Bに示される異常に大きな酸化イットリウム粒は、約100nmの高さ及び約200nmの幅を有し得る。これらの異常に大きな粒は、より大きな表面粗さをもたらし、コーティングをより欠陥が生じやすくする。この現象は、600nmの厚さの酸化イットリウムコーティングで明らかであり、より厚い酸化イットリウムコーティングではさらに顕著になるであろう(例えば、遮断層のない1μm厚のイットリアコーティング内の粒については図14Aを参照されたい)。さらに、遮断層がないことで化学物質は直接経路を与えられて、大きな粒の間の亀裂や隙間を通って拡散し、コーティングと物品の間の界面に達する。こうして、コーティングされた物品を損傷させる可能性が生じる。
図4Cは、酸化イットリウムの層間の遮断層を示す(すなわち、厚さ250nmの酸化イットリウムの各層の後に、炭素に富む酸化イットリウム遮断層が堆積された)。確かに、酸化イットリウム粒の成長は抑えられ、表面境界及び表面粗さもまた抑えられている。図4Cの粒はいずれも、長さ100nmも幅200nmも超えていない。さらに、腐食性チャンバ環境からコーティングを通って最後はコーティングと物品との間の界面に至る直接的な経路は存在しない。しかしながら、遮断層内の炭素含有量が高いことで、層は比較的弱くなる。結果として、処理の間、保護コーティングに圧縮応力が作用すると、図4Cに示すように、最上部の酸化イットリウム層が座屈して、薄片化し始める。このような層間剥離は粒子を発生させ、被覆された物品の寿命、コーティングの寿命、及びウェハ処理に影響を及ぼす。保護コーティングの結晶格子が膨張し始めると、フッ素化の後に圧縮応力が作用する。
遮断層が炭素遮断層よりも強い場合、酸化イットリウム層と遮断層はつながったままで、座屈しない。より強い遮断層とは、酸化イットリウム層と類似の組成を有するが、酸化イットリウム層とは異なり、制御不能な粒成長を抑制するであろう原子結晶相を有するものと考えられる。したがって、遮断層用に選択された金属酸化物層の種類、選択された希土類酸化物層の種類、及びそれらの対応する厚さに関する決定は、希土類酸化物の粒成長を制御する必要性を考慮に入れるべきである。他方で、これらの決定により、希土類酸化物層と遮断層との間の十分に強い結合が確実になり、層間剥離及び粒子生成を防止している。
遮断層120は、前述の希土類金属含有酸化物層のいずれか、並びに酸化ジルコニウム、酸化アルミニウム及びそれらの混合物であってもよい。例えば、遮断層120は、単独のZrO、又は1つ以上の他の希土類金属酸化物と組み合わせたZrOであってもよい。いくつかの実施形態では、遮断層120は、1つ以上の原子結晶相を有する結晶質の単相又は多相の材料であって、この材料は、単一の金属酸化物若しくはALDによって逐次堆積又は共堆積された少なくとも2つの金属酸化物前駆体の混合物から形成される。例えば、遮断層120は、La、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、ZrO及びそれらの組み合わせのうちの1つであり得る(図3に示すように)。特定の諸実施形態では、遮断層は非晶質でもよい。遮断層が結晶質である実施形態では、遮断層の1つ以上の結晶原子相は、希土類酸化物層の1つ以上の結晶原子相とは異なってもよい。遮断層の少なくとも1つの結晶原子相が、希土類酸化物層の少なくとも1つの結晶原子相と同じ場合である実施形態では、類似する結晶原子相の格子構造は異なっていてもよい。例えば、原子結晶相は、六方晶系、正方晶系、立方晶系、単斜晶系、及びそれらの組み合わせからなる群から選択され得る。
いくつかの実施形態では、第1層116及び第2層120は、それぞれに、Y及びY系のセラミック、YAl12(YAG)、Al(アルミナ)、YAl(YAM)、ErAlO、GdAlO、NdAlO、YAlO、TiO(チタニア)、ZrO(ジルコニア)、Y安定化ZrO(YSZ)、Er及びEr系セラミック、Gd及びGd系セラミック、ErAl12(EAG)、GdAl12(GAG)、Nd及びNd系セラミック、Y及びYFを含むセラミック化合物(例えば、Y-O-F)、YAl及びY-ZrOの固溶体を含むセラミック化合物、Y、Er、ZrO、Gd及びSiOを含むセラミック化合物、又は上記のいずれかの組み合わせなどの材料を含み得る。
第1層116及び第2層120の材料はまた、前述のセラミックのいずれかによって形成された固溶体ベースであってもよい。材料はまた、1つ以上の前述の材料と1つ以上のさらなる相の固溶体を含む多相材料でもよい。
-ZrOの固溶体に関して、材料は、10~90モル比(mol%)の濃度のY及び10~90mol%の濃度のZrOを含んでもよい。いくつかの例では、Y-ZrOの固溶体は、10~20mol%のY及び80~90mol%のZrOを含んでもよく、20~30mol%のY及び70~80mol%のZrOを含んでもよく、30~40mol%のY及び60~70mol%のZrOを含んでもよく、40~50mol%のY及び50~60mol%のZrOを含んでもよく、60~70mol%のY及び30~40mol%のZrOを含んでもよく、70~80mol%のY及び20~30mol%のZrOを含んでもよく、80~90mol%のY及び10~20mol%のZrOなどを含んでもよい。
Al及びY-ZrOの固溶体を含むセラミック化合物に関して、一実施形態ではセラミック化合物は、62.93モル比(mol%)のY、23.23mol%のZrO及び13.94mol%のAlを含む。別の一実施形態では、セラミック化合物は、50~75mol%の範囲のY、10~30mol%の範囲のZrO及び10~30mol%の範囲のAlを含み得る。別の一実施形態では、セラミック化合物は、40~100mol%の範囲のY、0.1~60mol%の範囲のZrO及び0.1~10mol%の範囲のAlを含み得る。別の一実施形態では、セラミック化合物は、40~60mol%の範囲のY、35~50mol%の範囲のZrO及び10~20mol%の範囲のAlを含み得る。別の一実施形態では、セラミック化合物は、40~50mol%の範囲のY、20~40mol%の範囲のZrO及び20~40mol%の範囲のAlを含み得る。別の一実施形態では、セラミック化合物は、80~90mol%の範囲のY、0.1~20mol%の範囲のZrO及び10~20mol%の範囲のAlを含み得る。別の一実施形態では、セラミック化合物は、60~80mol%の範囲のY、0.1~10mol%の範囲のZrO及び20~40mol%の範囲のAlを含み得る。別の一実施形態では、セラミック化合物は、40~60mol%の範囲のY、0.1~20mol%の範囲のZrO及び30~40mol%の範囲のAlを含み得る。別の諸実施形態では、他の配分をセラミック化合物に使用してもよい。
一実施形態では、材料は、Y、ZrO、Er、Gd及びSiOの組み合わせを含むセラミック化合物を含むか又はそれから成る。一実施形態では、セラミック化合物は、40~45mol%の範囲のY、0~10mol%の範囲のZrO、35~40mol%の範囲のEr、5~10mol%の範囲のGd及び5~15mol%の範囲のSiOを含み得る。第1実施例では、代わりのセラミック化合物は、40mol%のY、5mol%のZrO、35mol%のEr、5mol%のGd及び15mol%のSiOを含む。第2実施例では、代わりのセラミック化合物は、45mol%のY、5mol%のZrO、35mol%のEr、10mol%のGd及び5mol%のSiOを含む。第3実施例では、代わりのセラミック化合物は、40mol%のY、5mol%のZrO、40mol%のEr、7mol%のGd及び8mol%のSiOを含む。
前述の材料のいずれも、他の材料、例えばZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物を、微量だけ含んでもよい。これらの材料による、セラミック材料の耐プラズマ性及びウェハ上又は基板上の汚染減少により、より長い作業寿命が可能になる。
図1Bを参照すると、いくつかの実施形態では、耐プラズマ性保護コーティングは3つ以上の層を含む。具体的には、耐プラズマ性保護コーティングは、希土類酸化物層と遮断層とが交互に重なる層のスタックを含んでもよい。
図1Bを参照する。希土類酸化物層116を有する物品110を堆積チャンバに挿入してもよい。希土類酸化物層116は、図1Aを参照して説明したように形成されていてもよい。図1Bは、多元素遮断層を形成するための、逐次堆積を伴うALD処理を示す。希土類酸化物層116を有する物品110は、ある期間の間、1つ以上の前駆体180へ導かれてもよく、遂には希土類酸化物層116の表面が1つ以上のさらなる前駆体180を完全に吸着して、吸着層122を形成する。続いて、物品110を反応物質182へ導いて、吸着層122と反応させて固体金属酸化物層124を成長させてもよい。したがって、金属酸化物層124を、ALDを用いて希土類酸化物層116上に完全に成長又は堆積させる。一実施例では、前駆体180は、前半のサイクルで使用されるジルコニウム含有前駆体であってもよく、反応物質182は、後半のサイクルで使用されるHOであってもよい。金属酸化物層は、La、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、ZrO、Al又は別の酸化物及びそれらの組み合わせであってもよい。
希土類酸化物層116及び金属酸化物層124を有する物品110は、ある期間の間、1つ以上の前駆体184へ導かれてもよく、遂には金属酸化物層124の表面が1つ以上の前駆体184を完全に吸着して、吸着層126を形成する。前駆体184は前駆体180とは異なってもよい。続いて、物品110を反応物質186へ導いて、吸着層126と反応させてさらなる固体金属酸化物層128を成長させてもよい。したがって、さらなる金属酸化物層128を、ALDを用いて金属酸化物層124上に完全に成長又は堆積させる。一実施例では、前駆体184は、前半のサイクルで使用されるイットリウム含有前駆体であってもよく、反応物質186は、後半のサイクルで使用されるHOであってもよい。金属酸化物層124は、La、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、ZrO、又は別の酸化物及びそれらの組み合わせであってもよい。
いくつかの実施形態では、金属酸化物層は結晶質であってもよく、正方晶相又は単斜晶相のうちの少なくとも一方の純結晶質単相ジルコニアから、組成物中の全原子を基準にして、約5%のジルコニウムの原子百分率を有する結晶質多相又は結晶質単相のイットリウム・ジルコニウム酸化物までの範囲の組成物、約65重量%の正方晶相の酸化ジルコニウムと約35重量%の単斜晶相の酸化ジルコニウムとの混合物、約100重量%の正方晶相のジルコニウム・イットリウム酸化物の多元素酸化物、約70重量%の第1立方晶相のジルコニウム・イットリウム酸化物の多元素酸化物と約30重量%の第2立方晶相の酸化イットリウムとの混合物であって、第1立方晶相及び第2立方晶相は、結晶質酸化イットリウム層の格子構造とは異なる格子構造を有している混合物、約30重量%の第1立方晶相のジルコニウム・イットリウム酸化物の多元素酸化物と約70重量%の第2立方晶相の酸化イットリウムとの混合物、からなる群から選択されてもよい。
図示のように、金属酸化物124及び第2金属酸化物128の堆積をx回繰り返して、交互に重なる層のスタック137を形成してもよい。ここで、xは1より大きい整数値である。xは、目標の厚さ及び特性に基づいて選択された有限の数の層を表し得る。交互に重なる層のスタック137は、複数の交互に重なる副層を含む遮断層と見なしてもよい。したがって、前駆体180、反応物質182、前駆体184及び反応物質186を、逐次的に繰り返し導いて、さらなる交互に重なる層130、132、134、136などを成長又は堆積させてもよい。層124、128、130、132、134、136などの各々は、1原子層未満から数原子層の厚さを有する非常に薄い層であってもよい。
上述の交互に重なる層124~136は、1:1の比になっている。すなわち、第2金属酸化物の各単層に対して第1金属酸化物の単層がある。しかしながら、他の諸実施形態では種々の種類の金属酸化物層の間に、2:1、3:1、4:1などの、他の比率が存在してもよい。例えば、一実施形態では、Y層ごとに3つのZrO層を堆積させてもよい。さらに、交互に重なる層124~136のスタック137は、交互に重なる一連の2種類の金属酸化物層として説明されている。しかしながら、他の諸実施形態では、交互に重なるスタック137内に2種類以上の金属酸化物層を堆積させてもよい。例えば、スタック137は、3つの異なる交互に重なる層を含み得る(例えば、Yの第1層、Alの第1層、ZrOの第1層、Yの第2層、Alの第2層、ZrOの第2層など)。
金属酸化物遮断層の多層スタック137を形成する処理は、本明細書では逐次堆積とも呼ばれる。希土類酸化物層が2つ以上の希土類元素を含有する場合、そのような逐次堆積は希土類酸化物層にも使用され得る。
交互に重なる層のスタック137が形成された後、アニール処理を行って、種々の材料が交互に重なる層を互いに拡散させ、単結晶相又は多結晶相を有する複合酸化物を形成してもよい。それ故に、アニール処理後には、交互に重なる層137のスタックは単一の遮断層138になり得る。例えば、スタック内の層がY、Al及びZrOである場合、その時に得られる希土類金属含有酸化物層138は、YAlとY-ZrOの固溶体とを含むセラミック化合物であり得る。
いくつかの実施形態では、希土類酸化物層116及び遮断層スタック137(又は、アニールされている場合は138)の堆積を、z回繰り返して、最終的な耐プラズマ性保護コーティングを形成してもよい。最終的な耐プラズマ性保護コーティングは、希土類酸化物層と断続的な金属酸化物遮断層とが交互に重なる層を含み得る。
図1Cを参照する。希土類酸化物層116を有する物品110を堆積チャンバに挿入してもよい。希土類酸化物層116は、図1Aを参照して説明したように形成されていてもよい。いくつかの実施形態では、希土類酸化物層116を有する物品110は、ある期間の間、共に注入又は逐次注入され得る複数の前駆体190A、190Bへ導かれて、遂には希土類酸化物層116の表面が複数の前駆体190A、190Bを完全に吸着し、多元素吸着層140を形成してもよい。続いて、物品110を反応物質192へ導いて、吸着層140と反応させ、固体多元素金属酸化物層142を成長させてもよい。したがって、多元素金属酸化物層142を、ALDを用いて希土類酸化物層116上に完全に成長又は堆積させる。前駆体190A、190B、次いで反応物質192を導く処理を、y回繰り返して、多元素金属酸化物遮断層142を目標の厚さにし、最終的に非晶質又は結晶質の単相又は多相の遮断層を形成してもよい。図2Cでは、yは1より大きい整数である。
図1Cの遮断層142を形成する処理は、本明細書では共堆積とも呼ばれる。希土類酸化物層が2つ以上の希土類元素を含有する場合、そのような共堆積を希土類酸化物層にも使用し得る。
希土類酸化物層116及び遮断層142の堆積を、z回繰り返して、最終的な耐プラズマ性保護コーティングを形成する交互に重なる層のスタックを形成してもよい。zは、1より大きい整数値であり得る。zは、最終的な耐プラズマ性保護コーティングの目標厚さ及び特性に基づいて選択された、層の有限の数を表し得る。
図1A~1Bに示される最終構造は、二層耐プラズマ性保護コーティングで被覆された物品110の側面断面図である。この二層耐プラズマ性保護コーティングは、結晶質希土類酸化物層116と、非晶質又は結晶質の遮断層120(図1Aによる)、137又は138(図1Bによる)とを含む。図1Cに示される最終構造は、多層耐プラズマ性保護コーティングで被覆された物品110の側面断面図である。この多層耐プラズマ性保護コーティングは、希土類酸化物層116と、非晶質又は結晶質の遮断層142とを含む。いくつかの実施形態では、結晶質希土類酸化物層116は、第1格子構造を有する立方晶相の酸化イットリウムであってもよい。結晶質又は非晶質の遮断層120、137/138、又は142は、希土類金属酸化物、酸化ジルコニウム、酸化アルミニウム又はそれらの混合物を含んでもよい。遮断層が結晶質である実施形態では、遮断層は、希土類酸化物層116の結晶相とは異なる1つ以上の結晶相を有し得る。
遮断層116及び120、137/138、又は142は、それぞれに、上に列挙された材料のリストから選択され得る。
結晶質希土類酸化物層116は、約500オングストロームから約5000オングストロームの厚さを有し得る。諸実施形態では、希土類酸化物層は、約1000~5000オングストロームの厚さを有し得る。さらなる諸実施形態では、希土類酸化物層116は、約1500~2500オングストロームの厚さを有し得る。
遮断層120、137/138、又は142は、約1オングストロームから約500オングストロームの厚さを有してもよく、約1~500サイクルのALD処理を実行することによって形成し得る。ここで、各サイクルは、遮断層のナノレイヤー(又はナノレイヤーよりわずかに小さいか又は大きい)を形成する。諸実施形態では、遮断層120、137/138、又は142は、約2オングストロームから約200オングストロームの厚さを有し得る。さらなる諸実施形態では、遮断層120、137/138、又は142は、約3オングストロームから約50オングストロームの厚さを有し得る。一実施形態では、遮断層の各層は、約1~10回のALDサイクルを用いて形成される。
さらなる諸実施形態では、耐プラズマ性保護コーティングは、約500nmから約5μmの厚さを有し得る。さらなる諸実施形態では、耐プラズマ性保護コーティングは、約1μmから約5μm、又は約1μmから約2μmの厚さを有し得る。希土類金属酸化物層116の間の遮断層120、137、138、又は142は、希土類酸化物層内の制御不可能な程に異常に大きな結晶成長を抑制し得る。
図1A~1Cを参照して説明した実施形態では、表面反応(例えば、半反応)は逐次的に、すなわち様々な前駆体と反応物質とが接触していない場所で行われてもよい。新しい前駆体又は反応物質を導く前に、ALD処理が行われるチャンバを、不活性キャリアガス(窒素又は空気など)でパージして、いかなる未反応の前駆体及び/又は表面前駆体反応副生成物を除去してもよい。前駆体は各層で異なってもよい。いくつかの実施形態では、表面反応は、共堆積によって行われ得る。ただし、少なくとも2つの前駆体が使用される場合に限られる。いくつかの実施形態では、少なくとも3つの前駆体が使用され、またさらなる諸実施形態では、少なくとも4つの前駆体が使用される。1つ以上の反応物質を導く前に、複数の前駆体をALDチャンバに共に注入し得る。ALDチャンバを、不活性キャリアガス(窒素又は空気など)でパージして、いかなる未反応の前駆体及び/又は表面前駆体反応副生成物を除去してもよい。
ALD処理は、処理の種類に応じて様々な温度で実施し得る。特定のALD処理に対する最適温度範囲は「ALD温度ウィンドウ」と呼ばれる。ALD温度ウィンドウより低い温度は、低い成長速度及び非ALDタイプの堆積をもたらす可能性がある。ALD温度ウィンドウを超える温度は、化学気相堆積(CVD)メカニズムによって生じる反応をもたらす可能性がある。ALD温度ウィンドウは、約100℃から約400℃の範囲であってもよい。いくつかの実施形態では、ALD温度ウィンドウは約120~300℃の間である。
ALD処理は、複雑な幾何学的形状、高アスペクト比の穴、及び三次元構造を有する物品及び表面上に、均一な厚さを有するコンフォーマルな耐プラズマ性保護コーティングを可能にする。その表面を各前駆体に十分な時間だけ露出することで、前駆体は、表面全体に(その3次元的な複雑さを持つフィーチャーもすべて含めて)分散して完全に反応することが可能になる。高アスペクト比構造においてコンフォーマルなALDを得るために利用される露出時間は、アスペクト比の2乗に比例しており、モデル化技術を用いて予測し得る。さらに、ALD技術は他の一般的に使用されているコーティング技術よりも有利である。それは、この技術ではその場での要求に応じて特定の組成又は調合での材料合成が可能であり、長く困難な原材料(粉末原料や焼結ターゲットなど)の製造を必要としないからである。いくつかの実施形態では、ALDは約10:1から約300:1のアスペクト比の物品を被覆するために使用される。
本明細書に記載のALD技術を使用して、多成分膜を成長、堆積又は共堆積させ得るが、その方法として、例えば、適切な前駆体の混合物を用いて遮断層を成長させることを行う。このことは、上述されているが、以下の実施例においてより詳細に説明する。
いくつかの実施形態では、耐プラズマ性保護コーティングは、CVDによって物品の表面に堆積されてもよい。例示的なCVDシステムを図2に示す。このシステムは、化学気相前駆体供給システム205とCVD反応器210とを備える。気相前駆体供給システム205の役割は、固体、液体、又は気体の形態であり得る出発材料215から気相前駆体220を生成することである。気相前駆体は続いてCVD反応器210に輸送され、一実施形態によれば、物品ホルダ235上に配置された物品230の表面上に耐プラズマ性保護コーティング225及び/又は245として堆積されてもよい。
図2に示す耐プラズマ性保護コーティングは、結晶質の単相又は多相の希土類酸化物層225と、非晶質又は結晶質の単相又は多相の金属酸化物遮断層245との二層を含む。CVD処理に関しては二層のみが例示されているが、CVD処理に関して本明細書では多層耐プラズマ性保護コーティングも考えられることは、当業者には理解される。(多)結晶質の単相又は多相の希土類酸化物と、CVDによって堆積された非晶質又は(多)結晶質の単相又は多相の金属酸化物遮断層とが交互に重なる層のスタックを含む多層耐プラズマ性保護コーティングは、本明細書の特定の諸実施形態において考察される。
CVD反応器210は、加熱器240を用いて物品230を堆積温度に加熱する。いくつかの実施形態では、加熱器はCVD反応器の壁を加熱することができ(「ホットウォール反応器」としても知られる)、そして反応器の壁は物品に熱を伝達し得る。他の諸実施形態では、CVD反応器の壁を低温に保ちながら物品のみを加熱し得る(「コールドウォール反応器」としても知られている)。CVDシステム構成は限定として解釈されるべきではないことを理解するべきである。CVD装置には様々な装置を利用することができ、装置を選択して、均一な厚さ、表面形態、構造、及び組成を有するコーティングを与え得る最適な加工条件を得ている。
様々なCVD技術は以下の段階を含む。(1)出発材料から活性ガス状反応種(「前駆体」としても知られる)を生成する。(2)前駆体を反応チャンバ(「反応器」とも呼ばれる)に輸送する。(3)前駆体を加熱された物品上に吸着する。(4)気固界面で前駆体と物品との間の化学反応に関与して、堆積物及びガス状副生成物を形成する。(5)ガス状副生成物及び未反応ガス状前駆体を反応チャンバから除去する。
適切なCVD前駆体は、室温で安定であって、気化温度は低くてもよい。さらに、適切なCVD前駆体は、低温で安定している気化物質を生成し、適切な堆積速度(薄膜コーティングの場合には低い堆積速度、厚膜コーティングの場合には高い堆積速度)を有し、比較的毒性は低く、費用効果が高く、そして比較的高い純度であり得る。熱分解反応(「熱分解」とも呼ばれる)や不均化反応などの一部のCVD反応では、化学的前駆体単独で堆積を完了するのに十分であり得る。
CVDは、高密度で純度の高いコーティングを堆積させるその能力と、適度に高い堆積速度で良好な再現性及び接着性を有する均一な被膜を製造するその能力を含む多くの利点を有する。諸実施形態においてCVDを使用して堆積された層は、1%未満の気孔率、及び0.1%未満の気孔率(例えば、約0%)を有し得る。したがって、CVDを使用して、複雑な形状の構成要素を均一に被覆し、良好なコンフォーマル付着量で(例えば、実質的に均一な厚さで)コンフォーマルな被膜を堆積させ得る。CVDを利用して、複数の成分からなる膜を堆積させてもよい。例えば、複数の化学的前駆体を所定の比率で混合チャンバに供給し、次いでその混合体をCVD反応器システムに供給することによって可能になる。
本明細書に記載のCVD及びALD処理を使用して、諸実施形態において、浸食及び/又は腐食に対して耐性のある耐プラズマ性保護コーティングを形成してもよい。ALD又はCVDによって堆積された耐プラズマ性保護コーティングは、結晶質希土類酸化物層と非晶質又は結晶質の遮断層とが交互に重なる層のスタックを含み得る。一実施形態では、耐プラズマ性保護コーティングは、結晶質希土類酸化物層と非晶質又は結晶質の遮断層との二層であり得る。耐プラズマ性保護コーティングが、交互に重なる層のスタックを含む場合、第1層は希土類酸化物層であってもよい。非晶質又は結晶質の遮断層は、結晶質希土類酸化物層内での結晶/粒の成長を抑制し得て、その結果、希土類酸化物層内の粒のサイズが希土類酸化物層の厚さを超えることはない。いくつかの実施形態では、粒のサイズが100nm又は200nmを超えないように、抑制される。
希土類酸化物層は、1つ以上の原子結晶相を有し得る。遮断層は、希土類酸化物層の原子結晶相とは異なる1つ以上の原子結晶相を有して、希土類酸化物結晶の結晶成長を抑制し得る。例えば、一実施形態では、希土類酸化物層は、立方晶相の酸化イットリウム層であってもよい。一実施形態では、遮断層は、正方晶相及び単斜晶相の酸化ジルコニウム層であってもよい。
希土類酸化物層又は遮断層が複数の金属酸化物を含む場合、各層を形成する材料は、逐次堆積されてもよく、又は共堆積されてもよい(図1A~1Cを通してALD処理について詳細に説明している)。いくつかの実施形態では、複数の金属酸化物を含有する層に、被覆後熱処理を行うことがある。いくつかの実施形態では、耐プラズマ性保護コーティング又は最終耐プラズマ性保護コーティングの各層に、被覆後処理を行って、その中に1つ以上のフィーチャーを形成してもよい。
CVD及びALDコーティング堆積技術と共に利用し得る例示的なイットリウム含有前駆体としては、トリス(N,N-ビス(トリメチルシリル)アミド)イットリウム(III)、イットリウム(III)ブトキシド、トリス(シクロペンタジエニル)イットリウム(III)及びY(thd)(thd=2,2,6,6-テトラメチル-3,5-ヘプタンジオナート)が挙げられるが、これらに限定されない。
ALD及びCVDコーティング堆積技術と共に利用し得る例示的なエルビウム含有前駆体としては、トリス-メチルシクロペンタジエニルエルビウム(III)(Er(MeCp))、エルビウムボラナミド(Er(BA))、Er(TMHD)、エルビウム(III)トリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオネート)、及びトリス(ブチルシクロペンタジエニル)エルビウム(III)が挙げられるが、これらに限定されない。
ALD及びCVDコーティング堆積技術と共に利用し得る例示的なアルミニウム含有前駆体としては、ジエチルアルミニウムエトキシド、トリス(エチルメチルアミド)アルミニウム、アルミニウムsec-ブトキシド、三臭化アルミニウム、三塩化アルミニウム、トリエチルアルミニウム、トリイソブチルアルミニウム、トリメチルアルミニウム、及びトリス(ジエチルアミド)アルミニウムが挙げられるが、これらに限定されない。
ALD及びCVDコーティング堆積技術と共に利用し得る例示的なジルコニウム含有前駆体としては、臭化ジルコニウム(IV)、塩化ジルコニウム(IV)、T-ブトキシジルコニウム(IV)、テトラキス(ジエチルアミド)ジルコニウム(IV)、テトラキス(ジメチルアミド)ジルコニウム(IV)、テトラキス(エチルメチルアミド)ジルコニウム(IV)が挙げられるが、これらに限定されない。
本明細書で特定された様々なコーティング堆積技術と共に利用し得る例示的な酸素含有反応物質及びそれらの均等物には、オゾン、水蒸気、酸素、酸素ラジカルが含まれるが、これらに限定されない。
図11は、物品上に希土類酸化物層及び金属酸化物遮断層を含む耐プラズマ性保護コーティングを形成するための方法300を示す。この物品とは、例えば、諸実施形態による処理チャンバ構成要素である。方法300を使用して、約3:1から約300:1のアスペクト比(例えば、20:1、50:1、100:1、150:1などのアスペクト比)を有する物品を含むあらゆる物品を被覆し得る。この方法は、耐プラズマ性保護コーティングの希土類酸化物層及び金属酸化物遮断層の組成を選択し、これらの各層の厚さを選択することによって、自由選択的に開始してもよい。希土類酸化物層の組成及び金属酸化物遮断層の組成は、上記で考察された材料のいずれからも選択し得る。希土類酸化物層用及び金属酸化物遮断層用に選択された厚さ及び両者の比率も、上記で考察された厚さ及び比率のいずれからも選択し得る。組成の選択、厚さの選択、及び形成方法は、同じ組織の者によって、又は複数の組織の者によって実行され得る。
ブロック310で、物品をALD又はCVD堆積チャンバに入れる。ブロック320で、方法には、ALD又はCVDを使用して物品の表面に耐プラズマ性保護コーティングを堆積させる工程が含まれる。一実施形態では、ブロック325で、ALD又はCVDを実行して、希土類酸化物層を堆積又は共堆積(多元素層の場合)する。一実施形態では、希土類酸化物層は酸化イットリウムを含み、立方晶相を有し得る。一実施形態では、ブロック330で、ALD又はCVDを実行して、金属酸化物遮断層を堆積又は共堆積(多元素層の場合)する。金属酸化物遮断層は、結晶質希土類酸化物層の立方晶相とは異なる原子結晶相を有し得る。金属酸化物遮断層は非晶質でもよい。
ALD及びCVDは、諸実施形態で実行されるように非常にコンフォーマルな処理であり、耐プラズマ性保護コーティングの表面粗さが、コーティングされる物品の下地表面の表面粗さと一致する場合もある。耐プラズマ性保護コーティングは、いくつかの実施形態では、約500nmから約10μm、又は約500nmから約5μmの総厚を有し得る。他の諸実施形態では、耐プラズマ性保護コーティングは、約500nmから約1μmの厚さを有し得る。耐プラズマ性保護コーティングは、諸実施形態では約0%の気孔率を有し得るか、又は諸実施形態では気孔がない場合もあり、コーティングの種々の部分にわたっての厚さの変動は、約±5%以下、±10%以下、又は±20%以下であり得る。
ブロック335で、耐プラズマ性保護コーティングにさらなる層を追加するかどうかの決定を行ってもよい(例えば、多層スタックを形成しようとする場合)。さらなる層を追加する場合、方法はブロック320に戻り、さらなる希土類酸化物層及び金属酸化物遮断層をALD又はCVDによって形成し得る。そうでなければ、耐プラズマ性保護コーティングは完全に形成されていることになり、この方法は終了に達し得る。
希土類酸化物層の組成に応じて、ブロック325では、1つ以上のサイクルのALD又はCVDによって、目標厚さの希土類酸化物を堆積させ得る。希土類酸化物層の目標厚さは500オングストロームから約5000オングストロームの範囲であり得る。いくつかの実施形態では、希土類酸化物層は、多元素希土類酸化物層であり得る。多元素希土類酸化物層は、逐次ALD堆積又は逐次CVD堆積によって、又は堆積チャンバ内に複数の前駆体を同時に共に注入することによる共堆積によって堆積させ得る。様々なALD技術が、図1A~1Cに関してより詳細に説明されており、同様のメカニズムがCVD処理でも利用され得ることが理解される。
同様に、金属酸化物遮断層の組成に応じて、ブロック330では、1つ以上のサイクルのALD又はCVDによって、目標厚さの金属酸化物遮断層を堆積させ得る。金属酸化物遮断層の目標厚さは、約1オングストロームから約500オングストロームの範囲であり得る。いくつかの実施形態では、金属酸化物遮断層は、多元素金属酸化物遮断層であり得る。多元素金属酸化物遮断層は、逐次ALD堆積又は逐次CVD堆積によって、又は堆積チャンバ内に複数の前駆体を同時に共に注入することによる共堆積によって堆積させ得る。様々なALD技術が、図1A~1Cに関してより詳細に説明されており、同様のメカニズムがCVD処理でも利用され得ることが理解される。
プラズマに対するコーティング材料の耐性は、「エッチング速度」(ER)によって測定され、その単位はミクロン/時(μm/hr)であり、測定期間は、コーティングされた構成要素が運用され、プラズマに暴露されている全期間中である。測定を行う前の処理時間は異なっていてもよい。例えば、処理前、50処理時間後、150処理時間後、200処理時間後などに測定を行ってもよい。シャワーヘッド上又は他の処理チャンバ構成要素上に成長又は堆積した耐プラズマ性保護コーティングの組成が変動した結果として、複数の相違する耐プラズマ性又は浸食速度値が生じる可能性がある。さらに、単一の組成物を有する耐プラズマ性保護コーティングが様々なプラズマに露出すると、複数の相違する耐プラズマ性又は浸食速度値が生じる可能性がある。例えば、耐プラズマ性材料は、第1種類のプラズマに関連する第1耐プラズマ性又は浸食速度と第2種類のプラズマに関連する第2耐プラズマ性又は浸食速度を有する可能性がある。諸実施形態では、200WのNF直接容量結合プラズマに450℃で2時間露出した後、検出可能な浸食は生じていなかった。
以下の実施例は、本明細書に記載の実施形態の理解を助けるために記載されており、本明細書に記載され特許請求される実施形態を具体的に限定するものとして解釈されるべきではない。当業者の知識の範囲内にある、現在知られているか又は後に開発される全ての均等物の置換を含むそのような変形、及び実験設計における方案の変更又は軽微な変更は、本明細書に組み込まれた実施形態の範囲内にあるとみなされるべきである。これらの例は、上述の方法300を実行することによって達成し得る。
実施例1 - Al6061基板及びAlバッファ層上に断続的なZrO遮断層を有するY耐プラズマ性保護コーティングを形成する。
図5Aは、Al6061のアルミニウム基板510A上に堆積するAlバッファ層520A上に堆積された耐プラズマ性保護コーティングを示す。結晶質酸化イットリウムの希土類酸化物層530Aを、原子層堆積法を用いて酸化アルミニウムバッファ層上に堆積させた。結晶質酸化イットリウム層の堆積は、物品を含む堆積チャンバ内にイットリウム含有前駆体を注入し、イットリウム含有前駆体を物品の表面に吸着させ、第1半反応を形成することによって行われた。その後、酸素含有反応物質を堆積チャンバに注入し、第2半反応を形成し得た。この堆積サイクルを、目標の厚さが得られるまで繰り返し実行し得た。
続いて、原子層堆積法を用いて単相結晶質酸化イットリウム層上に多相結晶質酸化ジルコニウム層の遮断層540Aを堆積した。多相結晶質酸化ジルコニウム層の堆積は、物品を収容する堆積チャンバ内に金属含有前駆体(例えば、ジルコニウム含有前駆体)を注入し、金属含有前駆体(例えば、ジルコニウム含有前駆体)を物品の表面に吸着させ、第1半反応を形成することによって行われた。その後、酸素含有反応物質を堆積チャンバに注入し、第2半反応を形成し得た。この堆積サイクルを、目標の厚さが得られるまで繰り返し実行し得た。
これらの堆積を数サイクル繰り返し、単相結晶質酸化イットリウム層(530A、550A、570A、590A)と多相結晶質酸化ジルコニウム層(540A、560A、580A)とが交互に重なる層のスタックを形成した。
耐プラズマ性保護コーティング内の第1層530Aは、単相結晶質酸化イットリウム層であった。結晶質酸化イットリウム層は、粉末回折ファイル(PDF)第04-005-4378号に該当する、約95~100重量%の立方晶相を有していた。単相結晶質酸化イットリウム層は、図6Aに示すようなX線回折(XRD)曲線を示した。
耐プラズマ性保護コーティング内の断続的な酸化ジルコニウム層は、約65.1±5wt%の正方晶相(タゼラナイトとも呼ばれる)及び約34.9±5wt%の単斜晶相(バデレアイトとも呼ばれる)を有する多相結晶質であった。ジルコニアの正方晶相は、PDF番号01-070-8758に該当する。ジルコニアの単斜晶相は、PDF番号01-070-8739に該当する。多相結晶質酸化ジルコニウム層は、図6Bに示すようなXRD曲線を示した。
各希土類酸化物層(すなわち、結晶質酸化イットリウム層)の厚さは、約240nmから約260nmであり、遮断層(すなわち、多相結晶質酸化ジルコニウム層)の厚さは、約0.5nmから約1.5nmであった。
耐プラズマ性保護コーティング内の酸化ジルコニウム遮断層を、なかでも透過型電子顕微鏡法及びエネルギー分散型分光法(TEM/EDS)ライン走査を使用して、特徴付けた。TEM/EDSによる分析のために、多相結晶質酸化ジルコニウムの遮断層を、層内に様々な原子の原子分布を生成できるだけの十分な厚さに堆積させた。ライン走査が図6Cに示されている。酸素605、ジルコニウム625、及びアルミニウム632の濃度が示されている。ライン走査で20nmから60nmの間に示されている組成は、多相結晶質酸化ジルコニウム遮断層の組成に該当する。図6Cは、多相結晶質酸化ジルコニウム遮断層が約25原子%のジルコニウムと約75原子%の酸素とを含むことを示している。
図6Dは、多相結晶質酸化ジルコニウム遮断層の高角度環状暗視野(HAADF)走査透過型電子顕微鏡(STEM)画像を示す。この遮断層は、図6CのTEM/EDSによって分析されたものである。領域610はAl6061を示し、領域620はアルミナバッファ層を示し、領域630は例示的な多相結晶質酸化ジルコニウム遮断層を示す。この遮断層は、図6CのTEM/EDSによって分析されたものである。図6Dはまた、ALDによって堆積された多相結晶質酸化ジルコニウム層が、Al6061及びアルミナバッファ層を低気孔から無気孔で、コンフォーマルにかつ均一に覆うことを示している。
実施例2 - Al6061基板及びAlバッファ層上に断続的なYZr遮断層を有するY耐プラズマ性保護コーティングを形成する。
図5Bは、Al6061のアルミニウム基板510B上に堆積するAlバッファ層520B上に堆積された耐プラズマ性保護コーティングを示す。結晶質酸化イットリウムの希土類酸化物層530Bを、原子層堆積法を用いて酸化アルミニウムバッファ層上に堆積させた。続いて、結晶質ジルコニウム・イットリウム酸化物層(例えば、Y-ZrOの固溶体)の遮断層540Bを、原子層堆積法を用いて結晶質酸化イットリウム層上に堆積させた。結晶質酸化イットリウム層及び結晶質ジルコニウム・イットリウム酸化物層を、実施例1に記載された方法と同様の方法で堆積させ得た。
遮断層540Bは、逐次原子層堆積によって堆積された。具体的には、原子層堆積によって1サイクルの酸化ジルコニウムを堆積し、続いて原子層堆積によって1サイクルの酸化イットリウムを堆積した。これら2サイクル(1サイクルのZrOと1サイクルのY)をまとめてスーパーサイクルと呼ぶことにする。遮断層540Bは4スーパーサイクルで完全に成長した。
単相結晶質酸化イットリウム層及び単相結晶質ジルコニウム・イットリウム酸化物遮断層の堆積を数サイクル繰り返して、結晶質酸化イットリウム層(530B、550B、570B、590B)と結晶質ジルコニウム・イットリウム酸化物層(540B、560B、580B)とが交互に重なる層のスタックを形成した。
耐プラズマ性保護コーティング内の第1層530Bは、単相結晶質酸化イットリウム層であった。単相結晶質酸化イットリウム層は、粉末回折ファイル(PDF)第04-005-4378号に該当する、約95~100重量%の立方晶相を有していた。単相結晶質酸化イットリウム層は、図6Aに示すようなX線回折(XRD)曲線を示した。
耐プラズマ性保護コーティング内の断続的なジルコニウム・イットリウム酸化物層は、約95~100重量%の正方晶相を有する単相結晶質であった。ジルコニウム・イットリウム酸化物の正方晶相は、PDF番号01-082-1243に該当する。結晶質ジルコニウム・イットリウム酸化物層は、図7Aに示すようなXRD曲線を示した。図7Aに示すXRD曲線及び該当するPDF番号は、Zr0.860.141.93の化学式と相関する。
各希土類酸化物層(すなわち、結晶質酸化イットリウム層)の厚さは、約240nmから約260nmであり、遮断層(すなわち、結晶質ジルコニウム・イットリウム酸化物層)の厚さは、約0.5nmから約1.5nm、又は約0.8nmであった。
耐プラズマ性保護コーティング内のジルコニウム・イットリウム酸化物遮断層を、なかでも透過型電子顕微鏡法及びエネルギー分散型分光法(TEM/EDS)ライン走査を使用して、特徴付けた。TEM/EDSによる分析のために、結晶質ジルコニウム・イットリウム酸化物の遮断層を、層内に様々な原子の原子分布を生成できるだけの十分な厚さに堆積させた。ライン走査が図7Bに示されている。酸素705、イットリウム712、ジルコニウム725、アルミニウム732、及びイリジウム745の濃度が示されている。ライン走査で40nmから90nmの間に示されている組成は、結晶質ジルコニウム・イットリウム酸化物遮断層の組成に該当する。図7Bは、結晶質ジルコニウム・イットリウム酸化物遮断層が、約10~15原子%のイットリウム、約20~25原子%のジルコニウム、及び約60~65原子%の酸素を含むことを示している。
図7Cは、結晶質ジルコニウム・イットリウム酸化物遮断層の高角度環状暗視野(HAADF)走査透過型電子顕微鏡(STEM)画像を示す。この遮断層は、図7BのTEM/EDSによって分析されたものである。領域710はAl6061を示し、領域720はアルミナバッファ層を示し、領域730は例示的な多相結晶質酸化ジルコニウム遮断層を示す。この遮断層は、図7BのTEM/EDSによって分析されたものである。図7Cはまた、ALDによって堆積された結晶質ジルコニウム・イットリウム酸化物層が、Al6061及びアルミナバッファ層を低気孔から無気孔で、コンフォーマルにかつ均一に覆うことを示している。
図7D及び7Eは、結晶質ジルコニウム・イットリウム酸化物層の透過型電子顕微鏡(TEM)画像を示しており、さらに、原子層堆積によって得られたコーティングがコンフォーマルで、均一で、気孔がないことを実証している。
実施例3 - Al6061基板及びAlバッファ層上に断続的なYZr遮断層を有するY耐プラズマ性保護コーティングを形成する。
図5Cは、Al6061のアルミニウム基板510C上に堆積するAlバッファ層520C上に堆積された耐プラズマ性保護コーティングを示す。単相結晶質酸化イットリウムの希土類酸化物層530Cを、原子層堆積法を用いて酸化アルミニウムバッファ層上に堆積させた。続いて、混合多相結晶質イットリウム・ジルコニウム酸化物(例えば、Y-ZrO固溶体)及び酸化イットリウム層の遮断層540Cを、原子層堆積法を用いて単相結晶質酸化イットリウム層上に堆積させた。単相結晶質酸化イットリウム層及び多相結晶質イットリウム・ジルコニウム酸化物遮断層を、実施例1に記載された方法と同様の方法で堆積させ得た。
遮断層540Cは、逐次原子層堆積によって堆積された。具体的には、原子層堆積によって1サイクルの酸化ジルコニウムを堆積し、続いて原子層堆積によって2サイクルの酸化イットリウムを堆積した。これら3つのサイクル(1サイクルのZrO及び2サイクルのY)をまとめて本実施例ではスーパーサイクルと呼ぶことにする。遮断層540Cは4スーパーサイクルで完全に成長した。
単相結晶質酸化イットリウム層と、イットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の多相結晶質混合物の堆積を数サイクル繰り返して、単相結晶質酸化イットリウム層(530C、550C、570C、590C)と、多相結晶質のイットリウム・ジルコニウム酸化物及び酸化イットリウム(540C、560C、580C)とが交互に重なる層のスタックを形成した。
耐プラズマ性保護コーティング内の第1層530Cは、単相結晶質酸化イットリウム層であった。単相結晶質酸化イットリウム層は、粉末回折ファイル(PDF)第04-005-4378号に該当する、約95~100重量%の立方晶相を有していた。単相結晶質酸化イットリウム層は、図6Aに示すようなX線回折(XRD)曲線を示した。
耐プラズマ性保護コーティング内のイットリウム・ジルコニウム酸化物及び酸化イットリウムの層の断続的混合物は、約64~74重量%又は約69.4重量%の立方晶相(PDF番号01-080-4014に該当する)及び約25~35重量%又は約30.6重量%の立方晶酸化イットリウム相(PDF番号01-084-3893に該当する)を有する多相結晶質であった。多相結晶質遮断層は、図8Aに示すようなXRD曲線を示した。図8Aに示すXRD曲線及び該当するPDF番号は、約69.4±5重量%のZr0.40.61.7の化学式及び約30.6±5重量%のYの化学式と相関する。イットリウム・ジルコニウム酸化物及び酸化イットリウムの相は立方晶であり、酸化イットリウム希土類酸化物層の相も立方晶であるが、様々な立方晶相で格子構造が異なる。したがって、2つの結晶相の格子構造が変化する限り、遮断層は希土類酸化物層と同じ相を有し得る。
各希土類酸化物層(すなわち、結晶質酸化イットリウム層)の厚さは、約240nmから約260nmであり、遮断層(すなわち、イットリウム・ジルコニウム酸化物及び酸化イットリウムの層の多相結晶質混合物)の厚さは、約0.5nmから約1.5nm、又は約1.2nmであった。
耐プラズマ性保護コーティング内の遮断層を、なかでも透過型電子顕微鏡法及びエネルギー分散型分光法(TEM/EDS)ライン走査を使用して、特徴付けた。TEM/EDSによる分析のために、イットリウム・ジルコニウム酸化物及び酸化イットリウムの多相結晶質混合物の遮断層を、層内に様々な原子の原子分布を生成できるだけの十分な厚さに堆積させた。ライン走査が図8Bに示されている。酸素805、イットリウム812、ジルコニウム825、アルミニウム832、及びイリジウム845の濃度が示されている。ライン走査で30nmから480nmの間に示された組成は、イットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の多相結晶質混合物の組成に該当する。図8Bは、イットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の多相結晶質混合物が、約15~25原子%のイットリウム、約5~10原子%のジルコニウム及び約65~75原子%の酸素を含むことを示す。
図8Cは、イットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の多相結晶質混合物の高角度環状暗視野(HAADF)走査透過型電子顕微鏡(STEM)画像を示す。この遮断層は、図8BのTEM/EDSによって分析されたものである。領域815はAl6061を示し、領域835はイットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の例示的な多相結晶質混合物を示す。この遮断層は、図8BのTEM/EDSによって分析されたものである。図8Cはまた、ALDによって堆積されたイットリウム・ジルコニウム酸化物及び酸化イットリウムの層の多相結晶質混合物が、Al6061及びアルミナバッファ層を低気孔から無気孔で、コンフォーマルにかつ均一に覆うことを示している。
図8Dは、イットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の多相結晶質混合物の透過型電子顕微鏡(TEM)画像を示しており、さらに、原子層堆積によって得られたコーティングがコンフォーマルで、均一で、気孔がないことを実証している。
実施例4 - Al6061基板及びAlバッファ層上に断続的なYZr遮断層を有するY耐プラズマ性保護コーティングを形成する。
図9Aは、Al6061のアルミニウム基板910上に堆積するAlバッファ層920上に堆積された耐プラズマ性保護コーティングを示す。単相結晶質酸化イットリウムの希土類酸化物層930を、原子層堆積法を用いて酸化アルミニウムバッファ層上に堆積させた。続いて、混合多相結晶質イットリウム・ジルコニウム酸化物及び酸化イットリウムの層の遮断層940を、原子層堆積法を用いて単相結晶質酸化イットリウム層上に堆積させた。単相結晶質酸化イットリウム層及び多相結晶質イットリウム・ジルコニウム酸化物遮断層を、実施例1に記載された方法と同様の方法で堆積させ得た。
遮断層940は、逐次原子層堆積によって堆積された。具体的には、原子層堆積によって1サイクルの酸化ジルコニウムを堆積し、続いて原子層堆積によって3サイクルの酸化イットリウムを堆積した。これらの4サイクル(1サイクルのZrOと3サイクルのY)をまとめて本実施例ではスーパーサイクルと呼ぶことにする。遮断層940は4スーパーサイクルで完全に成長した。
単相結晶質酸化イットリウム層と、イットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の多相結晶質混合物の堆積を数サイクル繰り返して、単相結晶質酸化イットリウム層(930、950、970、990)と、イットリウム・ジルコニウム酸化物及び酸化イットリウムの層(940、960、980)の多相結晶質混合物とが交互に重なる層のスタックを形成した。
耐プラズマ性保護コーティング内の第1層930は、単相結晶質酸化イットリウム層であった。単相結晶質酸化イットリウム層は、粉末回折ファイル(PDF)第04-005-4378号に該当する、約95~100重量%の立方晶相を有していた。単相結晶質酸化イットリウム層は、図6Aに示すようなX線回折(XRD)曲線を示した。
耐プラズマ性保護コーティング内のイットリウム・ジルコニウム酸化物及び酸化イットリウムの層の断続的混合物は、約25~35重量%又は約30.8重量%の立方晶相(PDF番号01-080-4014に該当する)及び約64~74重量%又は約69.2重量%の立方晶酸化イットリウム相(PDF番号01-084-3893に該当する)を有する多相結晶質であった。多相結晶質遮断層は、図8Aに示すようなXRD曲線を示した。図8Aに示すXRD曲線及び該当するPDF番号は、約30.8±5重量%のZr0.40.61.7(すなわち、xは0.6、yは0.4、及びzは1.7)の化学式及び約69.2±5重量%のYの化学式と相関する。イットリウム・ジルコニウム酸化物及び酸化イットリウムの相は立方晶であり、酸化イットリウム希土類酸化物層の相も立方晶であるが、様々な立方晶相の格子構造は異なる。したがって、2つの結晶相の格子構造が異なる限り、遮断層は希土類酸化物層と同じ相を有し得る。
化学式YZrのx、y、及びzは、この実施例及び先の実施例において特定されているが、それらの値は限定されていると解釈されるべきではなく、ジルコニウムに対するイットリウムの原子比率は、0(イットリウムが存在しない場合)から9までの範囲にあり得る。ただし、得られる結晶相が希土類酸化物層の結晶相とは異なる場合に限られる。
各希土類酸化物層(すなわち、結晶質酸化イットリウム層)の厚さは、約240nmから約260nmであり、遮断層(すなわち、イットリウム・ジルコニウム酸化物及び酸化イットリウムの層の多相結晶質混合物)の厚さは、約0.5nmから約2.0nm、又は約1.6nmであった。
耐プラズマ性保護コーティング内の遮断層を、なかでも透過型電子顕微鏡法及びエネルギー分散型分光法(TEM/EDS)ライン走査を使用して、特徴付けた。TEM/EDSによる分析のために、イットリウム・ジルコニウム酸化物及び酸化イットリウムの多相結晶質混合物の遮断層を、層内に様々な原子の原子分布を生成できるだけの十分な厚さに堆積させた。ライン走査が図9Bに示されている。酸素905、イットリウム912、ジルコニウム925、アルミニウム932、及びイリジウム945の濃度が示されている。ライン走査で40nmから85nmの間に示された組成は、イットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の多相結晶質混合物の組成に該当する。図9Bは、イットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の多相結晶質混合物が、約3~7原子%のジルコニウム、約15~25原子%のジルコニウム及び約65~75原子%の酸素を含むことを示す。
図9Cは、イットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の多相結晶質混合物の高角度環状暗視野(HAADF)走査透過型電子顕微鏡(STEM)画像を示す。この遮断層は、図9BのTEM/EDSによって分析されたものである。領域915はAl6061を示し、領域935はイットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の例示的な多相結晶質混合物を示す。この遮断層は、図9BのTEM/EDSによって分析されたものである。図9Cはまた、ALDによって堆積されたイットリウム・ジルコニウム酸化物及び酸化イットリウムの層の多相結晶質混合物が、Al6061及びアルミナバッファ層を低気孔から無気孔で、コンフォーマルにかつ均一に覆うことを示している。
図9Dは、イットリウム・ジルコニウム酸化物及び酸化イットリウムの遮断層の多相結晶質混合物の透過型電子顕微鏡(TEM)画像を示しており、さらに、原子層堆積によって得られたコーティングがコンフォーマルで、均一で、気孔がないことを実証している。
実施例5 - Al6061基板及びAlバッファ層上に断続的な酸化ガドリニウム遮断層を有するY耐プラズマ性保護コーティングを形成する。
図10は、Al6061のアルミニウム基板1010上に堆積するAlバッファ層1020上に堆積された耐プラズマ性保護コーティングを示す。単相結晶質酸化イットリウムの希土類酸化物層1030を、原子層堆積法を用いて酸化アルミニウムバッファ層上に堆積させた。続いて、酸化ガドリニウムの遮断層1040を、原子層堆積法を用いて単相結晶質酸化イットリウム層上に堆積させた。
単相結晶質酸化イットリウム層及び単相/多相結晶質酸化ガドリニウム遮断層の堆積を数サイクル繰り返して、結晶質酸化イットリウム層(1030、1050、1070、1090)と結晶質酸化ガドリニウム層(1040、1060、1080)とが交互に重なる層のスタックを形成した。
結晶質酸化ガドリニウム遮断層と同様に、他の非晶質又は結晶質の希土類酸化物遮断層を酸化イットリウム層の間に堆積させ得る。遮断層が結晶質である場合、遮断層の原子結晶相は、酸化イットリウムの原子結晶相とは異なるか、又は少なくとも異なる格子構造を有するべきである。結晶相が異なれば、又は格子構造が異なれば、遮断層は、酸化イットリウム粒の成長が、制御できずに異常に大きく成長することを抑制し得る。
様々な希土類酸化物に関連する例示的で非限定的な結晶相を図3に示す。(例えば、La、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、ZrO及びそれらの組み合わせ)。図3では、y軸が温度を、x軸が希土類酸化物を表しており、ある特定の希土類酸化物がある特定の温度の影響下に置かれたときに、どの結晶質の単相又は多相に存在するのかを特定することが可能である。たとえば、特定のALD温度で、La、Pr、Ndは六方晶原子相を有してもよく、Smは、六方晶相及び/又は単斜晶相を有してもよく、Eu、Gd、Tbは単斜晶相に存在してもよく、Dyは、単斜晶相及び/又は立方晶相に存在してもよく、Ho、Er、Tm、Ybは立方晶相に存在してもよい。いくつかの実施形態では、結晶質金属酸化物層は、立方晶相のYAGを含み得る。図示のように、領域Aは希土類酸化物タイプA構造を含み、この構造は六方晶結晶構造である。領域Bは希土類酸化物タイプB構造を含み、この構造は単斜晶結晶構造である。領域Cは希土類酸化物タイプC構造を含み、この構造は立方晶結晶構造である。領域Hは希土類タイプH構造を含み、この構造は六方晶結晶構造である。領域Xは希土類酸化物タイプX構造を含み、この構造は立方晶結晶構造である。図示のように、Erは立方晶構造を有する。
実施例6 - Al6061基板及びAlバッファ層上に断続的なYZr遮断層を有するY耐プラズマ性保護コーティングを形成する。
図12は、Al6061のアルミニウム基板1210上に堆積するAlバッファ層1220上に堆積された耐プラズマ性保護コーティングを示す。単相結晶質酸化イットリウムの希土類酸化物層1230を、原子層堆積法を用いて酸化アルミニウムバッファ層上に堆積させた。続いて、イットリウム・ジルコニウム酸化物の遮断層1240を、原子層堆積法を用いて単相結晶質酸化イットリウム層上に堆積させた。単相結晶質酸化イットリウム層及びイットリウム・ジルコニウム酸化物遮断層を、実施例1に記載された方法と同様の方法で堆積させ得た。
遮断層1240は逐次原子層堆積によって堆積された。具体的には、原子層堆積によって3サイクルの酸化ジルコニウムを堆積し、続いて原子層堆積によって1サイクルの酸化イットリウムを堆積した。これらの4サイクル(3サイクルのZrO 2と1サイクルのY)をまとめて本実施例ではスーパーサイクルと呼ぶことにする。遮断層1240は4スーパーサイクルで完全に成長した。
単相結晶質酸化イットリウム層及びイットリウム・ジルコニウム酸化物遮断層の堆積を数サイクル繰り返して、単相結晶質酸化イットリウム層(1230、1250、1270、1290)とイットリウム・ジルコニウム酸化物(1240、1260、1280)とが交互に重なる層のスタックを形成した。
耐プラズマ性保護コーティング内の第1層1230は、単相結晶質酸化イットリウム層であった。単相結晶質酸化イットリウム層は、粉末回折ファイル(PDF)第04-005-4378号に該当する、約95~100重量%の立方晶相を有していた。単相結晶質酸化イットリウム層は、図6Aに示すようなX線回折(XRD)曲線を示した。
各希土類酸化物層(すなわち、結晶質酸化イットリウム層)の厚さは、約240nmから約260nmであり、遮断層の厚さは、約0.5nmから約2.0nm、又は約1.6nmであった。
耐プラズマ性保護コーティング内の遮断層を、なかでもトップダウン走査電子顕微鏡(SEM)画像、TEM画像、及びTEM/EDSライン走査を使用して、特徴付けた。
トップダウンSEM画像を図13A及び図13Bに示す。図13Aは、遮断層なしでALDによって堆積された1μmのイットリアコーティングのトップダウンSEM画像を示す。図13Aに示すように、大きく成長した粒1305が表面コーティングから突き出ている。領域1308は、TEM用の切断位置(例えば集束イオンビーム(FIB)切断位置)を示す。図13Bは、本実施例による、遮断層がある1μmのイットリアコーティングのトップダウンSEM画像を示す。図13Bに示すように、大きく成長して表面コーティングから突き出す粒はない。領域1310は、TEM用の切断位置(例えば集束イオンビーム(FIB)切断位置)を示す。
断面TEM画像を図14A及び図14Bに示す。図14Aは、遮断層なしでALDによって堆積された1μmのイットリアコーティングの断面TEM画像を示す。図14Aは、切断位置1308から採取されたサンプルのTEMを示す。図14Aに示すように、大きく成長した粒1405がコーティングの表面から突き出ている。図14Bは、本実施例による、遮断層がある1μmのイットリアコーティングの断面TEM画像を示す。図14Bは、切断位置1310から採取されたサンプルのTEMを示す。図14Bに示すように、大きく成長して表面コーティングから突き出す粒はない。
TEM/EDSライン走査を、図15A及び図15Bに示す。ライン走査が図15Aに示されている。TEM/EDSライン走査は、コーティング1504によって覆われたAl基板1502を示し、コーティング1504はFIBキャップ層1506によって覆われている。この組成は、約250~350nm、約500~600nm、及び約750~850nmの間に(すなわち、遮断層の位置に)3つのジルコニウムピークを示した。
図15Bは、ライン走査で特定された3つの遮断層1505、1510、1515(それぞれジルコニウムピークとして示されている)が表れているTEM画像を示しており、さらに、原子層堆積によって得られたコーティングがコンフォーマルで、均一で、気孔がないことを実証している。
本明細書で論じ、例示したすべての遮断層は、結晶質希土類酸化物層内の粒が制御されずに粒成長することを抑制するのみである。遮断層は、希土類酸化物層の結晶相に影響を及ぼさない。
本明細書に示されるXRDデータは、銅X線管及び平行ビーム光学系を備えたPANalytical X’Pert Pro MRD 6軸回折計で斜入射XRD(GIXRD)によって取得された。
TEMサンプルは、FEI Helios 650 Dual Beam FIB/SEMでインサイチュー集束イオンビーム(FIB)リフトアウト技術を用いて作成された。機械加工の前に、スパッタされたイリジウム(Ir)、保護用カーボンインク、及びe-Pt/I-Ptでサンプルを覆った。TEMラメラの厚さは約100nmであった。
TEMサンプルは、FEI Tecnai TF-20 FEG/TEMを200kVで操作して、明視野(BF)TEMモード、高解像度(HR)TEMモードにて撮像された。
ZコントラストSTEMはラザフォード散乱の一様式であり、ここでは電子は非常に大きな角度に散乱され、特別な検出器で集められる。散乱はZに比例し、結果として得られる画像は定性的化学マップとして直接解釈され得る。画像のコントラストは平均原子量の違いによるものであり、大きい原子量は、小さい平均原子量よりも明るく見える。通常、これらの画像には回折コントラストがほとんどない。これらの画像は、高角度環状暗視野画像(HAADF)と呼ばれることもある。Zコントラストは最高解像度の画像に原子コラムを表示し得る。
前述の説明は、本発明のいくつかの実施形態の十分な理解を提供するために、具体的なシステム、構成要素、方法等の例などの多数の具体的な詳細を説明している。しかしながら、本発明の少なくともいくつかの実施形態は、こうした具体的かつ詳細な説明がなくても実施され得ることが当業者には明らかであろう。他の諸例では、本発明を不必要に不明瞭にすることを避けるために、周知の構成要素又は方法は詳細に説明されないか、又は単純なブロック図形式で提示される。したがって、具体的かつ詳細な説明は単なる例示である。特定の実施形態はこれらの例示的な説明とは異なる場合があるが、なお本発明の範囲内にあると考えられる。
本明細書全体を通して「ある実施形態」又は「一実施形態」と言及した場合、その実施形態に関連して説明した特定の構成、構造、又は特性は少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体を通じて様々な箇所に「ある実施形態では」又は「一実施形態では」という表現が出現しても、必ずしもすべてが同じ実施形態を指すものではない。さらに、用語「又は」は、排他的な「又は」ではなく、包括的な「又は」を意味することを意図している。
本明細書を通しての数値範囲への言及は限定として解釈されるべきではなく、範囲の外側限界並びに列挙された数値範囲内の各数及び/又はより狭い範囲を包含するものとして理解されるべきである。
本明細書における方法の動作は特定の順序で示され説明されているが、各方法の動作の順序を変更して、特定の動作が逆の順序で実行されるか、又は、ある動作が他の動作と少なくとも部分的に並行して実行されてもよい。別の実施形態では、異なる動作の指示又は副動作は、断続的に及び/又は交互に行われてもよい。
上記の説明は例示的であり、限定的ではないことを意図していることを理解するべきである。上記の説明を読み理解することにより、他の多くの実施形態が当業者にとって明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を参照して、そのような特許請求の範囲が権利を有する均等物の全範囲と共に決定されるべきである。

Claims (15)

  1. 方法であって、
    原子層堆積(ALD)処理又は化学気相堆積(CVD)処理を使用して、物品の表面上に複数の結晶質希土類酸化物層及び複数の結晶質又は非晶質金属酸化物層を含む耐プラズマ性保護コーティングを堆積させる工程を含み、耐プラズマ性保護コーティングを堆積させる工程は、
    ALD又はCVDを使用して、複数の結晶質希土類酸化物層の結晶質希土類酸化物層、及び
    ALD又はCVDを使用して、複数の結晶質又は非晶質金属酸化物層の結晶質又は非晶質金属酸化物層を交互に堆積することを含む工程を含み、
    複数の金属酸化物層が結晶質である場合、複数の金属酸化物層は、複数の結晶質希土類酸化物層の原子結晶相とは異なる原子結晶相を有し、
    複数の結晶質又は非晶質の金属酸化物層が、複数の結晶質希土類酸化物層の粒成長を抑制する遮断層であり、これによって、複数の結晶質希土類酸化物層における全ての結晶粒が長さ100nmより小さく、幅200nmより小さい粒径を有し、
    結晶質希土類酸化物層の厚さの結晶質又は非晶質金属酸化物層の厚さに対する厚さ比は約10:1~約500:1であり、これによって、結晶質又は非晶質金属酸化物層の厚さが結晶質希土類酸化物層の厚さより小さい、方法。
  2. 結晶質希土類酸化物層が立方晶相の酸化イットリウムを含み、ALDを使用して結晶質希土類酸化物層を堆積する工程は堆積サイクルを実行する工程を含み、堆積サイクルは、
    物品を含む堆積チャンバにイットリウム含有前駆体を注入し、イットリウム含有前駆体を物品の表面に吸着させ、第1半反応を形成する工程と、
    堆積チャンバに酸素含有応物を注入し、第2半反応を形成する工程と、
    結晶質希土類酸化物層が目標厚さに達するまで、堆積サイクルを1回以上実行することを含む、請求項1に記載の方法。
  3. ALDを使用して結晶質又は非晶質金属酸化物層を堆積することは堆積サイクルを実行することを含み、堆積サイクルは、
    物品を含む堆積チャンバに金属含有前駆体を注入し、金属含有前駆体を結晶質希土類酸化物層に吸着させ、第1半反応を形成する工程と、
    堆積チャンバに酸素含有反応剤を注入し、第2半反応を形成する工程と、
    結晶質又は非晶質金属酸化物層の目標厚さが達成されるまで、堆積サイクルを1回以上繰り返すことを含む、請求項2に記載の方法。
  4. 結晶質希土類酸化物の原子結晶相と異なる原子結晶相は、六方晶相、単斜晶相、立方晶相、六方晶相、正方晶相、及びそれらの組み合わせからなる群から選択される、請求項1に記載の方法。
  5. 金属酸化物層が結晶質であり、
    正方晶相又は単斜晶相の少なくとも1つの純粋結晶質単相ジルコニアから、組成物中の全原子を基準にして、約5%原子パーセントのジルコニウムを含む結晶質多相又は結晶質単相イットリウム・ジルコニウム酸化物までの範囲の組成物、
    約65重量%の正方晶相の酸化ジルコニウムと、約35重量%の単斜晶相の酸化ジルコニウムの混合物、
    約100重量%の正方晶相のジルコニウム・イットリウム酸化物の多元素酸化物、
    約70重量%の第1立方晶相のジルコニウム・イットリウム酸化物の多元素酸化物と約30重量%の第2立方晶相の酸化イットリウムの混合物であって、第1立方晶相及び第2立方晶相は結晶質希土類酸化物層の格子構造と異なる格子構造を有するもの、及び
    約30重量%の第1立方晶相のジルコニウム・イットリウム酸化物の多元素酸化物と約70重量%の第2立方晶相の酸化イットリウムの混合物、からなる群から選択される、請求項1に記載の方法。
  6. 結晶質又は非晶質の金属酸化物層は、1つ以上の希土類金属含有酸化物、酸化ジルコニウム、酸化アルミニウム、及びそれらの混合物からなる群から選択される、請求項1に記載の方法。
  7. 1つ以上の希土類金属含有酸化物が、酸化ランタン、酸化プラセオジム、酸化ネオジム、酸化サマリウム、酸化ユーロピウム、酸化ガドリニウム、酸化テルビウム、酸化ディスプロシウム、酸化ホルミウム、酸化エルビウム、酸化ツリウム、酸化イッテルビウム、及びこれらの混合物からなる群より選択される、請求項6記載の方法。
  8. 結晶質又は非晶質金属酸化物層が多元素酸化物又は第1金属酸化物と第2金属酸化物の混合物を含み、結晶質又は非晶質金属酸化物層を堆積することはスーパー堆積サイクルを実行することを含み、スーパー堆積サイクルは、
    第1堆積サイクルであって、
    結晶質希土類酸化物層でコーティングされた物品を含む堆積チャンバに第1金属含有前駆体を注入し、第1金属含有前駆体を結晶質希土類酸化物層に吸着させ、第1半反応を形成する工程と、
    堆積チャンバに酸素を含む反応物質を注入し、第2半反応を形成する工程と、
    第1目標厚さが達成され、第1層が形成されるまで、第1堆積サイクルを1回以上繰り返す工程を含む第1堆積サイクルと、
    第2堆積サイクルであって、
    第1層でコーティングされた物品を含む堆積チャンバに第2金属含有前駆体を注入し、第2金属含有前駆体を第1層に吸着させ、第3半反応を形成する工程と、
    堆積チャンバに酸素含有反応剤を注入し、第4半反応を形成する工程と、
    第2目標の厚さが達成され、第2層が形成されるまで、第2堆積サイクルを1回以上繰り返す工程を含む第2堆積サイクルを含み、
    最終的な目標厚さに達するまで、スーパー堆積サイクルを1回以上繰り返すことを含む、請求項6に記載の方法。
  9. 結晶質又は非晶質金属酸化物層は第3金属酸化物を含み、スーパー堆積サイクルは第3堆積サイクルを含み、第3堆積サイクルは、
    第2層でコーティングされた物品を含む堆積チャンバに第3金属含有前駆体を注入し、第3金属含有前駆体を第2層に吸着させ、第5半反応を形成する工程と、
    堆積チャンバに酸素含有反応物を注入し、第6半反応を形成する工程と、
    第3目標厚さが達成され、第3層が形成されるまで、第3堆積サイクルを1回以上繰り返すことを含む、請求項8に記載の方法。
  10. 耐プラズマ性保護コーティングの最終目標厚さは約500nm~約10μmであり、耐プラズマ性保護コーティングが均一で、コンフォーマルであり、及びポロシティを有しない、請求項8に記載の方法。
  11. 結晶質又は非晶質金属酸化物層は多元素酸化物又は第1金属酸化物と第2金属酸化物の混合物を含み、結晶質又は非晶質金属酸化物層を堆積することは堆積サイクルを実行することを含み、堆積サイクルは、
    結晶質希土類酸化物層でコーティングされた物品を含む堆積チャンバに、第1金属含有前駆体と第2金属含有前駆体の混合物を共注入するか、又は第1金属含有前駆体と第2金属含有前駆体を順次注入し、第1金属含有前駆体と第2金属含有前駆体を結晶質希土類酸化物層に吸着させ、第1半反応を形成する工程と、
    堆積チャンバに酸素含有反応物を注入し、第2半反応を形成する工程と、
    目標の厚さに達するまで、堆積サイクルを1回以上繰り返す工程を含む、請求項6に記載の方法。
  12. 原子層堆積(ALD)プロセス又は化学気相成長(CVD)プロセスを使用して物品の表面に耐プラズマ性保護コーティングを堆積させる方法であって、
    ALDプロセス又はCVDプロセスを使用して、結晶質酸化イットリウム層と結晶質又は非晶質金属酸化物層の交互層のスタックを堆積させる工程を含み、
    結晶質酸化イットリウムは立方晶相を有し、
    金属酸化物層が結晶質である場合、金属酸化物層は、結晶質酸化イットリウム層の立方晶相と異なる原子結晶相を有し、
    交互層のスタックの第1層が、結晶質酸化イットリウム層であり、
    結晶質又は非晶質の金属酸化物層が、結晶質酸化イットリウム層の粒成長を抑制する遮断層であり、これによって、結晶質酸化イットリウム層の全ての粒が長さ100nmより小さく、幅200nmより小さい粒径を有し、
    結晶質酸化イットリウム層の厚さの結晶質又は非晶質金属酸化物層の厚さに対する厚さ比が約10:1~約500:1であり、これによって、結晶質又は非晶質金属酸化物層の厚さが結晶質酸化イットリウム層の厚さより小さい、方法。
  13. 複数の結晶質又は非晶質金属酸化物層は複数の結晶質金属酸化物層である、請求項1に記載の方法。
  14. 複数の結晶質又は非晶質金属酸化物層は酸化アルミニウムを含む複数の非晶質層である、請求項1に記載の方法。
  15. 複数の結晶質希土類酸化物層が第1希土類酸化物を含み、複数の結晶質又は非晶質金属酸化物層が第1希土類酸化物とは異なる第2希土類酸化物を含む、請求項1に記載の方法。
JP2019072410A 2018-04-06 2019-04-05 ゾーン制御された、希土類酸化物ald及びcvdコーティング Active JP7304192B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023103840A JP2023120395A (ja) 2018-04-06 2023-06-26 ゾーン制御された、希土類酸化物ald及びcvdコーティング

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/947,402 2018-04-06
US15/947,402 US10443126B1 (en) 2018-04-06 2018-04-06 Zone-controlled rare-earth oxide ALD and CVD coatings

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023103840A Division JP2023120395A (ja) 2018-04-06 2023-06-26 ゾーン制御された、希土類酸化物ald及びcvdコーティング

Publications (3)

Publication Number Publication Date
JP2019183278A JP2019183278A (ja) 2019-10-24
JP2019183278A5 JP2019183278A5 (ja) 2022-06-27
JP7304192B2 true JP7304192B2 (ja) 2023-07-06

Family

ID=68096452

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019072410A Active JP7304192B2 (ja) 2018-04-06 2019-04-05 ゾーン制御された、希土類酸化物ald及びcvdコーティング
JP2023103840A Pending JP2023120395A (ja) 2018-04-06 2023-06-26 ゾーン制御された、希土類酸化物ald及びcvdコーティング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023103840A Pending JP2023120395A (ja) 2018-04-06 2023-06-26 ゾーン制御された、希土類酸化物ald及びcvdコーティング

Country Status (5)

Country Link
US (3) US10443126B1 (ja)
JP (2) JP7304192B2 (ja)
KR (3) KR102269066B1 (ja)
CN (4) CN114921770A (ja)
TW (3) TWI799018B (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109072432B (zh) * 2016-03-04 2020-12-08 Beneq有限公司 抗等离子蚀刻膜及其制造方法
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
CN113260732A (zh) * 2018-12-05 2021-08-13 京瓷株式会社 等离子体处理装置用构件和具备它的等离子体处理装置
JP7249930B2 (ja) * 2019-11-20 2023-03-31 東京エレクトロン株式会社 成膜方法および成膜装置
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
CN112981360B (zh) * 2019-12-17 2023-01-24 中微半导体设备(上海)股份有限公司 一种微波退火装置和微波退火方法
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
CN113707525A (zh) * 2020-05-20 2021-11-26 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
CN114068274A (zh) * 2020-08-03 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及耐腐蚀涂层形成方法
CN114639584A (zh) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及形成复合涂层的方法
TWI807253B (zh) * 2021-01-29 2023-07-01 優材科技有限公司 半導體反應裝置與反應方法
EP4308746A1 (en) * 2021-03-19 2024-01-24 Entegris, Inc. Substrate with fluorinated yttrium coatings, and methods of preparing and using the substrates
FI130562B (en) * 2021-05-21 2023-11-21 Picosun Oy Plasma resistant coating, related manufacturing process and uses
US11742416B2 (en) * 2021-05-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
JP2023124884A (ja) * 2022-02-26 2023-09-07 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
US20240043992A1 (en) * 2022-08-08 2024-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multilayer ald coating for critical components in process chamber

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001355070A (ja) 2000-04-14 2001-12-25 Asm Microchemistry Oy 酸化物薄膜を製造する方法
WO2006137541A1 (ja) 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
US20120103519A1 (en) 2010-10-25 2012-05-03 Greene, Tweed Of Delaware, Inc. Plasma Etch Resistant, Highly Oriented Yttria Films, Coated Substrates and Related Methods
JP2017514991A (ja) 2014-04-25 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温アプリケーション用プラズマ耐食性薄膜コーティング
WO2017149205A1 (en) 2016-03-04 2017-09-08 Beneq Oy A plasma etch-resistant film and a method for its fabrication
JP2017199907A (ja) 2016-04-27 2017-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US7384438B1 (en) * 2000-07-19 2008-06-10 3M Innovative Properties Company Fused Al2O3-Y2O3-ZrO2 eutectic abrasive particles, abrasive articles, and methods of making and using the same
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
EP1772534A3 (en) * 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US8357454B2 (en) * 2001-08-02 2013-01-22 Siemens Energy, Inc. Segmented thermal barrier coating
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
DE60319470T2 (de) 2002-08-02 2009-03-26 Fujikura Ltd. Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
KR100618630B1 (ko) 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
US7291403B2 (en) * 2004-02-03 2007-11-06 General Electric Company Thermal barrier coating system
KR100855531B1 (ko) * 2004-04-13 2008-09-01 어플라이드 머티어리얼스, 인코포레이티드 전기 도금된 이트륨 함유 코팅을 갖는 프로세스 챔버 요소
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
WO2009131902A2 (en) * 2008-04-23 2009-10-29 Intermolecular, Inc. Yttrium and titanium high-k dielectric films
CN101577211B (zh) * 2008-05-09 2011-07-20 中微半导体设备(上海)有限公司 抗等离子体腐蚀的反应室部件、其制造方法以及包含该部件的等离子体反应室
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US8470460B2 (en) * 2008-11-25 2013-06-25 Rolls-Royce Corporation Multilayer thermal barrier coatings
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US8449994B2 (en) * 2009-06-30 2013-05-28 Honeywell International Inc. Turbine engine components
US20110091700A1 (en) 2009-10-20 2011-04-21 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
DE102010015470A1 (de) * 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Verfahren zur Innenbeschichtung von Funktionsschichten mit einem Vergütungsmaterial
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
JP6117195B2 (ja) 2012-05-22 2017-04-19 株式会社東芝 プラズマ処理装置用部品およびプラズマ処理装置用部品の製造方法
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
JP5578383B2 (ja) * 2012-12-28 2014-08-27 Toto株式会社 耐プラズマ性部材
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104711541A (zh) * 2013-12-11 2015-06-17 北京有色金属研究总院 一种氧化锆和氧化铝梯度复合涂层及其制备方法
WO2015120265A1 (en) 2014-02-07 2015-08-13 Entegris, Inc. Electrostatic chuck and method of making same
US20170022595A1 (en) 2014-03-31 2017-01-26 Kabushiki Kaisha Toshiba Plasma-Resistant Component, Method For Manufacturing The Plasma-Resistant Component, And Film Deposition Apparatus Used For Manufacturing The Plasma-Resistant Component
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
KR102182699B1 (ko) * 2014-11-11 2020-11-25 (주) 코미코 플라즈마 처리 장치용 내부재 및 이의 제조 방법
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
CN105990081B (zh) * 2015-02-09 2018-09-21 中微半导体设备(上海)有限公司 等离子体处理装置及其制作方法
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
KR101891381B1 (ko) 2015-03-18 2018-08-24 엔테그리스, 아이엔씨. 플루오로-어닐링된 필름으로 코팅된 물품
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
US9972520B2 (en) 2015-11-17 2018-05-15 National Chung-Shan Institute Of Science And Technology Aluminum nitride electrostatic chuck used in high temperature and high plasma power density semiconductor manufacturing process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) * 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
EP4228894A1 (en) * 2020-10-15 2023-08-23 Heraeus Conamic North America LLC Multilayer sintered ceramic body and method of making

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001355070A (ja) 2000-04-14 2001-12-25 Asm Microchemistry Oy 酸化物薄膜を製造する方法
WO2006137541A1 (ja) 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
US20120103519A1 (en) 2010-10-25 2012-05-03 Greene, Tweed Of Delaware, Inc. Plasma Etch Resistant, Highly Oriented Yttria Films, Coated Substrates and Related Methods
JP2017514991A (ja) 2014-04-25 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温アプリケーション用プラズマ耐食性薄膜コーティング
WO2017149205A1 (en) 2016-03-04 2017-09-08 Beneq Oy A plasma etch-resistant film and a method for its fabrication
JP2017199907A (ja) 2016-04-27 2017-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Also Published As

Publication number Publication date
CN114672787B (zh) 2024-02-23
TWI799018B (zh) 2023-04-11
TWI707977B (zh) 2020-10-21
CN114921770A (zh) 2022-08-19
US20190309413A1 (en) 2019-10-10
US20190382888A1 (en) 2019-12-19
US20210301395A1 (en) 2021-09-30
KR102526653B1 (ko) 2023-04-26
CN110344024A (zh) 2019-10-18
CN210826347U (zh) 2020-06-23
CN110344024B (zh) 2022-04-08
JP2019183278A (ja) 2019-10-24
KR20210077663A (ko) 2021-06-25
CN114672787A (zh) 2022-06-28
TW202212613A (zh) 2022-04-01
TWI753572B (zh) 2022-01-21
KR102269066B1 (ko) 2021-06-23
TW202117057A (zh) 2021-05-01
KR20230062496A (ko) 2023-05-09
US10443126B1 (en) 2019-10-15
KR20190117395A (ko) 2019-10-16
TW201945576A (zh) 2019-12-01
JP2023120395A (ja) 2023-08-29

Similar Documents

Publication Publication Date Title
JP7304192B2 (ja) ゾーン制御された、希土類酸化物ald及びcvdコーティング
JP7481317B2 (ja) 原子層堆積による多層耐プラズマ性コーティング
CN107313027B (zh) 多组分涂层组成物、其形成方法和半导体工艺腔室部件
CN110735128B (zh) 通过原子层沉积来沉积的抗侵蚀金属氟化物涂层
US20230286867A1 (en) Erosion resistant metal oxide coatings deposited by atomic layer deposition
JP2020530067A (ja) 高温ヒーター用の原子層堆積コーティング
JP3224084U (ja) 原子層堆積法で堆積させた耐浸食性金属フッ化物コーティング

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220617

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20220617

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221230

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230306

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230530

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230626

R150 Certificate of patent or registration of utility model

Ref document number: 7304192

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150