KR102526653B1 - 구역-제어식 희토류 산화물 ald 및 cvd 코팅들 - Google Patents

구역-제어식 희토류 산화물 ald 및 cvd 코팅들 Download PDF

Info

Publication number
KR102526653B1
KR102526653B1 KR1020210079333A KR20210079333A KR102526653B1 KR 102526653 B1 KR102526653 B1 KR 102526653B1 KR 1020210079333 A KR1020210079333 A KR 1020210079333A KR 20210079333 A KR20210079333 A KR 20210079333A KR 102526653 B1 KR102526653 B1 KR 102526653B1
Authority
KR
South Korea
Prior art keywords
crystalline
oxide
layer
deposition
rare earth
Prior art date
Application number
KR1020210079333A
Other languages
English (en)
Other versions
KR20210077663A (ko
Inventor
샤오웨이 우
제니퍼 와이. 선
마이클 알. 라이스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210077663A publication Critical patent/KR20210077663A/ko
Priority to KR1020230053273A priority Critical patent/KR20230062496A/ko
Application granted granted Critical
Publication of KR102526653B1 publication Critical patent/KR102526653B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/60Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape characterised by shape
    • C30B29/68Crystals with laminate structure, e.g. "superlattices"
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/80Constructional details
    • H10N60/85Superconducting active materials
    • H10N60/855Ceramic superconductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)

Abstract

결정 성장을 제어하기 위한 하나 이상의 차단 층들을 갖는 물건의 표면 상의 희토류 산화물 코팅, 및 그 희토류 산화물 코팅의 형성 방법들이 본원에서 개시된다. 코팅은 원자 층 증착 및/또는 화학 기상 증착에 의해 증착될 수 있다. 본원에서 개시되는 코팅들 내의 희토류 산화물들은 하나 이상의 차단 층들의 원자 결정질 상 또는 비정질 상과 상이한 원자 결정질 상을 가질 수 있다.

Description

구역-제어식 희토류 산화물 ALD 및 CVD 코팅들{ZONE-CONTROLLED RARE-EARTH OXIDE ALD AND CVD COATINGS}
[0001] 본원에서 개시되는 실시예들은 일반적으로, 차단 층(interruption layer)을 갖는, 물건(article)들을 위한 희토류 코팅들에 관한 것으로, 특히, 이트륨 산화물 그레인(grain) 성장을 제어하기 위한 하나 이상의 차단 층들을 갖는 이트륨 산화물 코팅들에 관한 것이다.
[0002] 다양한 제조 프로세스들은 반도체 프로세스 챔버 컴포넌트들을 높은 온도들, 높은 에너지 플라즈마, 부식성 가스들의 혼합물, 높은 응력, 및 이들의 조합들에 노출시킨다. 이들 극심한 조건들은 챔버 컴포넌트들을 침식(erode) 및/또는 부식(corrode)시킬 수 있고, 그에 따라, 챔버 컴포넌트들의 결함들에 대한 취약성(susceptibility)을 증가시킬 수 있다.
[0003] 가혹한 프로세싱 조건들로 인한, 챔버 컴포넌트들 상의 결함들을 감소시키기 위해 사용되는 보호 코팅들은 전형적으로, 챔버 컴포넌트들 상에 증착된다. 보호 코팅들은, 열 스프레이, 스퍼터링, 이온 보조 증착(IAD), 플라즈마 스프레이, 증발 기법들, 원자 층 증착, 화학 기상 증착 등을 포함하는(그러나 이에 제한되지는 않음) 다양한 기법들에 의해 증착될 수 있다. 이들 기법들 중 일부는 비정상적으로 큰 결정 그레인들을 갖는 보호 코팅들을 생성할 수 있다. 비정상적으로 큰 결정 그레인들은 보호 코팅의 표면 거칠기를 증가시킬 수 있고, 그리고 그레인들 사이의 가능한 균열들을 통해 또는 그레인 경계들을 통해 화학물질들이 확산하는 경로를 제공할 수 있다.
본 발명의 배경이 되는 기술은 다음의 공개특허공보에 개시되어 있다.
[문헌 1] US 2018/0209042 A1 (Wu 외) 2018.7.26
[0004] 예시적인 실시예에서, 물건의 표면 상에 플라즈마 저항성 보호 코팅을 포함하는 물건이 본원에서 개시된다. 플라즈마 저항성 보호 코팅은, 결정질 희토류 산화물 층들과 결정질 또는 비정질 금속 산화물 층들의 교번 층들의 스택(stack)을 포함할 수 있다. 교번 층들의 스택 내의 제1 층은 결정질 희토류 산화물 층일 수 있다. 결정질 희토류 산화물 층들은 약 500 내지 5000 옹스트롬의 두께를 가질 수 있다. 금속 산화물 층들이 결정질인 실시예들에서, 금속 산화물 층들 각각은 희토류 산화물 층의 결정질 상과 상이한 원자 결정질 상을 가질 수 있으며, 각각의 금속 산화물 층은 약 1 내지 500 옹스트롬의 두께를 가질 수 있다. 결정질 또는 비정질 금속 산화물 층들은 결정질 이트륨 산화물 층들 내의 그레인 성장을 억제할 수 있다.
[0005] 예시적인 실시예에서, 원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 물건의 표면 상에 플라즈마 저항성 보호 코팅을 증착하는 단계를 포함하는 방법이 본원에서 개시된다. 플라즈마 저항성 보호 코팅을 증착하는 단계는 ALD 또는 CVD를 사용하여 결정질 희토류 산화물 층을 증착하는 단계를 포함할 수 있다. 플라즈마 저항성 보호 코팅을 증착하는 단계는 ALD 또는 CVD를 사용하여 결정질 희토류 산화물 층 상에 결정질 또는 비정질 금속 산화물 층을 증착하는 단계를 더 포함할 수 있다. 금속 산화물 층이 결정질인 실시예들에서, 금속 산화물 층은 결정질 희토류 산화물의 결정질 상과 상이한 원자 결정질 상을 가질 수 있다.
[0006] 예시적인 실시예에서, 원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 물건의 표면 상에 플라즈마 저항성 보호 코팅을 증착하는 단계를 포함하는 방법이 본원에서 개시된다. 플라즈마 저항성 보호 코팅을 증착하는 단계는 결정질 이트륨 산화물 층들과 결정질 또는 비정질 금속 산화물 층들의 교번 층들의 스택을 증착하는 단계를 포함할 수 있다. 결정질 이트륨 산화물 층들 각각은 입방정계 상, 및 약 500 내지 5000 옹스트롬의 두께를 가질 수 있다. 금속 산화물 층들이 결정질인 실시예들에서, 금속 산화물 층들은 결정질 이트륨 산화물의 입방정계 상과 상이한 원자 결정질 상을 가질 수 있으며, 금속 산화물 층들 각각은 약 1 내지 500 옹스트롬의 두께를 가질 수 있다. 교번 층들의 스택 내의 제1 층은 결정질 이트륨 산화물 층일 수 있다. 결정질 또는 비정질 금속 산화물 층들은 결정질 이트륨 산화물 층들 내의 그레인 성장을 억제할 수 있다.
[0007] 본 발명의 실시예들은, 유사한 참조부호들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도들에서 제한적인 것이 아니라 예로서 예시된다. 본 개시내용에서 "실시예" 또는 "일 실시예"에 대한 상이한 참조들은 반드시 동일한 실시예를 참조하는 것은 아니며, 그러한 참조들은 적어도 하나를 의미한다는 것이 유의되어야 한다.
[0008] 도 1a는 본원에서 설명되는 원자 층 증착 프로세스의 일 실시예를 도시한다.
[0009] 도 1b는 본원에서 설명되는 원자 층 증착 프로세스의 다른 실시예를 도시한다.
[0010] 도 1c는 본원에서 설명되는 원자 층 증착 프로세스의 또 다른 실시예를 도시한다.
[0011] 도 2는 실시예들에 따른, 플라즈마 저항성 보호 코팅을 증착하는 경우에 사용될 수 있는 화학 기상 증착 기법을 도시한다.
[0012] 도 3은 상이한 온도들에서의 다양한 희토류 산화물들의 상이한 결정 상들을 도시한다.
[0013] 도 4a 및 도 4b는 어떠한 차단 층들도 갖지 않는 600 nm 이트륨 산화물 코팅의 다양한 스케일들(각각 0.2 μm 스케일 및 100 nm 스케일)의 투과 전자 현미경(Transmission Electron Microscopy; TEM) 이미지를 도시한다.
[0014] 도 4c는 탄소-풍부 이트리아 차단 층들을 갖는 이트륨 산화물 코팅의 100 nm 스케일의 TEM 이미지를 도시한다.
[0015] 도 5a, 도 5b, 및 도 5c는 각각, 예 1, 예 2, 예 3에 따른, 예시적인 플라즈마 저항성 보호 코팅들을 도시한다.
[0016] 도 6a는 PDF(Powder Diffraction File) 번호 04-005-4378을 갖는 입방정계 이트륨 산화물의 X-선 회절(XRD) 프로파일을 도시한다.
[0017] 도 6b는 예 1의 차단 층들에 존재하는 정방정계 지르코니아와 단사정계 지르코니아의 다상 혼합물의 XRD 프로파일을 도시한다.
[0018] 도 6c는 예 1의 차단 층들에 존재하는 정방정계 지르코니아와 단사정계 지르코니아의 다상 혼합물의 투과 전자 현미경 및 에너지 분산 스펙트로스코피(TEM/energy dispersive spectroscopy; EDS) 라인 스캔을 도시한다.
[0019] 도 6d는 예 1의 차단 층들에 존재하는 정방정계 지르코니아와 단사정계 지르코니아의 다상 혼합물의 HAADF(high Angle Annular Dark Field) STEM(Scanning Transmittion Electron Microscopy) 이미지를 도시한다.
[0020] 도 7a는 예 2의 차단 층들에 존재하는, 화학식 Zr0.86Y0.14O1.93 및 PDF 번호 01-082-1243을 갖는 결정질 지르코늄 이트륨 산화물의 XRD 프로파일을 도시한다.
[0021] 도 7b는 예 2의 차단 층들에 존재하는, 화학식 Zr0.86Y0.14O1.9를 갖는 결정질 단상 지르코늄 이트륨 산화물의 TEM/EDS 라인 스캔을 도시한다.
[0022] 도 7c는 예 2의 차단 층들에 존재하는, 화학식 Zr0.86Y0.14O1.9를 갖는 결정질 단상 지르코늄 이트륨 산화물의 HAADF STEM 이미지를 도시한다.
[0023] 도 7d 및 도 7e는 예 2의 차단 층들에 존재하는, 화학식 Zr0.86Y0.14O1.9를 갖는 결정질 지르코늄 이트륨 산화물의 다양한 스케일들(각각 10 nm 스케일 및 0.2 μm 스케일)의 TEM 이미지를 도시한다.
[0024] 도 8a는 예 3의 차단 층들에 존재하는, 화학식 Zr0.4Y0.6O1.7 및 PDF 번호 01-080-4014를 갖는 이트륨 지르코늄 산화물과, PDF 번호 01-084-3893을 갖는 이트륨 산화물의 다상 혼합체의 XRD 프로파일을 도시한다.
[0025] 도 8b는 예 3의 차단 층들에 존재하는, 화학식 Zr0.4Y0.6O1.7 및 PDF 번호 01-080-4014를 갖는 이트륨 지르코늄 산화물과, PDF 번호 01-084-3893을 갖는 이트륨 산화물의 다상 혼합체의 TEM/EDS 라인 스캔을 도시한다.
[0026] 도 8c는 예 3의 차단 층들에 존재하는, 화학식 Zr0.4Y0.6O1.7 및 PDF 번호 01-080-4014를 갖는 이트륨 지르코늄 산화물과, PDF 번호 01-084-3893을 갖는 이트륨 산화물의 다상 혼합체의 HAADF STEM 이미지를 도시한다.
[0027] 도 8d는 예 3의 차단 층들에 존재하는, 화학식 Zr0.4Y0.6O1.7 및 PDF 번호 01-080-4014를 갖는 이트륨 지르코늄 산화물과, PDF 번호 01-084-3893을 갖는 이트륨 산화물의 다상 혼합체의 0.2 μm 스케일 TEM 이미지를 도시한다.
[0028] 도 9a는 예 4에 따른 예시적인 플라즈마 저항성 보호 코팅을 도시한다.
[0029] 도 9b는 예 4의 차단 층들에 존재하는, 화학식 Zr0.4Y0.6O1.7 및 PDF 번호 01-080-4014를 갖는 이트륨 지르코늄 산화물과, PDF 번호 01-084-3893을 갖는 이트륨 산화물의 다상 혼합체의 TEM/EDS 라인 스캔을 도시한다.
[0030] 도 9c는 예 4의 차단 층들에 존재하는, 화학식 Zr0.4Y0.6O1.7 및 PDF 번호 01-080-4014를 갖는 이트륨 지르코늄 산화물과, PDF 번호 01-084-3893을 갖는 이트륨 산화물의 다상 혼합체의 HAADF STEM 이미지를 도시한다.
[0031] 도 9d는 예 4의 차단 층들에 존재하는, 화학식 Zr0.4Y0.6O1.7 및 PDF 번호 01-080-4014를 갖는 이트륨 지르코늄 산화물과, PDF 번호 01-084-3893을 갖는 이트륨 산화물의 다상 혼합체의 50 nm 스케일 TEM 이미지를 도시한다.
[0032] 도 10은 예 5에 따른, 이트륨 산화물 및 가돌리늄 산화물의 예시적인 플라즈마 저항성 보호 코팅을 도시한다.
[0033] 도 11은 본원에서 설명되는 바와 같이 원자 층 증착 또는 화학 기상 증착을 사용하여 플라즈마 저항성 보호 코팅을 생성하기 위한 방법을 예시한다.
[0034] 도 12는 예 6에 따른 예시적인 플라즈마 저항성 보호 코팅을 도시한다.
[0035] 도 13a는 차단 층 없이 ALD에 의해 증착된 1 μm 이트리아 코팅의 톱-다운(top down) SEM 이미지를 도시한다.
[0036] 도 13b는 예 6에 따른, 차단 층들을 갖는 1 μ 이트리아 코팅의 톱-다운 SEM 이미지를 도시한다.
[0037] 도 14a는 차단 층 없이 ALD에 의해 증착된 1 μm 이트리아 코팅의 단면 TEM 이미지를 도시한다.
[0038] 도 14b는 예 6에 따른, 차단 층들을 갖는 1 μm 이트리아 코팅의 단면 TEM 이미지를 도시한다.
[0039] 도 15a는 예 6의 플라즈마 저항성 보호 코팅의 TEM/EDS 라인 스캔을 도시한다.
[0040] 도 15b는 예 6의 플라즈마 저항성 보호 코팅의 TEM 이미지를 도시한다.
[0041] 본원에서 설명되는 실시예들은, 하나 이상의 (다)결정질 단상 또는 다상 희토류 산화물 층들과, 하나 이상의 비정질 또는 (다)결정질 단상 또는 다상 차단 층들을 갖는 플라즈마 저항성 보호 코팅이 물건의 표면 상에 증착되는 방법들 및 물건들(예컨대, 코팅된 챔버 컴포넌트들)을 커버한다. 예시적인 실시예에서, 하나 이상의 결정질 희토류 산화물 층들은 입방정계 상의 결정질 이트륨 산화물을 포함할 수 있다. 본원의 실시예들은 예로서 입방정계 상의 결정질 이트륨 산화물 층을 이용하여 설명된다. 차단 층들 사이의 층 또는 층들이, (다)결정질 단상 또는 다상으로 이루어진, 임의의 희토류 금속 산화물, 또는 희토류 금속 산화물들(즉, 이트륨을 갖거나 또는 이트륨을 갖지 않음)의 혼합물들을 포함할 수 있음이 인식될 것이다. 예컨대, 차단 층들 사이의 희토류 금속 산화물 층(들)은 이트륨 산화물 및/또는 이트륨 지르코늄 산화물을 포함할 수 있다.
[0042] 예시적인 실시예에서, 하나 이상의 비정질 또는 (다)결정질 단상 또는 다상 차단 층들은, 희토류 금속-함유 산화물들, 지르코늄 산화물, 알루미늄 산화물, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되는 결정질 또는 비정질 금속 산화물 층을 포함할 수 있다. 하나 이상의 차단 층들이 (다)결정질 단상 또는 다상인 실시예들에서, 차단 층들은 결정질 이트륨 산화물의 입방정계 상과 상이한, 원자 결정질 상 또는 복수의 원자 결정질 상들을 가질 수 있다. 예컨대, 차단 층들의 (다)결정질 단상 또는 다상 상은, 육방정계 상, 단사정계 상, 입방정계 상(희토류 산화물 층이 입방정계 상의 이트륨 산화물인 경우, 차단 층은 결정질 이트륨 산화물의 입방정계 상의 격자 구조와 상이한 격자 구조를 가질 수 있음), 육방정계 상, 정방정계 상, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수 있다.
[0043] 본원에서 사용되는 바와 같이, "플라즈마 저항성"이라는 용어는, 하나 이상의 타입들의 플라즈마에 대한 저항성 뿐만 아니라, 하나 이상의 타입들의 플라즈마와 연관된 라디칼들 및 케미스트리(chemistry)에 대한 저항성을 의미한다.
[0044] 본원에서 사용되는 바와 같이, "다결정질" 및 "결정질"이라는 용어는 본원에서 상호 교환가능하게 사용되고, 그리고 서로에 대하여 무작위로 배향되거나 또는 바람직한(preferred) 배향 또는 텍스처를 갖고, 변화되는 사이즈들을 가질 수 있는 다수의 결정질 그레인들(결정자(crystallite)들이라고 또한 지칭됨)을 포함하는 재료를 의미할 수 있다. 결정자들이 만나는 영역들은 그레인 경계들이라고 지칭된다. 다결정질 층은 단일 결정 상 또는 복수의 결정 상들(본원에서 "다상"이라는 용어로 또한 지칭됨)을 포함할 수 있다. 본원에서 사용되는 바와 같이, 다상 층에 대한 참조는 다수의 결정 상들을 갖는, 결정질 또는 다결정질 층을 지칭한다는 것이 이해된다.
[0045] 물건의 표면은 금속 재료(예컨대, 이를테면 알루미늄(예컨대, Al 6061, Al 6063) 및 스테인리스 강) 또는 세라믹 재료(예컨대, 이를테면 알루미나 (Al2O3))일 수 있다.
[0046] 증착 프로세스는 원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스일 수 있다. ALD 및 CVD 프로세스들은, 하나 이상의 결정질 희토류 산화물 층들, 및 하나 이상의 비정질 또는 결정질 금속 산화물 차단 층들의 증착을 위해 사용될 수 있다. 하나 초과의 금속을 포함하는 층들은 전구체들의 순차적 증착을 통해, 또는 전구체들의 공동-증착을 통해 증착될 수 있다.
[0047] 플라즈마 저항성 보호 코팅은 이중층 스택, 또는 복수의 교번 층 스택으로 구성될 수 있다. 이중층 스택 또는 복수의 교번 층 스택은, 예컨대 입방정계 상의 결정질 단상 이트륨 산화물(Y2O3)의 하나 이상의 층들, 및 예컨대 정방정계 및 단사정계 상의 다상 지르코늄 산화물 층의 하나 이상의 층들을 포함할 수 있다. 이중층 스택 또는 복수의 교번 층 스택은, 예컨대 입방정계 상의 결정질 단상 이트륨 산화물(Y2O3)의 하나 이상의 층들, 및 예컨대 정방정계 상의 결정질 단상 지르코늄 이트륨 산화물 층의 하나 이상의 층들을 포함할 수 있다. 이중층 스택 또는 복수의 교번 층 스택은, 예컨대 제1 격자 구조의 입방정계 상의 결정질 단상 이트륨 산화물(Y2O3)의 하나 이상의 층들, 및 예컨대 제2 격자 구조를 갖는 입방정계 상의 지르코늄 이트륨 산화물 층과, 예컨대 제3 격자 구조를 갖는 입방정계 상의 이트륨 산화물의 다상 혼합물의 하나 이상의 층들을 포함할 수 있다. 제2 및 제3 격자 구조들은 제1 격자 구조와 상이하다.
[0048] 다층 플라즈마 저항성 보호 코팅 내의 각각의 차단 층의 두께는 약 1 옹스트롬 내지 약 500 옹스트롬의 범위일 수 있다. 다층 플라즈마 저항성 보호 코팅 내의 각각의 희토류 산화물 층의 두께는 약 500 옹스트롬 내지 약 10000 옹스트롬의 범위일 수 있다. 일부 실시예들에서, 다층 플라즈마 저항성 보호 코팅 내의 각각의 희토류 산화물 층의 두께는 약 500 옹스트롬 내지 약 5000 옹스트롬의 범위일 수 있다. 실시예들에서, 다층 플라즈마 저항성 보호 코팅은, 약 1 μm 내지 약 10 μm, 또는 약 1 μm 내지 약 5 μm의 두께를 가질 수 있다. 플라즈마 저항성 보호 코팅은, 예컨대 약 10:1 내지 약 300:1의 고 종횡비들을 갖는, 물건 내의 피처들의 표면들을 코팅할 수 있거나 또는 덮을 수 있다. 플라즈마 저항성 보호 코팅은 또한, 실질적으로 균일한 두께로 그러한 피처들을 등각적으로(conformally) 덮을 수 있다. 일 실시예에서, 플라즈마 저항성 보호 코팅은, 약 +/- 20% 미만의 코팅의 하나의 부분으로부터 다른 부분까지의 두께 편차, +/- 10%의 두께 편차, +/- 5%의 두께 편차, 또는 더 낮은 두께 편차를 갖는 균일한 두께로 코팅된 아래에 있는 표면(코팅된 표면 피처들을 포함함)의 등각적 커버리지를 갖는다. 플라즈마 저항성 보호 코팅은 또한, 약 0%의 다공도로 밀도가 매우 높다(예컨대, 실시예들에서, 플라즈마 저항성 보호 코팅은 비-다공성(porosity-free)일 수 있음).
[0049] ALD는 물건의 표면과의 화학 반응들을 통해 재료의 제어되는 자기-제한적 증착을 가능하게 한다. 등각적 프로세스인 것 이외에도, ALD는 또한, 균일한 프로세스이다. 고 종횡비 피처들(예컨대 약 10:1 내지 약 300:1)을 포함하는 물건의 모든 노출된 면들에 동일한 또는 대략 동일한 양의 재료가 증착될 것이다. ALD 프로세스의 전형적인 반응 사이클은, 전구체(즉, 단일 화학물질 A)가 ALD 챔버 내로 플러딩(flood)되어 물건의 표면 상에 흡착되는 것으로 시작된다. 이어서, 반응물(즉, 단일 화학물질 R)이 ALD 챔버 내에 도입되고 이어서 밖으로 플러싱(flush)되기 전에, 과도한 전구체가 ALD 챔버 밖으로 플러싱된다. 그러나, 금속 산화물 차단 층은 재료들의 공동-증착에 의해 형성될 수 있다. 이를 달성하기 위해, 2개의 전구체들, 이를테면 제1 금속-함유 산화물 전구체(A)와 제2 금속-함유 산화물 전구체(B)의 혼합물이 임의의 수의 비율들, 예컨대, A90+B10, A70+B30, A50+B50, A30+B70, A10+A90 등으로 챔버 내에 공동-주입(AxBy)될 수 있고, 물건의 표면 상에 흡착될 수 있다. 이들 예들에서, x 및 y는 Ax+By에 대한 분자비들(mol%)로 표현된다. 예컨대, A90+B10은 90 mol%의 A 및 10 mol%의 B이다. 대안적으로, 2개의 전구체들은 (2개의 전구체들 사이에 반응물을 주입하지 않으면서) 순차적으로 주입될 수 있다. 과도한 전구체들은 밖으로 플러싱된다. 반응물이 ALD 챔버 내에 도입되고, 흡착된 전구체들과 반응하여, 과도한 화학물질들이 밖으로 플러싱되기 전에, 고체 층을 형성한다. ALD의 경우, 재료의 최종 두께는 실행되는 반응 사이클들의 수에 따라 좌우되는데, 이는 각각의 반응 사이클이, 하나의 원자 층, 또는 원자 층의 프랙션(fraction)일 수 있는 특정 두께의 층을 성장시킬 것이기 때문이다.
[0050] CVD는 높은 증착 레이트들로, 양호한 재현성 및 접착력을 갖는, 밀도가 매우 높고 순수하며 균일한 코팅의 증착을 가능하게 한다. CVD의 전형적인 반응 사이클은, 시작 재료로부터 전구체들을 생성하는 것, 반응 챔버 내로 전구체들을 운송하는 것, 가열된 물건 상에 전구체들을 흡수시키는 것, 증착물 및 가스성 부산물을 형성하기 위해, 코팅될 물건의 표면과 전구체를 화학적으로 반응시키는 것, 및 반응 챔버로부터 가스성 부산물 및 미반응 가스성 전구체들을 제거하는 것을 포함할 수 있다. 그러나, 금속 산화물 차단 층은 재료들의 공동-증착에 의해 형성될 수 있다. 이를 달성하기 위해, 2개의 전구체들, 이를테면 제1 금속-함유 산화물 전구체(A)와 제2 금속-함유 산화물 전구체(B)의 혼합물이, ALD 기법과 유사하게, 임의의 수의 비율들로 챔버 내에 공동-주입(AxBy)될 수 있고, 물건의 표면 상에 증착될 수 있다.
[0051] 고 종횡비 피처들을 갖는 컴포넌트들 상에 코팅들을 증착하기 위해 전형적으로 사용되는 다른 기법들, 이를테면 플라즈마 스프레이 코팅 및 이온 보조 증착과 달리, ALD 및 CVD 기법들은 그러한 피처들 내에(즉, 피처들의 표면들 상에) 재료의 층을 증착할 수 있다. 부가적으로, ALD 및 CVD 기법들은, 증착 동안 균열 형성을 제거할 수 있는, 비-다공성(즉, 핀-홀이 없음)인 비교적 얇은(예컨대, 10 μm 이하) 코팅들을 생성한다. 본원에서 사용되는 바와 같은 "비-다공성"이라는 용어는, 투과 전자 현미경(TEM)에 의해 측정될 때, 코팅의 전체 깊이를 따라 어떠한 세공들, 핀-홀들, 공극들, 또는 균열들도 없는 것을 의미한다. TEM은 포커스 이온 빔 밀링(focused ion beam milling)에 의해 준비된 100 nm 두께의 TEM 라멜라(lamella)를 사용하여 수행될 수 있으며, TEM은 명시야, 암시야, 및 고-해상도 모드로 200 kV로 동작된다. 대조적으로, 종래의 e-빔 IAD 또는 플라즈마 스프레이 기법들의 경우, 5 또는 10 μm의 두께들에서도 증착 시 균열들이 형성되며, 다공도는 1 내지 3%일 수 있거나 또는 한층 더 높을 수 있다.
[0052] 플라즈마 저항성 보호 코팅들은 다양한 물건들 상에 증착될 수 있다. 일부 실시예들에서, 프로세스 챔버 컴포넌트들, 이를테면, 정전 척, 노즐, 가스 분배 플레이트, 샤워헤드, 정전 척 컴포넌트, 챔버 벽, 라이너, 라이너 키트, 가스 라인, 덮개, 챔버 덮개, 노즐, 단일 링, 프로세싱 키트 링, 베이스, 차폐부, 플라즈마 스크린, 유동 이퀄라이저(flow equalizer), 냉각 베이스, 챔버 뷰포트, 벨로즈(bellow), 페이스플레이트, 선택비 조절 디바이스, 플라즈마 생성 유닛들(예컨대, 하우징들을 갖는 무선 주파수 전극들), 및 확산기들은, 부식성 플라즈마들을 갖는 가혹한 환경들에서 컴포넌트들을 보호하기 위해, 이들 플라즈마 저항성 보호 코팅들을 갖는 것으로부터 이익을 얻을 것이다. 이들 챔버 컴포넌트들 중 다수는 약 10:1 내지 약 300:1의 범위의 고 종횡비들 및 다른 복잡한 형상들을 가지며, 이는 종래의 증착 방법들을 사용하여 이들을 양호하게 코팅하는 것을 어렵게 만든다. 본원에서 설명되는 실시예들은 고 종횡비 물건들, 이를테면 전술된 프로세스 챔버 컴포넌트들이, 물건들을 보호하는 플라즈마 저항성 보호 코팅들로 코팅될 수 있게 한다.
[0053] 프로세싱 챔버에서 기판들을 프로세싱하기 위해 사용될 수 있는 프로세싱 가스들의 예들은, 할로겐-함유 가스들, 이를테면, 특히 C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4, 및 다른 가스들, 이를테면 O2 또는 N2O를 포함한다. 캐리어 가스들의 예들은, N2, He, Ar, 및 프로세스 가스들에 대해 비활성적인 다른 가스들(예컨대, 비-반응성 가스들)을 포함한다.
[0054] 도 1a는 물건 상에 플라즈마 저항성 보호 코팅을 성장시키거나 또는 증착하기 위한 ALD 기법에 따른 증착 프로세스의 일 실시예(100)를 도시한다. 도 1b는 본원에서 설명되는 바와 같은 ALD 기법에 따른 증착 프로세스의 다른 실시예(102)를 도시한다. 도 1c는 본원에서 설명되는 바와 같은 ALD 증착 기법에 따른 증착 프로세스의 또 다른 실시예(104)를 도시한다. 다양한 타입들의 ALD 프로세스들이 존재하며, 여러 인자들, 이를테면, 코팅될 표면, 코팅 재료, 표면과 코팅 재료 사이의 화학 상호작용 등에 기초하여 특정 타입이 선택될 수 있다. 다양한 ALD 프로세스들에 대한 일반적인 원리는, 자기-제한적 방식으로, 한 번에 하나씩, 표면과 화학적으로 반응하는 가스성 화학 전구체들의 펄스들에, 코팅될 표면을 반복적으로 노출시킴으로써, 박막 층을 성장시키는 것을 포함한다.
[0055] 도 1a 내지 도 1c는 표면을 갖는 물건(110)을 예시한다. 물건(110)은, 정전 척, 노즐, 가스 분배 플레이트, 샤워헤드, 정전 척 컴포넌트, 챔버 벽, 라이너, 라이너 키트, 가스 라인, 덮개, 챔버 덮개, 노즐, 단일 링, 프로세싱 키트 링, 베이스, 차폐부, 플라즈마 스크린, 유동 이퀄라이저, 냉각 베이스, 챔버 뷰포트, 벨로즈, 페이스플레이트, 선택비 조절 디바이스 등을 포함하는(그러나 이에 제한되지는 않음) 다양한 프로세스 챔버 컴포넌트들(예컨대, 반도체 프로세스 챔버 컴포넌트들)을 표현할 수 있다. 물건(110)(및 도 2의 물건(230))은 금속(이를테면, 알루미늄, 스테인리스 강), 세라믹(이를테면, Y2O3, Al2O3, Y3Al5O12(YAG) 등), 금속-세라믹 복합물, 폴리머, 폴리머 세라믹 복합물, 마일러, 폴리에스테르, 또는 다른 적합한 재료들로 제조될 수 있고, 재료들, 이를테면 AlN, Si, SiC, Al2O3, SiO2 등을 더 포함할 수 있다.
[0056] ALD에 대해, 표면 상으로의 전구체의 흡착, 또는 흡착된 전구체와 반응물의 반응은 "반-반응(half-reaction)"이라고 지칭될 수 있다. 제1 반-반응 동안, 전구체가 표면 상에 완전히 흡착될 수 있게 하기에 충분한 시간 기간 동안 전구체가 물건(110)의 표면 상으로(또는 물건(110) 상에 형성된 층 상으로) 펄싱된다. 흡착은 자기-제한적인데, 이는 전구체가 표면 상의 유한한 개수의 이용가능한 부위들 상에 흡착되어, 표면 상에 균일한 연속 흡착 층을 형성할 것이기 때문이다. 전구체를 이미 흡착한 임의의 부위들은, 균일한 연속 코팅 상에 새로운 이용가능한 부위들을 형성하게 될 처리를 흡착된 부위들에 대해 행하지 않는 한 그리고/또는 행할 때까지, 동일한 전구체를 이용한 추가적인 흡착에 대해 이용가능하지 않게 될 것이다. 예시적인 처리들은, 플라즈마 처리, 균일한 연속 흡착 층을 라디칼들에 노출시키는 것에 의한 처리, 또는 표면에 흡착된 가장 최근의 균일한 연속 층과 반응할 수 있는 상이한 전구체의 도입일 수 있다.
[0057] 일부 실시예들에서, 2개 이상의 전구체들이 함께 주입되고, 물건의 표면 상에 흡착된다. 단일 금속 산화물 층 또는 (예컨대, YAG, Y2O3-ZrO2의 상 등의) 다중-금속 산화물 층을 형성하기 위해 흡착물(adsorbent)들과 반응하도록 산소-함유 반응물이 주입될 때까지, 과도한 전구체들이 밖으로 펌핑된다. 이러한 프레시(fresh) 층은 다음 사이클에서 전구체들을 흡착할 준비가 되어 있다.
[0058] 도 1a에서, 물건(110)은, 흡착 층(114)을 형성하기 위해 물건(110)의 표면에 제1 전구체(160)가 완전히 흡착될 때까지 제1 지속기간 동안, 제1 전구체(160)에 도입될 수 있다. 이어서, 물건(110)은, 희토류 산화물 층(116)을 성장시키기 위해(예컨대, 그에 따라, 희토류 산화물 층(116)이 완전히 성장 또는 증착됨(여기서, 성장 및 증착이라는 용어들은 본원에서 상호 교환가능하게 사용될 수 있음)) 흡착 층(114)과 반응하기 위한 제1 반응물(165)에 도입될 수 있다. 예컨대, 제1 전구체(160)는 이트륨 또는 다른 금속에 대한 전구체일 수 있다. 제1 반응물(165)은, 희토류 층(116)이 산화물인 경우, 산소, 수증기, 오존, 순수 산소, 산소 라디칼들, 또는 다른 산소 소스일 수 있다. 따라서, ALD는 희토류 산화물 층(116)을 형성하기 위해 사용될 수 있다.
[0059] 희토류 산화물 층(116)이 이트리아(Y2O3) 희토류 산화물 층인 예에서, 물건(110)(예컨대, 알루미나 버퍼 층을 갖거나 또는 갖지 않는 Al6061 기판)은, 표면 상의 모든 반응성 부위들이 소모될 때까지 제1 지속기간 동안, 제1 전구체(160)(예컨대, 트리스(메틸시클로펜타디에닐) 이트륨)에 도입될 수 있다. 잔여 제1 전구체(160)는 플러싱되어 제거되고, 이어서, 제2 반 사이클을 시작하기 위해 H2O의 제1 반응물(165)이 반응기 내에 주입된다. 제1 반-반응에 의해 생성된 Y 함유 흡착 층과 H2O 분자들이 반응한 후에, Y2O3의 희토류 산화물 층(116)이 형성된다.
[0060] 희토류 산화물 층(116)은 균일할 수 있고, 연속적일 수 있으며, 등각적일 수 있다. 실시예들에서, 희토류 산화물 층(116)은 비-다공성일 수 있거나(예컨대, 0의 다공도를 가질 수 있음), 또는 대략 0의 다공도(예컨대, 0% 내지 0.01%의 다공도)를 가질 수 있다. 일부 실시예들에서, 단일 ALD 증착 사이클 후에, 층(116)은 하나의 원자 층 미만 내지 수 원자들의 두께를 가질 수 있다. 일부 유기금속 전구체 분자들은 크다.
[0061] 더 두꺼운 희토류 산화물 층(116)을 증착하기 위해, 다수의 완전 ALD 증착 사이클들이 구현될 수 있으며, 각각의 완전 사이클(예컨대, 전구체(160)의 도입, 플러싱, 반응물(165)의 도입, 및 다시 플러싱을 포함함)은 일 원자 내지 수 원자들의 부가적인 프랙션을 두께에 부가한다. 도시된 바와 같이, 희토류 산화물 층(116)을 성장시키기 위해, 최대 n 완전 사이클들이 수행될 수 있으며, 여기서, n은 1 초과의 정수 값이다. 실시예들에서, 희토류 산화물 층(116)은, 약 500 옹스트롬 내지 약 10000 옹스트롬, 약 500 옹스트롬 내지 약 5000 옹스트롬, 약 1000 옹스트롬 내지 약 5000 옹스트롬, 또는 약 1500 옹스트롬 내지 약 2500 옹스트롬의 두께를 가질 수 있다.
[0062] 증착을 위해 ALD가 사용되기 때문에, 고 종횡비 피처들, 이를테면 가스 전달 라인 또는 샤워헤드 내의 가스 전달 홀들의 내부 표면들이 코팅될 수 있고, 그에 따라, 부식성 환경에 대한 노출로부터 컴포넌트 전체가 보호될 수 있다.
[0063] 실시예들에서, 층(116)은 Y2O3, 이를테면 단일 입방정계 상을 갖는 결정질 Y2O3일 수 있다. 일 실시예에서, 이트륨 산화물 입방정계 상은 분말 분산 파일 번호 04-005-4378에 대응하는 X-선 회절 프로파일을 나타낼 수 있다.
[0064] 일부 실시예들에서, 층(116)이 하나 초과의 희토류 금속을 포함할 수 있음이 이해되어야 한다. ALD를 통해 다-원소 희토류 산화물 층을 증착하는 것은, 도 1b에서 금속 산화물 층에 관하여 설명되는 바와 같은 순차적 증착, 또는 도 1c에서 더 상세히 설명되는 바와 같은 공동-증착을 통해 이루어질 수 있다.
[0065] 이어서, 층(116)을 갖는 물건(110)은, 흡착 층(118)을 형성하기 위해 희토류 산화물 층(116)의 표면에 부가 전구체(들)(170)가 완전히 흡착될 때까지 제2 지속기간 동안, 부가 전구체(들)(170)에 도입될 수 있다. 이어서, 물건(110)은, 비정질 또는 결정질 단상 또는 다상 금속 산화물 층(120)(간략화를 위해 차단 층(120)이라고 또한 지칭됨)을 성장시키기 위해(예컨대, 그에 따라, 차단 층(120)이 완전히 성장 또는 증착됨) 흡착 층(118)과 반응하기 위한 반응물(175)에 도입될 수 있다. 따라서, ALD를 사용하여, 차단 층(120)이 희토류 산화물 층(116) 위에 완전히 성장 또는 증착된다. 예에서, 전구체(170)는 제1 반 사이클에서 사용되는 지르코늄 함유 전구체(예컨대, 트리스(디메틸아미노)시클로펜타디에닐 지르코늄)일 수 있으며, 반응물(175)은 제2 반 사이클에서 사용되는 오존일 수 있다.
[0066] 차단 층(120)은, 균일할 수 있고, 연속적일 수 있으며, 등각적일 수 있는 비정질 또는 결정질 단상 또는 다상 금속 산화물 층을 형성한다. 제2 층(120)은, 실시예들에서는 1% 미만, 그리고 추가적인 실시예들에서는 0.1% 미만, 그리고 실시예들에서는 약 0%의 매우 낮은 다공도를 가질 수 있거나, 또는 더 추가적인 실시예들에서는 비-다공성을 가질 수 있다. 단일 완전 AlD 증착 사이클 후에, 제2 층(120)은 일 원자 미만 내지 수 원자들(예컨대, 2개 내지 3개의 원자들)의 두께를 가질 수 있다. 더 두꺼운 차단 층(120)을 증착하기 위해, 다수의 ALD 증착 스테이지들이 구현될 수 있으며, 각각의 스테이지는 일 원자 내지 수 원자들의 부가적인 프랙션을 두께에 부가한다. 도시된 바와 같이, 차단 층(120)이 목표 두께를 갖게 하기 위해, 완전 증착 사이클이 m회 반복될 수 있으며, 여기서, m은 1 초과의 정수 값이다. 실시예들에서, 차단 층(120)은, 약 1 옹스트롬 내지 약 500 옹스트롬, 약 2 옹스트롬 내지 약 200 옹스트롬, 또는 약 3 옹스트롬 내지 약 50 옹스트롬의 두께를 가질 수 있다.
[0067] 희토류 산화물 층 두께 대 차단 층 두께의 비율은 약 5000:1 내지 약 1:1, 또는 약 2500:1일 수 있다. 일부 실시예들에서, 희토류 산화물 두께 대 차단 층 두께의 비율은 약 500:1 내지 약 1:1일 수 있다. 또 다른 실시예들에서, 희토류 산화물 두께 대 차단 층 두께의 비율은 약 2500:8, 약 2500:12, 또는 약 2500:16일 수 있다. 희토류 산화물 층 대 차단 층의 비율은, 보호 코팅이, 개선된 부식 및 침식 저항을 제공할 뿐만 아니라, 챔버 프로세싱에 의해 야기되는 균열 및/또는 박리에 대한 개선된 저항을 제공하도록 이루어질 수 있다. 두께 비율은 특정 챔버 애플리케이션들에 따라 선택될 수 있다.
[0068] 도 4a 및 도 4b에 도시된 바와 같이, 차단 층 없이 증착된 이트륨 산화물 층은, 제어 불가능하고 비정상적으로 큰 그레인 성장을 초래한다. 예컨대, 도 4a 및 도 4b에 도시된 비정상적으로 큰 이트륨 산화물 그레인들은 약 100 nm의 높이 및 약 200 nm의 폭을 가질 수 있다. 이들 비정상적으로 큰 그레인들은 더 높은 표면 거칠기를 초래하고, 코팅에 결함이 더 쉽게 발생하게 한다. 이러한 현상은 600 nm 두께의 이트륨 산화물 코팅에서 분명하고, 그리고 더 두꺼운 두께의 이트륨 산화물 코팅에서 한층 더 두드러지게 될 것이다(예컨대, 차단 층들을 갖지 않는 1 μm 두께의 이트리아 코팅 내의 그레인들에 대해 도 14a를 참조). 게다가, 차단 층들의 부재는, 큰 그레인들 사이의 공간들 및 균열들을 통해 확산하여 코팅과 물건 사이의 계면에 도달하기 위한 직접적인 경로를 화학물질들에 제공하여, 잠재적으로 코팅된 물건을 훼손시킨다.
[0069] 도 4c는 이트륨 산화물의 층들 사이의 차단 층들(즉, 250 nm의 두께를 갖는 이트륨 산화물의 모든 각각의 층 후에 탄소-풍부 이트륨 산화물 차단 층이 증착되었음)을 예시한다. 실제로, 이트륨 산화물 그레인 성장이 더 잘 제어되고, 표면 경계들 및 표면 거칠기도 더 잘 제어된다. 도 4c의 그레인들 중 어느 것도 200 nm 폭의 100 nm 길이를 초과하지 않는다. 게다가, 부식성 챔버 환경으로부터 코팅을 관통하여(all the way through) 코팅과 물건 사이의 계면에 이르는 직접적인 경로가 존재하지 않는다. 그러나, 차단 층 내의 높은 탄소 함유량은 층을 비교적 약하게 만든다. 결과로서, 도 4c에 도시된 바와 같이, 프로세싱 동안, 보호 코팅에 압축 응력이 가해질 시, 상단 이트륨 산화물 층이 뒤틀리게 되고(buckle up), 박리되기 시작한다. 그러한 박리는 입자들을 생성하고, 그리고 코팅된 물건의 수명, 코팅의 수명, 및 웨이퍼 프로세싱에 영향을 미친다. 플루오르화 후에, 보호 코팅의 결정질 격자가 팽창하기 시작할 때, 압축 응력이 가해진다.
[0070] 차단 층이 탄소 차단 층들보다 더 강했다면, 이트륨 산화물 층들과 차단 층들이 연결된 상태로 유지되었을 것이고, 뒤틀리지 않았을 것이다. 더 강한 차단 층은, 이트륨 산화물 층과 유사한 조성을 갖지만, 제어 불가능한 그레인 성장을 억제할 비유사한 원자 결정질 상을 갖는 차단 층인 것으로 여겨진다. 따라서, 차단 층에 대해 선택되는 금속 산화물 층의 타입, 선택되는 희토류 산화물 층의 타입, 및 이들의 대응하는 두께들에 대한 결정은 희토류 산화물의 그레인 성장을 제어해야 할 필요성을 고려해야 할 뿐만 아니라, 또한, 박리 및 입자 생성을 방지하도록 희토류 산화물 층과 차단 층 사이의 충분히 강한 본딩을 보장하는 것을 고려해야 한다.
[0071] 차단 층(120)은, 전술된 희토류 금속-함유 산화물 층들 뿐만 아니라 지르코늄 산화물, 알루미늄 산화물, 및 이들의 혼합물들 중 임의의 것일 수 있다. 예컨대, 차단 층(120)은 단독으로 ZrO2일 수 있거나, 또는 하나 이상의 다른 희토류 금속 산화물들과 조합된 ZrO2일 수 있다. 일부 실시예들에서, 차단 층(120)은, ALD에 의해 순차적으로 증착되거나 또는 공동-증착된 적어도 2개의 금속 산화물 전구체들의 혼합물, 또는 단일 금속 산화물로 형성된 하나 이상의 원자 결정질 상들을 갖는 결정질 단상 또는 다상 재료이다. 예컨대, 차단 층(120)은, La2O3, Pr2O3, Nd2O3, Sm2O3, Eu2O3, Gd2O3, Tb2O3, Dy2O3, Ho2O3, Er2O3, Tm2O3, Yb2O3, ZrO2, 및 이들의 조합들 중 하나일 수 있다(도 3에 도시된 바와 같음). 특정 실시예들에서, 차단 층은 비정질일 수 있다. 차단 층이 결정질인 실시예들에서, 차단 층의 하나 이상의 결정질 원자 상들은 희토류 산화물 층의 하나 이상의 결정질 원자 상과 상이할 수 있다. 차단 층의 결정질 원자 상들 중 적어도 하나가 희토류 산화물 층의 적어도 하나의 결정질 원자 상과 동일한 실시예들에서, 유사한 결정질 원자 상들의 격자 구조는 상이할 수 있다. 예컨대, 원자 결정질 상(들)은, 육방정계, 정방정계, 입방정계, 단사정계, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수 있다.
[0072] 일부 실시예들에서, 제1 층(116) 및 제2 층(120)은, 독립적으로, 재료, 이를테면, Y2O3 및 Y2O3 계 세라믹들, Y3Al5O12(YAG), Al2O3(알루미나), Y4Al2O9(YAM), ErAlO3, GdAlO3, NdAlO3, YAlO3, TiO2(티타니아), ZrO2(지르코니아), YSZ(Y2O3 stabilized ZrO2), Er2O3 및 Er2O3 계 세라믹들, Gd2O3 및 Gd2O3 계 세라믹들, Er3Al5O12(EAG), Gd3Al5O12(GAG), Nd2O3 및 Nd2O3 계 세라믹들, Y2O3와 YF3(예컨대, Y-O-F)를 포함하는 세라믹 화합물, Y2O3-ZrO2의 고용체와 Y4Al2O9을 포함하는 세라믹 화합물, Y2O3, Er2O3, ZrO2, Gd2O3 및 SiO2를 포함하는 세라믹 화합물, 또는 위의 것들 중 임의의 것들의 조합을 포함할 수 있다.
[0073] 제1 층(116) 및 제2 층(120)의 재료는 또한, 전술된 세라믹들 중 임의의 것에 의해 형성된 고용체에 기초할 수 있다. 재료는 또한, 하나 이상의 부가적인 상, 및 전술된 재료들 중 하나 이상의 재료의 고용체를 포함하는 다상 재료일 수 있다.
[0074] Y2O3-ZrO2의 고용체를 참조하면, 재료는 10 내지 90 분자비(mol%)의 농도의 Y2O3 및 10 내지 90 mol%의 농도의 ZrO2를 포함할 수 있다. 일부 예들에서, Y2O3-ZrO2의 고용체는 10 내지 20 mol% Y2O3 및 80 내지 90 mol% ZrO2를 포함할 수 있고, 20 내지 30 mol% Y2O3 및 70 내지 80 mol% ZrO2를 포함할 수 있고, 30 내지 40 mol% Y2O3 및 60 내지 70 mol% ZrO2를 포함할 수 있고, 40 내지 50 mol% Y2O3 및 50 내지 60 mol% ZrO2를 포함할 수 있고, 60 내지 70 mol% Y2O3 및 30 내지 40 mol% ZrO2를 포함할 수 있고, 70 내지 80 mol% Y2O3 및 20 내지 30 mol% ZrO2를 포함할 수 있고, 80 내지 90 mol% Y2O3 및 10 내지 20 mol% ZrO2 등을 포함할 수 있다.
[0075] Y2O3-ZrO2의 고용체와 Y4Al2O9을 포함하는 세라믹 화합물을 참조하면, 일 실시예에서, 세라믹 화합물은 62.93 분자비(mol%) Y2O3, 23.23 mol% ZrO2, 및 13.94 mol% Al2O3를 포함한다. 다른 실시예에서, 세라믹 화합물은 50 내지 75 mol%의 범위의 Y2O3, 10 내지 30 mol%의 범위의 ZrO2, 및 10 내지 30 mol%의 범위의 Al2O3를 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 40 내지 100 mol%의 범위의 Y2O3, 0.1 내지 60 mol%의 범위의 ZrO2, 및 0.1 내지 10 mol%의 범위의 Al2O3를 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 40 내지 60 mol%의 범위의 Y2O3, 35 내지 50 mol%의 범위의 ZrO2, 및 10 내지 20 mol%의 범위의 Al2O3를 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 40 내지 50 mol%의 범위의 Y2O3, 20 내지 40 mol%의 범위의 ZrO2, 및 20 내지 40 mol%의 범위의 Al2O3를 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 80 내지 90 mol%의 범위의 Y2O3, 0.1 내지 20 mol%의 범위의 ZrO2, 및 10 내지 20 mol%의 범위의 Al2O3를 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 60 내지 80 mol%의 범위의 Y2O3, 0.1 내지 10 mol%의 범위의 ZrO2, 및 20 내지 40 mol%의 범위의 Al2O3를 포함할 수 있다. 다른 실시예에서, 세라믹 화합물은 40 내지 60 mol%의 범위의 Y2O3, 0.1 내지 20 mol%의 범위의 ZrO2, 및 30 내지 40 mol%의 범위의 Al2O3를 포함할 수 있다. 다른 실시예들에서, 세라믹 화합물에 대해 다른 분배들이 또한 사용될 수 있다.
[0076] 일 실시예에서, 재료는, Y2O3, ZrO2, Er2O3, Gd2O3 및 SiO2의 조합을 포함하는 세라믹 화합물을 포함하거나 또는 그러한 세라믹 화합물로 구성된다. 일 실시예에서, 세라믹 화합물은 40 내지 45 mol%의 범위의 Y2O3, 0 내지 10 mol%의 범위의 ZrO2, 35 내지 40 mol%의 범위의 Er2O3, 5 내지 10 mol%의 범위의 Gd2O3, 및 5 내지 15 mol%의 범위의 SiO2를 포함할 수 있다. 제1 예에서, 대안적인 세라믹 화합물은 40 mol% Y2O3, 5 mol% ZrO2, 35 mol% Er2O3, 5 mol% Gd2O3, 및 15 mol% SiO2를 포함한다. 제2 예에서, 대안적인 세라믹 화합물은 45 mol% Y2O3, 5 mol% ZrO2, 35 mol% Er2O3, 10 mol% Gd2O3, 및 5 mol% SiO2를 포함한다. 제3 예에서, 대안적인 세라믹 화합물은 40 mol% Y2O3, 5 mol% ZrO2, 40 mol% Er2O3, 7 mol% Gd2O3, 및 8 mol% SiO2를 포함한다.
[0077] 전술된 재료들 중 임의의 것은 미량의 다른 재료들, 이를테면, ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 산화물들을 포함할 수 있다. 재료들은, 세라믹 재료들의 플라즈마 저항 및 감소된 웨이퍼-상 또는 기판 오염으로 인해, 더 긴 작동 수명(working lifetime)들을 가능하게 한다.
[0078] 도 1b를 참조하면, 일부 실시예들에서, 플라즈마 저항성 보호 코팅은 2개 초과의 층들을 포함한다. 구체적으로, 플라즈마 저항성 보호 코팅은, 희토류 산화물 층과 차단 층의 교번 층들의 스택을 포함할 수 있다.
[0079] 도 1b를 참조하면, 희토류 산화물 층(116)을 갖는 물건(110)이 증착 챔버 내에 삽입될 수 있다. 희토류 산화물 층(116)은 도 1a를 참조하여 설명된 바와 같이 형성되었을 수 있다. 도 1b는 다-원소 차단 층을 형성하기 위해 순차적 증착을 이용하는 ALD 프로세스를 예시한다. 희토류 산화물 층(116)을 갖는 물건(110)은, 흡착 층(122)을 형성하기 위해 희토류 산화물 층(116)의 표면에 하나 이상의 부가 전구체들(180)이 완전히 흡착될 때까지 지속기간 동안, 하나 이상의 전구체들(180)에 도입될 수 있다. 이어서, 물건(110)은, 고체 금속 산화물 층(124)을 성장시키기 위해 흡착 층(122)과 반응하기 위한 반응물(182)에 도입될 수 있다. 따라서, ALD를 사용하여, 금속 산화물 층(124)이 희토류 산화물 층(116) 위에 완전히 성장 또는 증착된다. 예에서, 전구체(180)는 제1 반 사이클에서 사용되는 지르코늄 함유 전구체일 수 있으며, 반응물(182)은 제2 반 사이클에서 사용되는 H2O일 수 있다. 금속 산화물 층은, La2O3, Pr2O3, Nd2O3, Sm2O3, Eu2O3, Gd2O3, Tb2O3, Dy2O3, Ho2O3, Er2O3, Tm2O3, Yb2O3, ZrO2, Al2O3, 또는 다른 산화물 및 이들의 조합들 중 하나일 수 있다.
[0073] 희토류 산화물 층(116) 및 금속 산화물 층(124)을 갖는 물건(110)은, 흡착 층(126)을 형성하기 위해 금속 산화물 층(124)의 표면에 하나 이상의 전구체들(184)이 완전히 흡착될 때까지 지속기간 동안, 하나 이상의 전구체들(184)에 도입될 수 있다. 전구체(184)는 전구체(180)와 상이할 수 있다. 이어서, 물건(110)은, 부가 고체 금속 산화물 층(128)을 성장시키기 위해 흡착 층(126)과 반응하기 위한 반응물(186)에 도입될 수 있다. 따라서, ALD를 사용하여, 부가 금속 산화물 층(128)이 금속 산화물 층(124) 위에 완전히 성장 또는 증착된다. 예에서, 전구체(184)는 제1 반 사이클에서 사용되는 이트륨 함유 전구체일 수 있으며, 반응물(186)은 제2 반 사이클에서 사용되는 H2O일 수 있다. 금속 산화물 층(124)은, La2O3, Pr2O3, Nd2O3, Sm2O3, Eu2O3, Gd2O3, Tb2O3, Dy2O3, Ho2O3, Er2O3, Tm2O3, Yb2O3, ZrO2, 또는 다른 산화물 및 이들의 조합들 중 하나일 수 있다.
[0074] 일부 실시예들에서, 금속 산화물 층은 결정질일 수 있고, 그리고 정방정계 상 또는 단사정계 상 중 적어도 하나의 상의 순수 결정질 단상 지르코니아로부터, 조성 내의 총 원자들에 기초하여 약 5%의 지르코늄의 원자 퍼센트를 갖는 결정질 다상 또는 결정질 단상 이트륨 지르코늄 산화물까지의 범위의 조성; 정방정계 상의 약 65 wt%의 지르코늄 산화물과 단사정계 상의 약 35 wt%의 지르코늄 산화물의 혼합물; 정방정계 상의 지르코늄 이트륨 산화물의 약 100 wt% 다-원소 산화물; 제1 입방정계 상의 지르코늄 이트륨 산화물의 약 70 wt%의 다-원소 산화물과 제2 입방정계 상의 약 30 wt%의 이트륨 산화물의 혼합물(여기서, 제1 입방정계 상과 제2 입방정계 상은 결정질 이트륨 산화물 층의 격자 구조와 상이한 격자 구조를 가짐); 및 제1 입방정계 상의 지르코늄 이트륨 산화물의 약 30 wt%의 다-원소 산화물과 제2 입방정계 상의 약 70 wt%의 이트륨 산화물의 혼합물로 구성된 그룹으로부터 선택될 수 있다.
[0075] 도시된 바와 같이, 금속 산화물(124) 및 제2 금속 산화물(128)의 증착은 교번 층들의 스택(137)을 형성하기 위해 x회 반복될 수 있으며, 여기서, x는 1 초과의 정수 값이다. x는 목표 두께 및 특성들에 기초하여 선택되는 층들의 유한한 개수를 표현할 수 있다. 교번 층들의 스택(137)은 다수의 교번 서브-층들을 포함하는 차단 층으로서 고려될 수 있다. 따라서, 전구체(180), 반응물(182), 전구체(184), 및 반응물(186)은, 부가 교번 층들(130, 132, 134, 136 등)을 성장시키거나 또는 증착하기 위해, 반복적으로 순차 도입될 수 있다. 층들(124, 128, 130, 132, 134, 136 등) 각각은 단일 원자 층 미만 내지 수 원자 층들의 두께를 갖는 매우 얇은 층들일 수 있다.
[0076] 위에서 설명된 교번 층들(124 내지 136)은 1:1 비율을 가지며, 여기서, 제2 금속 산화물의 각각의 단일 층에 대해 제1 금속 산화물의 단일 층이 존재한다. 그러나, 다른 실시예들에서, 상이한 타입들의 금속 산화물 층들 사이에 다른 비율들, 이를테면 2:1, 3:1, 4:1 등이 존재할 수 있다. 예컨대, 실시예에서, 모든 각각의 Y2O3 층에 대해 3개의 ZrO2 층들이 증착될 수 있다. 부가적으로, 교번 층들(124 내지 136)의 스택(137)은 교번하는 일련의 2개의 타입들의 금속 산화물 층들로서 설명되었다. 그러나, 다른 실시예들에서, 교번 스택(137)에서 2개 초과의 타입들의 금속 산화물 층들이 증착될 수 있다. 예컨대, 스택(137)은 3개의 상이한 교번 층들(예컨대, Y2O3의 제1 층, Al2O3의 제1 층, ZrO2의 제1 층, Y2O3의 제2 층, Al2O3의 제2 층, ZrO2의 제2 층 등)을 포함할 수 있다.
[0077] 금속 산화물 차단 층의 다층 스택(137)을 형성하는 프로세스는 또한, 순차적 증착이라고 본원에서 지칭된다. 그러한 순차적 증착은 또한, 희토류 산화물 층이 하나 초과의 희토류 원소를 함유하는 경우, 희토류 산화물 층에 대해 사용될 수 있다.
[0078] 교번 층들의 스택(137)이 형성된 후에, 상이한 재료들의 교번 층들이 서로 내에 확산하여 단일 결정질 상 또는 다수의 결정질 상들을 갖는 복합 산화물을 형성하게 하도록, 어닐링 프로세스가 수행될 수 있다. 그에 따라, 어닐링 프로세스 후에, 교번 층들의 스택(137)은 단일 차단 층(138)이 될 수 있다. 예컨대, 스택 내의 층들이 Y2O3, Al2O3, 및 ZrO2인 경우, 결과적인 희토류 금속-함유 산화물 층(138)은 Y2O3-ZrO2의 고용체와 Y4Al2O9을 포함하는 세라믹 화합물일 수 있다.
[0079] 일부 실시예들에서, 희토류 산화물 층(116) 및 차단 층 스택(137)(또는 어닐링된 경우 138)의 증착은 최종 플라즈마 저항성 보호 코팅을 형성하기 위해 z회 반복될 수 있다. 최종 플라즈마 저항성 보호 코팅은 희토류 산화물 층들과 주기적인(intermittent) 금속 산화물 차단 층들의 교번 층들을 포함할 수 있다.
[0080] 도 1c를 참조하면, 희토류 산화물 층(116)을 갖는 물건(110)이 증착 챔버 내에 삽입될 수 있다. 희토류 산화물 층(116)은 도 1a를 참조하여 설명된 바와 같이 형성되었을 수 있다. 일부 실시예들에서, 희토류 산화물 층(116)을 갖는 물건(110)은, 다-원소 흡착 층(140)을 형성하기 위해 희토류 산화물 층(116)의 표면에 복수의 전구체들(190A, 190B)이 완전히 흡착될 때까지 지속기간 동안, 공동-주입 또는 순차적으로 주입될 수 있는 복수의 전구체들(190A, 190B)에 도입될 수 있다. 이어서, 물건(110)은, 고체 다-원소 금속 산화물 층(142)을 성장시키기 위해 흡착 층(140)과 반응하기 위한 반응물(192)에 도입될 수 있다. 따라서, ALD를 사용하여, 다-원소 금속 산화물 층(142)이 희토류 산화물 층(116) 위에 완전히 성장 또는 증착된다. 전구체들(190A, 190B)을 도입한 후 반응물(192)을 도입하는 프로세스는, 다-원소 금속 산화물 차단 층(142)이 목표 두께를 갖게 하여 궁극적으로는 비정질 또는 결정질 단상 또는 다상 차단 층을 형성하게 하도록, y회 반복될 수 있다. 도 2c에서, y는 1 초과의 정수이다.
[0081] 도 1c의 차단 층(142)을 형성하는 프로세스는 또한, 공동-증착 증착법이라고 본원에서 지칭된다. 그러한 공동-증착은 또한, 희토류 산화물 층이 하나 초과의 희토류 원소를 함유하는 경우, 희토류 산화물 층에 대해 사용될 수 있다.
[0082] 희토류 산화물 층(116) 및 차단 층(142)의 증착은, 최종 플라즈마 저항성 보호 코팅을 형성하는 교번 층들의 스택을 형성하기 위해, z회 반복될 수 있다. z는 1 초과의 정수 값일 수 있다. z는 최종 플라즈마 저항성 보호 코팅의 목표 두께 및 특성들에 기초하여 선택되는 층들의 유한한 개수를 표현할 수 있다.
[0083] 도 1a 및 도 1b에 도시된 최종 구조는, 결정질 희토류 산화물 층(116)과 비정질 또는 결정질 차단 층(120(도 1a에 따름), 137 또는 138(도 1b에 따름))을 포함하는 이중층 플라즈마 저항성 보호 코팅으로 코팅된 물건(110)의 측단면도이다. 도 1c에 도시된 최종 구조는, 희토류 산화물 층(116)과 비정질 또는 결정질 차단 층들(142)을 포함하는 다층 플라즈마 저항성 보호 코팅으로 코팅된 물건(110)의 측단면도이다. 일부 실시예들에서, 결정질 희토류 산화물 층(116)은 제1 격자 구조를 갖는 입방정계 상의 이트륨 산화물일 수 있다. 결정질 또는 비정질 차단 층(120, 137/138, 또는 142)은 희토류 금속 산화물, 지르코늄 산화물, 알루미늄 산화물, 또는 이들의 혼합물을 포함할 수 있다. 차단 층이 결정질인 실시예들에서, 차단 층은 희토류 산화물 층(116)의 결정질 상과 상이한 하나 이상의 결정질 상들을 가질 수 있다.
[0084] 차단 층들(116과 120, 137/138, 또는 142)은 위에서 열거된 재료들의 리스트로부터 독립적으로 선택될 수 있다.
[0085] 결정질 희토류 산화물 층(116)은 약 500 옹스트롬 내지 약 5000 옹스트롬의 두께를 가질 수 있다. 실시예들에서, 희토류 산화물 층은 약 1000 내지 5000 옹스트롬의 두께를 가질 수 있다. 추가적인 실시예들에서, 희토류 산화물 층(116)은 약 1500 내지 2500 옹스트롬의 두께를 가질 수 있다.
[0086] 차단 층(120, 137/138, 또는 142)은 약 1 옹스트롬 내지 약 500 옹스트롬의 두께를 가질 수 있고, ALD 프로세스의 약 1 내지 500 사이클들을 수행함으로써 형성될 수 있으며, 여기서, 각각의 사이클은 차단 층의 나노층(또는 나노층보다 약간 더 얇거나 또는 두꺼운 층)을 형성한다. 실시예들에서, 차단 층(120, 137/138, 또는 142)은 약 2 옹스트롬 내지 약 200 옹스트롬의 두께를 가질 수 있다. 추가적인 실시예들에서, 차단 층(120, 137/138, 또는 142)은 약 3 옹스트롬 내지 약 50 옹스트롬의 두께를 가질 수 있다. 일 실시예에서, 차단 층의 각각의 층은 약 1 내지 10 ALD 사이클들을 사용하여 형성된다.
[0087] 추가적인 실시예들에서, 플라즈마 저항성 보호 코팅은 약 500 nm 내지 약 5 μm의 두께를 가질 수 있다. 추가적인 실시예들에서, 플라즈마 저항성 보호 코팅은, 약 1 μm 내지 약 5 μm, 또는 약 1 μm 내지 약 2 μm의 두께를 가질 수 있다. 희토류 금속 산화물 층들(116) 사이의 차단 층들(120, 137, 138, 또는 142)은, 희토류 산화물 층들에서, 제어 불가능하고 비정상적으로 큰 결정 성장을 억제할 수 있다.
[0088] 도 1a 내지 도 1c를 참조하여 설명된 실시예들에서, 표면 반응들(예컨대, 반-반응들)은 순차적으로 이루어질 수 있으며, 즉 여기서, 다양한 전구체들 및 반응물들이 접촉하지 않는다. 새로운 전구체 또는 반응물의 도입 전에, ALD 프로세스가 이루어지는 챔버는, 임의의 미반응 전구체 및/또는 표면-전구체 반응 부산물들을 제거하기 위해, 비활성 캐리어 가스(이를테면, 질소 또는 공기)로 퍼징될 수 있다. 전구체들은 각각의 층에 대해 상이할 수 있다. 일부 실시예들에서, 표면 반응들은 공동-증착을 통해 이루어질 수 있으며, 즉 여기서, 적어도 2개의 전구체들이 사용되고, 일부 실시예들에서는 적어도 3개의 전구체들이 사용되며, 더 추가적인 실시예들에서는 적어도 4개의 전구체들이 사용된다. 하나 이상의 반응물들의 도입 전에, 복수의 전구체들이 ALD 챔버 내에 공동-주입될 수 있다. ALD 챔버는, 임의의 미반응 전구체들 및/또는 표면-전구체 반응 부산물들을 제거하기 위해, 비활성 캐리어 가스(이를테면, 질소 또는 공기)로 퍼징될 수 있다.
[0089] ALD 프로세스들은 프로세스의 타입에 따라 다양한 온도들로 실시될 수 있다. 특정 ALD 프로세스에 대한 최적의 온도 범위는 "ALD 온도 윈도우(ALD temperature window)"라고 지칭된다. ALD 온도 윈도우 미만의 온도들은 불량한 성장 레이트들 및 비-ALD 타입 증착을 초래할 수 있다. ALD 온도 윈도우를 초과하는 온도들은 화학 기상 증착(CVD) 메커니즘을 통해 반응들이 이루어지게 할 수 있다. ALD 온도 윈도우는 약 100 ℃ 내지 약 400 ℃의 범위일 수 있다. 일부 실시예들에서, ALD 온도 윈도우는 약 120 내지 300 ℃이다.
[0090] ALD 프로세스는, 복잡한 기하학적 형상들을 갖는 물건들 및 표면들, 고 종횡비들을 갖는 홀들, 및 3-차원 구조들 상의 균일한 두께를 갖는 등각 플라즈마 저항성 보호 코팅을 가능하게 한다. 표면에 대한 각각의 전구체의 충분한 노출 시간은, 전구체가 분산될 수 있게 하여, 표면의 모든 3-차원 복잡한 피처들을 포함하여 전체적으로 표면과 완전히 반응할 수 있게 한다. 고 종횡비 구조들 내의 등각 ALD를 획득하기 위해 활용되는 노출 시간은 종횡비의 제곱에 비례하고, 모델링 기법들을 사용하여 예측될 수 있다. 부가적으로, ALD 기법은 다른 일반적으로 사용되는 코팅 기법들에 비해 유리한데, 이는 ALD 기법이, 소스 재료들(이를테면, 분말 공급원료(feedstock) 및 소결된 타겟(target)들)의 오래 걸리고 어려운 제작에 대한 필요성 없이, 특정 조성 또는 제제의 인-시튜 온 디멘드(in-situ on demand) 재료 합성을 가능하게 하기 때문이다. 일부 실시예들에서, ALD는 약 10:1 내지 약 300:1의 종횡비들의 물건들을 코팅하기 위해 사용된다.
[0091] 본원에서 설명되는 ALD 기법들을 이용하여, 예컨대, 위에서 설명되고 아래의 예들에서 더 상세히 설명되는 바와 같이, 차단 층을 성장시키기 위해 사용되는 전구체들의 적절한 혼합물들에 의해, 다-성분 막들이 성장, 증착, 또는 공동-증착될 수 있다.
[0092] 일부 실시예들에서, 플라즈마 저항성 보호 코팅은 CVD를 통해 물건의 표면 상에 증착될 수 있다. 예시적인 CVD 시스템이 도 2에 예시된다. 시스템은 화학 증기 전구체 공급 시스템(205) 및 CVD 반응기(210)를 포함한다. 증기 전구체 공급 시스템(205)의 역할은, 고체, 액체, 또는 가스 형태일 수 있는 시작 재료(215)로부터 증기 전구체들(220)을 생성하는 것이다. 이어서, 증기들은 CVD 반응기(210) 내로 운송될 수 있고, 그리고 실시예에 따라, 물건 홀더(235) 상에 포지셔닝될 수 있는 물건(230)의 표면 상에 플라즈마 저항성 보호 코팅(225 및/또는 245)으로서 증착될 수 있다.
[0093] 도 2에 도시된 플라즈마 저항성 보호 코팅은, 결정질 단상 또는 다상 희토류 산화물 층(225)과 비정질 또는 결정질 단상 또는 다상 금속 산화물 차단 층(245)의 이중층을 포함한다. CVD 프로세스에 대하여 이중층만이 예시되지만, CVD 프로세스에 대하여 본원에서 다층 플라즈마 저항성 보호 코팅이 또한 고려됨이 당업자에 의해 이해된다. CVD에 의해 증착된, 비정질 또는 (다)결정질 단상 또는 다상 금속 산화물 차단 층들과 (다)결정질 단상 또는 다상 희토류 산화물의 교번 층들의 스택을 포함하는 다층 플라즈마 저항성 보호 코팅이 본원의 특정 실시예들에서 고려된다.
[0094] CVD 반응기(210)는 가열기(240)를 사용하여 물건(230)을 증착 온도로 가열한다. 일부 실시예들에서, 가열기는 CVD 반응기의 벽을 가열할 수 있고("고온-벽 반응기(hot-wall reactor)"라고 또한 알려져 있음), 반응기의 벽은 열을 물건으로 전달할 수 있다. 다른 실시예들에서, CVD 반응기의 벽을 저온(cold)으로 유지하면서 물건만이 가열될 수 있다("저온-벽 반응기"라고 또한 알려져 있음). CVD 시스템 구성이 제한으로서 해석되지 않아야 함이 이해되어야 한다. CVD 시스템에 대해 다양한 장비가 활용될 수 있으며, 장비는, 균일한 두께, 표면 형태, 구조, 및 조성을 갖는 코팅을 제공할 수 있는 최적의 프로세싱 조건들을 획득하도록 선택된다.
[0095] 다양한 CVD 기법들은 다음의 페이즈들을 포함한다: (1) 시작 재료로부터 활성 가스성 반응물 종("전구체들"로서 또한 알려져 있음)을 생성함; (2) 반응 챔버("반응기"로서 또한 지칭됨) 내로 전구체들을 운송함; 가열된 물건 상에 전구체들을 흡수시킴; (4) 증착물 및 가스성 부산물을 형성하기 위해, 가스-고체 계면에서의 전구체와 물건 사이의 화학 반응에 참여함; 및 (5) 반응 챔버로부터 미반응 가스성 전구체들 및 가스성 부산물을 제거함.
[0096] 적합한 CVD 전구체들은 실온에서 안정적일 수 있고, 낮은 기화 온도를 가질 수 있고, 낮은 온도에서 안정적인 증기를 생성할 수 있고, 적합한 증착 레이트(박막 코팅들에 대한 낮은 증착 레이트, 및 후막 코팅들에 대한 높은 증착 레이트)를 가질 수 있고, 비교적 낮은 유독성을 가질 수 있고, 비용 효과적일 수 있으며, 비교적 순수할 수 있다. 일부 CVD 반응들, 이를테면 열 분해 반응("열분해(pyrolysis)"로서 또한 알려져 있음) 또는 불균화 반응의 경우, 화학 전구체만으로 증착을 완료하기에 충분할 수 있다.
[0097] CVD는, 밀도가 매우 높고 순수한 코팅들을 증착할 수 있는 CVD의 성능(capability), 및 상당히 높은 증착 레이트들로 양호한 재현성 및 접착력을 갖는 균일한 막들을 생성하는 CVD의 능력을 포함하여 다수의 이점들을 갖는다. 실시예들에서 CVD를 사용하여 증착되는 층들은 1% 미만의 다공도 및 0.1% 미만(예컨대, 대략 0%)의 다공도를 가질 수 있다. 따라서, CVD는 복잡한 형상의 컴포넌트들을 균일하게 코팅하기 위해, 그리고 양호한 등각 커버리지를 갖는(예컨대, 실질적으로 균일한 두께를 갖는) 등각 막들을 증착하기 위해 사용될 수 있다. CVD는 또한, 예컨대, 미리 결정된 비율로 복수의 화학 전구체들을 혼합 챔버 내에 피드(feed)한 후에, 혼합물을 CVD 반응기 시스템에 공급함으로써, 복수의 성분들로 제조된 막을 증착하기 위해 활용될 수 있다.
[0098] 본원에서 설명되는 CVD 및 ALD 프로세스들은 실시예들에서 침식 및/또는 부식에 저항적인 플라즈마 저항성 보호 코팅을 형성하기 위해 사용될 수 있다. ALD 또는 CVD에 의해 증착되는 플라즈마 저항성 보호 코팅은 결정질 희토류 산화물 층들과 비정질 또는 결정질 차단 층들의 교번 층들의 스택을 포함할 수 있다. 일 실시예에서, 플라즈마 저항성 보호 코팅은 결정질 희토류 산화물 층과 비정질 또는 결정질 차단 층의 이중층일 수 있다. 플라즈마 저항성 보호 코팅이 교번 층들의 스택을 포함하는 경우, 제1 층은 희토류 산화물 층일 수 있다. 비정질 또는 결정질 차단 층들은 결정질 희토류 산화물 층들에서 결정/그레인 성장을 억제할 수 있고, 그에 따라, 희토류 산화물 층 내의 그레인 사이즈는 희토류 산화물 층의 두께를 초과하지 않게 되며, 일부 실시예들에서, 그레인 사이즈는 100 nm 또는 200 nm를 초과하지 않는다.
[0099] 희토류 산화물 층들은 하나 이상의 원자 결정질 상들을 가질 수 있다. 차단 층들은, 희토류 산화물 결정들의 결정 성장을 억제하기 위해, 희토류 산화물 층의 원자 결정질 상(들)과 상이한 하나 이상의 원자 결정질 상들을 가질 수 있다. 예컨대, 일 실시예에서, 희토류 산화물 층들은 입방정계 상의 이트륨 산화물 층들일 수 있다. 일 실시예에서, 차단 층들은 정방정계 및 단사정계 상들의 지르코늄 산화물 층들일 수 있다.
[00100] 희토류 산화물 층 또는 차단 층이 하나 초과의 금속 산화물을 함유하는 경우, 각각의 층을 형성하는 재료들은 순차적으로 증착될 수 있거나 또는 공동-증착될 수 있다(도 1a 내지 도 1c에 걸쳐 ALD 프로세스에 대해 상세히 설명된 바와 같음). 일부 실시예들에서, 하나 초과의 금속 산화물을 함유하는 층들은 코팅-후 열 처리를 받을 수 있다. 일부 실시예들에서, 플라즈마 저항성 보호 코팅 또는 최종 플라즈마 저항성 보호 코팅 내의 각각의 층은, 그 각각의 층에 하나 이상의 피처들을 형성하기 위해, 코팅-후 프로세싱을 받을 수 있다.
[00100] CVD 및 ALD 코팅 증착 기법들과 함께 활용될 수 있는 예시적인 이트륨-함유 전구체들은, 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 이트륨(III)부톡시드, 트리스(시클로펜타디에닐)이트륨(III), 및 Y(thd)3(thd = 2,2,6,6-테트라메틸-3,5-헵탄디오네이토)를 포함한다(그러나 이에 제한되지는 않음).
[00101] ALD 및 CVD 코팅 증착 기법들과 함께 활용될 수 있는 예시적인 에르븀-함유 전구체들은, 트리스-메틸시클로펜타디에닐 에르븀(III)(Er(MeCp)3), 에르븀 보란아미드(Er(BA)3), Er(TMHD)3, 에르븀(III) 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트), 및 트리스(부틸시클로펜타디에닐)에르븀(III)을 포함한다(그러나 이에 제한되지는 않음).
[00102] ALD 및 CVD 코팅 증착 기법들과 함께 활용될 수 있는 예시적인 알루미늄-함유 전구체들은, 디에틸알루미늄 에톡시드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 이차-부톡시드, 알루미늄 트리브로마이드, 알루미늄 트리클로라이드, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 및 트리스(디에틸아미도)알루미늄을 포함한다(그러나 이에 제한되지는 않음).
[00103] ALD 및 CVD 코팅 증착 기법들과 함께 활용될 수 있는 예시적인 지르코늄-함유 전구체들은, 지르코늄(IV) 브로마이드, 지르코늄(IV) 클로라이드, 지르코늄(IV) 삼차-부톡시드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 및 테트라키스(에틸메틸아미도)지르코늄(IV)을 포함한다(그러나 이에 제한되지는 않음).
[00104] 본원에서 식별되는, 다양한 코팅 증착 기법들과 함께 활용될 수 있는 예시적인 산소-함유 반응물들, 및 이들의 등가물은 오존, 수증기, 산소, 및 산소 라디칼들을 포함한다(그러나 이에 제한되지는 않음).
[00105] 도 11은 실시예들에 따른, 물건, 이를테면 프로세스 챔버 컴포넌트 상에 희토류 산화물 층과 금속 산화물 차단 층을 포함하는 플라즈마 저항성 보호 코팅을 형성하기 위한 방법(300)을 예시한다. 방법(300)은, 약 3:1 내지 약 300:1의 종횡비들(예컨대, 20:1, 50:1, 100:1, 150:1 등의 종횡비들)을 갖는 물건들을 포함하는 임의의 물건들을 코팅하기 위해 사용될 수 있다. 방법은, 플라즈마 저항성 보호 코팅의 희토류 산화물 층 및 금속 산화물 차단 층에 대한 조성을 선택하고, 이들 층들 각각에 대한 두께를 선택함으로써, 선택적으로 시작될 수 있다. 희토류 산화물 층의 조성 및 금속 산화물 차단 층의 조성은 앞서 고려된 재료들 중 임의의 재료로부터 선택될 수 있다. 희토류 산화물 층 및 금속 산화물 차단 층에 대해 선택되는 두께, 및 이들 간의 비율이 또한, 앞서 고려된 두께들 및 비율들 중 임의의 두께 및 비율로부터 선택될 수 있다. 조성 선택, 두께 선택, 및 형성 방법은 동일한 엔티티(entity) 또는 다수의 엔티티들에 의해 수행될 수 있다.
[00106] 블록(310)에서, 물건은 ALD 또는 CVD 증착 챔버 내에 로딩된다. 블록(320)에서, 방법은 ALD 또는 CVD를 사용하여 물건의 표면 상에 플라즈마 저항성 보호 코팅을 증착하는 단계를 포함한다. 일 실시예에서, 블록(325)에서, 희토류 산화물 층을 증착 또는 공동-증착(다-원소 층을 위함)하기 위해 ALD 또는 CVD가 수행된다. 일 실시예에서, 희토류 산화물 층은 이트륨 산화물을 포함할 수 있고, 입방정계 결정질 상을 가질 수 있다. 일 실시예에서, 블록(330)에서, 금속 산화물 차단 층을 증착 또는 공동-증착(다-원소 층을 위함)하기 위해 ALD 또는 CVD가 수행된다. 금속 산화물 차단 층은 결정질 희토류 산화물 층의 입방정계 상과 상이한 원자 결정질 상을 가질 수 있다. 금속 산화물 차단 층은 비정질일 수 있다.
[00107] ALD 및 CVD는 실시예들에서 수행되는 바와 같이 매우 등각적인 프로세스들이며, 이는 플라즈마 저항성 보호 코팅의 표면 거칠기가, 아래에 있는, 코팅되는 물건의 표면의 표면 거칠기와 일치되게 할 수 있다. 일부 실시예들에서, 플라즈마 저항성 보호 코팅은, 약 500 nm 내지 약 10 μm, 또는 약 500 nm 내지 약 5 μm의 총 두께를 가질 수 있다. 다른 실시예들에서, 플라즈마 저항성 보호 코팅은 약 500 nm 내지 약 1 μm의 두께를 가질 수 있다. 플라즈마 저항성 보호 코팅은, 실시예들에서 약 0%의 다공도를 가질 수 있거나, 또는 실시예들에서 비-다공성일 수 있으며, 코팅의 상이한 섹션들에 걸쳐 약 +/- 5% 이하, +/- 10% 이하, 또는 +/- 20% 이하의 두께 편차를 가질 수 있다.
[00108] 블록(335)에서, 플라즈마 저항성 보호 코팅에 부가 층들이 부가될 것인지(예컨대, 다층 스택이 형성될 것인지) 여부에 대해 결정이 이루어질 수 있다. 부가 층들이 부가될 것인 경우, 방법은 블록(320)으로 리턴할 수 있고, ALD 또는 CVD를 통해 부가 희토류 산화물 층 및 금속 산화물 차단 층이 형성될 수 있다. 그렇지 않으면, 플라즈마 저항성 보호 코팅이 완전히 형성될 수 있으며, 방법은 방법의 종료에 도달할 수 있다.
[00109] 희토류 산화물 층의 조성에 따라, 블록(325)은, 목표 두께를 갖는 희토류 산화물을 증착하기 위해, ALD 또는 CVD의 하나 이상의 사이클들을 포함할 수 있다. 희토류 산화물 층의 목표 두께는 500 옹스트롬 내지 약 5000 옹스트롬의 범위일 수 있다. 일부 실시예에서, 희토류 산화물 층은 다-원소 희토류 산화물 층일 수 있다. 다-원소 희토류 산화물 층은, 증착 챔버 내에 복수의 전구체들을 동시에 공동-주입하는 것에 의한 공동-증착을 통해, 또는 순차적 ALD 또는 CVD 증착을 통해 증착될 수 있다. 다양한 ALD 기법들이 도 1a 내지 도 1c에 대하여 더 상세히 설명되며, 유사한 메커니즘들이 또한 CVD 프로세스와 함께 마찬가지로 활용될 수 있음이 이해된다.
[00110] 유사하게, 금속 산화물 차단 층의 조성에 따라, 블록(330)은, 목표 두께를 갖는 금속 산화물 차단 층들을 증착하기 위해, ALD 또는 CVD의 하나 이상의 사이클들을 포함할 수 있다. 금속 산화물 차단 층의 목표 두께는 약 1 옹스트롬 내지 약 500 옹스트롬의 범위일 수 있다. 일부 실시예에서, 금속 산화물 차단 층은 다-원소 금속 산화물 차단 층일 수 있다. 다-원소 금속 산화물 차단 층은, 증착 챔버 내에 복수의 전구체들을 동시에 공동-주입하는 것에 의한 공동-증착을 통해, 또는 순차적 ALD 또는 CVD 증착을 통해 증착될 수 있다. 다양한 ALD 기법들이 도 1a 내지 도 1c에 대하여 더 상세히 설명되며, 유사한 메커니즘들이 또한 CVD 프로세스와 함께 마찬가지로 활용될 수 있음이 이해된다.
[00111] 플라즈마에 대한 코팅 재료의 저항은, 코팅된 컴포넌트들의 동작 및 플라즈마에 대한 노출의 지속기간 전체에 걸친 "에칭 레이트(ER)"(이는 미크론/시간(μm/hr)의 단위들을 가질 수 있음)를 통해 측정된다. 측정들은 상이한 프로세싱 시간들 후에 행해질 수 있다. 예컨대, 측정들은 프로세싱 전, 50 프로세싱 시간 후, 150 프로세싱 시간 후, 200 프로세싱 시간 후 등에 행해질 수 있다. 샤워헤드 또는 임의의 다른 프로세스 챔버 컴포넌트 상에 성장 또는 증착된 플라즈마 저항성 보호 코팅의 조성의 변동들은 다수의 상이한 플라즈마 저항들 또는 침식 레이트 값들을 발생시킬 수 있다. 부가적으로, 다양한 플라즈마들에 노출되는, 단일 조성을 갖는 플라즈마 저항성 보호 코팅은 다수의 상이한 플라즈마 저항들 또는 침식 레이트 값들을 가질 수 있다. 예컨대, 플라즈마 저항성 재료는 제1 타입의 플라즈마와 연관된 제1 플라즈마 저항 또는 침식 레이트, 및 제2 타입의 플라즈마와 연관된 제2 플라즈마 저항 또는 침식 레이트를 가질 수 있다. 실시예들에서, 2시간 동안의 450 ℃에서의 200W NF3 직접 용량성 커플링 플라즈마에 대한 노출 후에, 검출가능한 침식이 발생되지 않았다.
[00112] 다음의 예들은 본원에서 설명되는 실시예들의 이해를 돕기 위해 설명되며, 본원에서 설명 및 청구되는 실시예들을 구체적으로 제한하는 것으로 해석되지 않아야 한다. 당업자의 이해 범위 내에 있을, 알려져 있지 않거나 또는 향후 개발되는 모든 등가물들의 치환, 및 형식의 변화들 또는 실험 설계의 사소한 변화들을 포함하는 그러한 변형들은, 본원에 포함된 실시예들의 범위 내에 속하는 것으로 고려될 것이다. 이들 예들은 위에서 설명된 방법(300)을 수행함으로써 달성될 수 있다.
예 1 - 주기적인 ZrO 2 차단 층들을 이용한, Al 6061 기판 및 Al 2 O 3 버퍼 층 상의 Y 2 O 3 플라즈마 저항성 보호 코팅의 형성
[00113] 도 5a는 Al 6061의 알루미늄 기판(510A) 상에 증착된 Al2O3 버퍼 층(520A) 상에 증착된 플라즈마 저항성 보호 코팅을 도시한다. 원자 층 증착을 사용하여, 결정질 이트륨 산화물의 희토류 산화물 층(530A)이 알루미늄 산화물 버퍼 층 상에 증착되었다. 결정질 이트륨 산화물 층의 증착은, 물건을 포함하는 증착 챔버 내에 이트륨-함유 전구체를 주입하여, 제1 반-반응을 형성하도록, 이트륨-함유 전구체가 물건의 표면 상에 흡착되게 함으로써, 이루어졌다. 그 후, 제2 반-반응을 형성하도록, 산소-함유 반응물이 증착 챔버 내에 주입되었을 수 있다. 이러한 증착 사이클은 목표 두께가 획득될 때까지 반복되었을 수 있다.
[00114] 이어서, 원자 층 증착을 사용하여, 다상 결정질 지르코늄 산화물 층의 차단 층(540A)이 단상 결정질 이트륨 산화물 층 상에 증착되었다. 다상 결정질 지르코늄 산화물 층의 증착은, 물건을 포함하는 증착 챔버 내에 금속-함유 전구체(예컨대, 지르코늄-함유 전구체)를 주입하여, 제1 반-반응을 형성하도록, 금속-함유 전구체(예컨대, 지르코늄-함유 전구체)가 물건의 표면 상에 흡착되게 함으로써, 이루어졌다. 그 후, 제2 반-반응을 형성하도록, 산소-함유 반응물이 증착 챔버 내에 주입되었을 수 있다. 이러한 증착 사이클은 목표 두께가 획득될 때까지 반복되었을 수 있다.
[00115] 이들 증착들은, 단상 결정질 이트륨 산화물 층들(530A, 550A, 570A, 590A)과 다상 결정질 지르코늄 산화물 층들(540A, 560A, 580A)의 교번 층들의 스택을 형성하기 위해, 수 사이클들에 걸쳐 반복되었다.
[00116] 플라즈마 저항성 보호 코팅 내의 제1 층(530A)은 단상 결정질 이트륨 산화물 층이었다. 결정질 이트륨 산화물 층들은 PDF(Powder Diffraction File) 번호 04-005-4378에 대응하는 약 95 내지 100 wt% 입방정계 상을 가졌다. 단상 결정질 이트륨 산화물 층들은 도 6a에 도시된 바와 같은 X-선 회절(XRD) 프로파일을 나타냈다.
[00117] 플라즈마 저항성 보호 코팅 내의 주기적인 지르코늄 산화물 층들은, 약 65.1±5 wt%의 정방정계 결정질 상(Tazheranite라고 또한 지칭됨) 및 약 34.9±5 wt%의 단사정계 결정질 상(Baddeleyite라고 또한 지칭됨)을 갖는 다상 결정질이었다. 지르코니아의 정방정계 결정질 상은 PDF 번호 01-070-8758에 대응한다. 지르코니아의 단사정계 결정질 상은 PDF 번호 01-070-8739에 대응한다. 다상 결정질 지르코늄 산화물 층들은 도 6b에 도시된 바와 같은 XRD 프로파일을 나타내었다.
[00118] 희토류 산화물 층들(즉, 결정질 이트륨 산화물 층들) 각각의 두께는 약 240 nm 내지 약 260 nm이었으며, 차단 층들(즉, 다상 결정질 지르코늄 산화물 층들)의 두께는 약 0.5 nm 내지 약 1.5 nm이었다.
[00119] 플라즈마 저항성 보호 코팅 내의 지르코늄 산화물 차단 층들은, 특히, 투과 전자 현미경 및 에너지 분산 스펙트로스코피(TEM/EDS) 라인 스캔을 사용하여 특성화되었다. TEM/EDS를 통한 분석을 위해, 다상 결정질 지르코늄 산화물의 차단 층은, 층에서 다양한 원자들의 원자 분포를 생성하기에 충분한 두께로 증착되었다. 라인 스캔은 도 6c에 도시된다. 산소(605), 지르코늄(625), 및 알루미늄(632)의 농도들이 호출된다(call out). 라인 스캔에서 20 nm 내지 60 nm에 나타낸 조성은 다상 결정질 지르코늄 산화물 차단 층의 조성에 대응한다. 도 6c는 다상 결정질 지르코늄 산화물 차단 층이 약 25 원자%의 지르코늄 및 약 75 원자%의 산소를 포함함을 예시한다.
[00120] 도 6d는 도 6c에서 TEM/EDS를 통해 분석된 다상 결정질 지르코늄 산화물 차단 층의 HAADF(high Angle Annular Dark Field) STEM(Scanning Transmission Electron Microscopy) 이미지를 도시한다. 구역(610)은 Al6061을 도시하고, 구역(620)은 알루미나 버퍼 층을 도시하며, 구역(630)은 도 6c에서 TEM/EDS를 통해 분석된 예시적인 다상 결정질 지르코늄 산화물 차단 층을 도시한다. 도 6d는 또한, ALD에 의해 증착된 다상 결정질 지르코늄 산화물 층이, 다공도가 낮거나 다공도가 없는 상태로, 등각적으로 그리고 균일하게 Al6061 및 알루미나 버퍼 층을 덮는 것을 도시한다.
예 2 - 주기적인 Y x Zr y O z 차단 층들을 이용한, Al 6061 기판 및 Al 2 O 3 버퍼 층 상의 Y 2 O 3 플라즈마 저항성 보호 코팅의 형성
[00121] 도 5b는 Al 6061의 알루미늄 기판(510B) 상에 증착된 Al2O3 버퍼 층(520B) 상에 증착된 플라즈마 저항성 보호 코팅을 도시한다. 원자 층 증착을 사용하여, 결정질 이트륨 산화물의 희토류 산화물 층(530B)이 알루미늄 산화물 버퍼 층 상에 증착되었다. 이어서, 원자 층 증착을 사용하여, 결정질 지르코늄 이트륨 산화물 층(예컨대, Y2O3-ZrO2의 고용체)의 차단 층(540B)이 결정질 이트륨 산화물 층 상에 증착되었다. 결정질 이트륨 산화물 층 및 결정질 지르코늄 이트륨 산화물 층은 예 1에서 설명된 방식과 유사한 방식으로 증착되었을 수 있다.
[00122] 차단 층(540B)은 순차적 원자 층 증착을 통해 증착되었다. 구체적으로, 원자 층 증착을 통해 하나의 사이클의 지르코늄 산화물이 증착된 후에, 원자 층 증착을 통해 하나의 사이클의 이트륨 산화물이 증착되었다. 이들 2개의 사이클들(ZrO2의 하나의 사이클 및 Y2O3의 하나의 사이클)은 함께 수퍼사이클(supercycle)이라고 지칭될 것이다. 차단 층(540B)은 4 수퍼사이클들 후에 완전히 성장되었다.
[00123] 단상 결정질 이트륨 산화물 층 및 단상 결정질 지르코늄 이트륨 산화물 차단 층들의 증착들은, 결정질 이트륨 산화물 층들(530B, 550B, 570B, 590B)과 결정질 지르코늄 이트륨 산화물 층들(540B, 560B, 580B)의 교번 층들의 스택을 형성하기 위해, 수 사이클들에 걸쳐 반복되었다.
[00124] 플라즈마 저항성 보호 코팅 내의 제1 층(530B)은 단상 결정질 이트륨 산화물 층이었다. 단상 결정질 이트륨 산화물 층들은 PDF(Powder Diffraction File) 번호 04-005-4378에 대응하는 약 95 내지 100 wt% 입방정계 상을 가졌다. 단상 결정질 이트륨 산화물 층들은 도 6a에 도시된 바와 같은 X-선 회절(XRD) 프로파일을 나타냈다.
[00125] 플라즈마 저항성 보호 코팅 내의 주기적인 지르코늄 이트륨 산화물 층들은 약 95 내지 100 wt% 정방정계 결정질 상을 갖는 단상 결정질이었다. 지르코늄 이트륨 산화물의 정방정계 결정질 상은 PDF 번호 01-082-1243에 대응한다. 결정질 지르코늄 이트륨 산화물 층들은 도 7a에 도시된 바와 같은 XRD 프로파일을 나타내었다. 도 7a에 도시된 XRD 프로파일 및 대응하는 PDF 번호는 Zr0.86Y0.14O1.93 화학식과 상관된다.
[00126] 희토류 산화물 층들(즉, 결정질 이트륨 산화물 층들) 각각의 두께는 약 240 nm 내지 약 260 nm이었으며, 차단 층들(즉, 결정질 지르코늄 이트륨 산화물 층들)의 두께는 약 0.5 nm 내지 약 1.5 nm, 또는 약 0.8 nm이었다.
[00127] 플라즈마 저항성 보호 코팅 내의 지르코늄 이트륨 산화물 차단 층들은, 특히, 투과 전자 현미경 및 에너지 분산 스펙트로스코피(TEM/EDS) 라인 스캔을 사용하여 특성화되었다. TEM/EDS를 통한 분석을 위해, 결정질 지르코늄 이트륨 산화물의 차단 층은, 층에서 다양한 원자들의 원자 분포를 생성하기에 충분한 두께로 증착되었다. 라인 스캔은 도 7b에 도시된다. 산소(705), 이트륨(712), 지르코늄(725), 알루미늄(732), 및 이리듐(745)의 농도들이 호출된다. 라인 스캔에서 40 nm 내지 90 nm에 나타낸 조성은 결정질 지르코늄 이트륨 산화물 차단 층의 조성에 대응한다. 도 7b는 결정질 지르코늄 이트륨 산화물 차단 층이 약 10 내지 15 원자%의 이트륨, 약 20 내지 25 원자%의 지르코늄, 및 약 60 내지 65 원자%의 산소를 포함함을 예시한다.
[00128] 도 7c는 도 7b에서 TEM/EDS를 통해 분석된 결정질 지르코늄 이트륨 산화물 차단 층의 HAADF(high Angle Annular Dark Field) STEM(Scanning Transmission Electron Microscopy) 이미지를 도시한다. 구역(710)은 Al6061을 도시하고, 구역(720)은 알루미나 버퍼 층을 도시하며, 구역(730)은 도 7b에서 TEM/EDS를 통해 분석된 예시적인 다상 결정질 지르코늄 산화물 차단 층을 도시한다. 도 7c는 또한, ALD에 의해 증착된 결정질 지르코늄 이트륨 산화물 층이, 다공도가 낮거나 다공도가 없는 상태로, 등각적으로 그리고 균일하게 Al6061 및 알루미나 버퍼 층을 덮는 것을 도시한다.
[00129] 도 7d 및 도 7e는 결정질 지르코늄 이트륨 산화물 층의 투과 전자 현미경(TEM) 이미지들을 도시하고, 원자 층 증착을 통해 획득된 등각적이고 균일하며 비-다공성인 코팅을 추가로 나타낸다.
예 3 - 주기적인 Y x Zr y O z 차단 층들을 이용한, Al 6061 기판 및 Al 2 O 3 버퍼 층 상의 Y 2 O 3 플라즈마 저항성 보호 코팅의 형성
[00130] 도 5c는 Al 6061의 알루미늄 기판(510C) 상에 증착된 Al2O3 버퍼 층(520C) 상에 증착된 플라즈마 저항성 보호 코팅을 도시한다. 원자 층 증착을 사용하여, 단상 결정질 이트륨 산화물의 희토류 산화물 층(530C)이 알루미늄 산화물 버퍼 층 상에 증착되었다. 이어서, 원자 층 증착을 사용하여, 혼합된 다상 결정질 이트륨 지르코늄 산화물(예컨대, Y2O3-ZrO2 고용체)과 이트륨 산화물 층의 차단 층(540C)이 단상 결정질 이트륨 산화물 층 상에 증착되었다. 단상 결정질 이트륨 산화물 층 및 다상 결정질 이트륨 지르코늄 산화물 차단 층은 예 1에서 설명된 방식과 유사한 방식으로 증착되었을 수 있다.
[00131] 차단 층(540C)은 순차적 원자 층 증착을 통해 증착되었다. 구체적으로, 원자 층 증착을 통해 하나의 사이클의 지르코늄 산화물이 증착된 후에, 원자 층 증착을 통해 2개의 사이클들의 이트륨 산화물이 증착되었다. 이들 3개의 사이클들(ZrO2의 하나의 사이클 및 Y2O3의 2개의 사이클)은 함께 수퍼사이클이라고 본 예에서 지칭될 것이다. 차단 층(540C)은 4 수퍼사이클들 후에 완전히 성장되었다.
[00132] 단상 결정질 이트륨 산화물 층, 및 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층들의 다상 결정질 혼합체의 증착들은, 단상 결정질 이트륨 산화물 층들(530C, 550C, 570C, 590C)과, 이트륨 지르코늄 산화물과 이트륨 산화물의 다상 결정질(540C, 560C, 580C)의 교번 층들의 스택을 형성하기 위해, 수 사이클들에 걸쳐 반복되었다.
[00133] 플라즈마 저항성 보호 코팅 내의 제1 층(530C)은 단상 결정질 이트륨 산화물 층이었다. 단상 결정질 이트륨 산화물 층들은 PDF(Powder Diffraction File) 번호 04-005-4378에 대응하는 약 95 내지 100 wt% 입방정계 상을 가졌다. 단상 결정질 이트륨 산화물 층들은 도 6a에 도시된 바와 같은 X-선 회절(XRD) 프로파일을 나타냈다.
[00134] 플라즈마 저항성 보호 코팅 내의 이트륨 지르코늄 산화물과 이트륨 산화물 층들의 주기적인 혼합체는, 약 64 내지 74 wt%, 또는 약 69.4 wt% 입방정계 결정질 상(PDF 번호 01-080-4014에 대응함), 및 약 25 내지 35 wt%, 또는 약 30.6 wt% 입방정계 이트륨 산화물 상(PDF 번호 01-084-3893에 대응함)을 갖는 다상 결정질이었다. 다상 결정질 차단 층들은 도 8a에 도시된 바와 같은 XRD 프로파일을 나타내었다. 도 8a에 도시된 XRD 프로파일 및 대응하는 PDF 번호들은 약 69.4±5 wt% Zr0.4Y0.6O1.7 화학식 및 약 30.6±5 wt% Y2O3 화학식과 상관된다. 이트륨 지르코늄 산화물 및 이트륨 산화물의 상들이 입방정계이고, 이트륨 산화물 희토류 산화물 층의 상이 또한 입방정계이지만, 다양한 입방정계 상들의 격자 구조는 상이하다. 따라서, 차단 층은, 2개의 결정질 상들의 격자 구조가 다른 한, 희토류 산화물 층과 동일한 상을 가질 수 있다.
[00135] 희토류 산화물 층들(즉, 결정질 이트륨 산화물 층들) 각각의 두께는 약 240 nm 내지 약 260 nm이었으며, 차단 층들(즉, 이트륨 지르코늄 산화물과 이트륨 산화물 층들의 다상 결정질 혼합체)의 두께는 약 0.5 nm 내지 약 1.5 nm, 또는 약 1.2 nm이었다.
[00136] 플라즈마 저항성 보호 코팅 내의 차단 층들은, 특히, 투과 전자 현미경 및 에너지 분산 스펙트로스코피(TEM/EDS) 라인 스캔을 사용하여 특성화되었다. TEM/EDS를 통한 분석을 위해, 이트륨 지르코늄 산화물과 이트륨 산화물의 다상 결정질 혼합체의 차단 층은, 층에서 다양한 원자들의 원자 분포를 생성하기에 충분한 두께로 증착되었다. 라인 스캔은 도 8b에 도시된다. 산소(805), 이트륨(812), 지르코늄(825), 알루미늄(832), 및 이리듐(845)의 농도들이 호출된다. 라인 스캔에서 30 nm 내지 480 nm에 나타낸 조성은 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층의 다상 결정질 혼합체의 조성에 대응한다. 도 8b는 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층의 다상 결정질 혼합체가 약 15 내지 25 원자%의 이트륨, 약 5 내지 10 원자%의 지르코늄, 및 약 65 내지 75 원자%의 산소를 포함함을 예시한다.
[00137] 도 8c는 도 8b에서 TEM/EDS를 통해 분석된 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층의 다상 결정질 혼합체의 HAADF(high Angle Annular Dark Field) STEM(Scanning Transmission Electron Microscopy) 이미지를 도시한다. 구역(815)은 Al6061을 도시하고, 구역(835)은 도 8b에서 TEM/EDS를 통해 분석된 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층의 예시적인 다상 결정질 혼합체를 도시한다. 도 8c는 또한, ALD에 의해 증착된 이트륨 지르코늄 산화물과 이트륨 산화물 층의 다상 결정질 혼합체가, 다공도가 낮거나 다공도가 없는 상태로, 등각적으로 그리고 균일하게 Al6061 및 알루미나 버퍼 층을 덮는 것을 도시한다.
[00138] 도 8d는 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층의 다상 결정질 혼합체의 투과 전자 현미경(TEM) 이미지들을 도시하고, 원자 층 증착을 통해 획득된 등각적이고 균일하며 비-다공성인 코팅을 추가로 나타낸다.
예 4 - 주기적인 Y x Zr y O z 차단 층들을 이용한, Al 6061 기판 및 Al 2 O 3 버퍼 층 상의 Y 2 O 3 플라즈마 저항성 보호 코팅의 형성
[00139] 도 9a는 Al 6061의 알루미늄 기판(910) 상에 증착된 Al2O3 버퍼 층(920) 상에 증착된 플라즈마 저항성 보호 코팅을 도시한다. 원자 층 증착을 사용하여, 단상 결정질 이트륨 산화물의 희토류 산화물 층(930)이 알루미늄 산화물 버퍼 층 상에 증착되었다. 이어서, 원자 층 증착을 사용하여, 혼합된 다상 결정질 이트륨 지르코늄 산화물과 이트륨 산화물 층의 차단 층(940)이 단상 결정질 이트륨 산화물 층 상에 증착되었다. 단상 결정질 이트륨 산화물 층 및 다상 결정질 이트륨 지르코늄 산화물 차단 층은 예 1에서 설명된 방식과 유사한 방식으로 증착되었을 수 있다.
[00140] 차단 층(940)은 순차적 원자 층 증착을 통해 증착되었다. 구체적으로, 원자 층 증착을 통해 하나의 사이클의 지르코늄 산화물이 증착된 후에, 원자 층 증착을 통해 3개의 사이클들의 이트륨 산화물이 증착되었다. 이들 4개의 사이클들(ZrO2의 하나의 사이클 및 Y2O3의 3개의 사이클)은 함께 수퍼사이클이라고 본 예에서 지칭될 것이다. 차단 층(940)은 4 수퍼사이클들 후에 완전히 성장되었다.
[00141] 단상 결정질 이트륨 산화물 층, 및 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층들의 다상 결정질 혼합체의 증착들은, 단상 결정질 이트륨 산화물 층들(930, 950, 970, 990)과, 이트륨 지르코늄 산화물과 이트륨 산화물 층들의 다상 결정질 혼합체(940, 960, 980)의 교번 층들의 스택을 형성하기 위해, 수 사이클들에 걸쳐 반복되었다.
[00142] 플라즈마 저항성 보호 코팅 내의 제1 층(930)은 단상 결정질 이트륨 산화물 층이었다. 단상 결정질 이트륨 산화물 층들은 PDF(Powder Diffraction File) 번호 04-005-4378에 대응하는 약 95 내지 100 wt% 입방정계 상을 가졌다. 단상 결정질 이트륨 산화물 층들은 도 6a에 도시된 바와 같은 X-선 회절(XRD) 프로파일을 나타냈다.
[00143] 플라즈마 저항성 보호 코팅 내의 이트륨 지르코늄 산화물과 이트륨 산화물 층들의 주기적인 혼합체는, 약 25 내지 35 wt%, 또는 약 30.8 wt% 입방정계 결정질 상(PDF 번호 01-080-4014에 대응함), 및 약 64 내지 74 wt%, 또는 약 69.2 wt% 입방정계 이트륨 산화물 상(PDF 번호 01-084-3893에 대응함)을 갖는 다상 결정질이었다. 다상 결정질 차단 층들은 도 8a에 도시된 바와 같은 XRD 프로파일을 나타내었다. 도 8a에 도시된 XRD 프로파일 및 대응하는 PDF 번호들은 약 30.8±5 wt% Zr0.4Y0.6O1.7(즉, x는 0.6이고, y는 0.4이며, z는 1.7임) 화학식 및 약 69.2±5 wt% Y2O3 화학식과 상관된다. 이트륨 지르코늄 산화물 및 이트륨 산화물의 상들이 입방정계이고, 이트륨 산화물 희토류 산화물 층의 상이 또한 입방정계이지만, 다양한 입방정계 상들의 격자 구조는 상이하다. 따라서, 차단 층은, 2개의 결정질 상들의 격자 구조가 다른 한, 희토류 산화물 층과 동일한 상을 가질 수 있다.
[00144] 화학식 YxZryOz의 x, y, 및 z가 본 예에서 그리고 이전의 예에서 식별되지만, 이들의 값들이 제한적인 것으로 해석되지 않아야 하며, 이트륨 대 지르코늄의 원자 비율은, 결과적인 결정질 상(들)이 희토류 산화물 층의 결정질 상(들)과 상이한 한, 0(이트륨이 존재하지 않는 경우) 내지 9의 범위일 수 있다.
[00145] 희토류 산화물 층들(즉, 결정질 이트륨 산화물 층들) 각각의 두께는 약 240 nm 내지 약 260 nm이었으며, 차단 층들(즉, 이트륨 지르코늄 산화물과 이트륨 산화물 층들의 다상 결정질 혼합체)의 두께는 약 0.5 nm 내지 약 2.0 nm, 또는 약 1.6 nm이었다.
[00146] 플라즈마 저항성 보호 코팅 내의 차단 층들은, 특히, 투과 전자 현미경 및 에너지 분산 스펙트로스코피(TEM/EDS) 라인 스캔을 사용하여 특성화되었다. TEM/EDS를 통한 분석을 위해, 이트륨 지르코늄 산화물과 이트륨 산화물의 다상 결정질 혼합체의 차단 층은, 층에서 다양한 원자들의 원자 분포를 생성하기에 충분한 두께로 증착되었다. 라인 스캔은 도 9b에 도시된다. 산소(905), 이트륨(912), 지르코늄(925), 알루미늄(932), 및 이리듐(945)의 농도들이 호출된다. 라인 스캔에서 40 nm 내지 85 nm에 나타낸 조성은 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층의 다상 결정질 혼합체의 조성에 대응한다. 도 9b는 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층의 다상 결정질 혼합체가 약 3 내지 7 원자%의 지르코늄, 약 15 내지 25 원자%의 이트륨, 및 약 65 내지 75 원자%의 산소를 포함함을 예시한다.
[00147] 도 9c는 도 9b에서 TEM/EDS를 통해 분석된 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층의 다상 결정질 혼합체의 HAADF(high Angle Annular Dark Field) STEM(Scanning Transmission Electron Microscopy) 이미지를 도시한다. 구역(915)은 Al6061을 도시하고, 구역(935)은 도 9b에서 TEM/EDS를 통해 분석된 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층의 예시적인 다상 결정질 혼합체를 도시한다. 도 9c는 또한, ALD에 의해 증착된 이트륨 지르코늄 산화물과 이트륨 산화물 층의 다상 결정질 혼합체가, 다공도가 낮거나 다공도가 없는 상태로, 등각적으로 그리고 균일하게 Al6061 및 알루미나 버퍼 층을 덮는 것을 도시한다.
[00148] 도 9d는 이트륨 지르코늄 산화물과 이트륨 산화물 차단 층의 다상 결정질 혼합체의 투과 전자 현미경(TEM) 이미지들을 도시하고, 원자 층 증착을 통해 획득된 등각적이고 균일하며 비-다공성인 코팅을 추가로 나타낸다.
예 5 - 주기적인 가돌리늄 산화물 차단 층들을 이용한, Al 6061 기판 및 Al 2 O 3 버퍼 층 상의 Y 2 O 3 플라즈마 저항성 보호 코팅의 형성
[00149] 도 10은 Al 6061의 알루미늄 기판(1010) 상에 증착된 Al2O3 버퍼 층(1020) 상에 증착된 플라즈마 저항성 보호 코팅을 도시한다. 원자 층 증착을 사용하여, 단상 결정질 이트륨 산화물의 희토류 산화물 층(1030)이 알루미늄 산화물 버퍼 층 상에 증착되었다. 이어서, 원자 층 증착을 사용하여, 가돌리늄 산화물의 차단 층(1040)이 단상 결정질 이트륨 산화물 층 상에 증착되었다.
[00150] 단상 결정질 이트륨 산화물 층 및 단상/다상 결정질 가돌리늄 산화물 차단 층들의 증착들은, 결정질 이트륨 산화물 층들(1030, 1050, 1070, 1090)과 결정질 가돌리늄 산화물 층들(1040, 1060, 1080)의 교번 층들의 스택을 형성하기 위해, 수 사이클들에 걸쳐 반복되었다.
[00151] 결정질 가돌리늄 산화물 차단 층들과 유사하게, 다른 비정질 또는 결정질 희토류 산화물 차단 층들이 이트륨 산화물 층들 사이에 증착될 수 있다. 차단 층이 결정질인 경우, 차단 층의 원자 결정질 상(들)은 이트륨 산화물의 원자 결정질 상과 상이해야 하거나, 또는 적어도 상이한 격자 구조를 가져야 한다. 비유사한 결정질 상들 또는 비유사한 격자 구조들은 이트륨 산화물 그레인들의 성장이 제어 불가능하게 그리고 비정상적으로 크게 성장되는 것을 차단 층들이 억제할 수 있게 한다.
[00152] 다양한 희토류 산화물들(예컨대, La2O3, Pr2O3, Nd2O3, Sm2O3, Eu2O3, Gd2O3, Tb2O3, Dy2O3, Ho2O3, Er2O3, Tm2O3, Yb2O3, ZrO2, 및 이들의 조합들)과 연관된 예시적이고 비-제한적인 결정질 상들이 도 3에 도시된다. y 축이 온도를 표현하고 x 축이 희토류 산화물을 표현하는 도 3에서, 특정 온도를 받을 때, 특정 희토류 산화물이 어떤 결정질 단상 또는 다상 상으로 존재하게 될 것인지를 식별하는 것이 가능하다. 예컨대, 특정 ALD 온도들에서, La2O3, Pr2O3, Nd2O3는 육방정계 결정질 원자 상을 가질 수 있고; Sm2O3는 육방정계 및/또는 단사정계 결정질 상을 가질 수 있고; Eu2O3, Gd2O3, Tb2O3는 단사정계 결정질 상으로 존재할 수 있고; Dy2O3는 단사정계 및/또는 입방정계 결정질 상으로 존재할 수 있으며; Ho2O3, Er2O3, Tm2O3, Yb2O3는 입방정계 결정질 상으로 존재할 수 있다. 일부 실시예들에서, 결정질 금속 산화물 층은 입방정계 상의 YAG를 포함할 수 있다. 도시된 바와 같이, 구역 A는 육방정계 결정 구조인 희토류 산화물 타입 A 구조를 포함한다. 구역 B는 단사정계 결정 구조인 희토류 산화물 타입 B 구조를 포함한다. 구역 C는 입방정계 결정 구조인 희토류 산화물 타입 C 구조를 포함한다. 구역 H는 육방정계 결정 구조인 희토류 타입 H 구조를 포함한다. 구역 X는 입방정계 결정 구조인 희토류 산화물 타입 X 구조를 포함한다. 도시된 바와 같이, Er2O3는 입방정계 구조를 보유한다.
예 6 - 주기적인 Y x Zr y O z 차단 층들을 이용한, Al 6061 기판 및 Al 2 O 3 버퍼 층 상의 Y 2 O 3 플라즈마 저항성 보호 코팅의 형성
[00153] 도 12는 Al 6061의 알루미늄 기판(1210) 상에 증착된 Al2O3 버퍼 층(1220) 상에 증착된 플라즈마 저항성 보호 코팅을 도시한다. 원자 층 증착을 사용하여, 단상 결정질 이트륨 산화물의 희토류 산화물 층(1230)이 알루미늄 산화물 버퍼 층 상에 증착되었다. 이어서, 원자 층 증착을 사용하여, 이트륨 지르코늄 산화물의 차단 층(1240)이 단상 결정질 이트륨 산화물 층 상에 증착되었다. 단상 결정질 이트륨 산화물 층 및 이트륨 지르코늄 산화물 차단 층은 예 1에서 설명된 방식과 유사한 방식으로 증착되었을 수 있다.
[00154] 차단 층(1240)은 순차적 원자 층 증착을 통해 증착되었다. 구체적으로, 원자 층 증착을 통해 3개의 사이클들의 지르코늄 산화물이 증착된 후에, 원자 층 증착을 통해 하나의 사이클의 이트륨 산화물이 증착되었다. 이들 4개의 사이클들(ZrO2의 3개의 사이클들 및 Y2O3의 하나의 사이클)은 함께 수퍼사이클이라고 본 예에서 지칭될 것이다. 차단 층(1240)은 4 수퍼사이클들 후에 완전히 성장되었다.
[00155] 단상 결정질 이트륨 산화물 층 및 이트륨 지르코늄 산화물 차단 층들의 증착들은, 단상 결정질 이트륨 산화물 층들(1230, 1250, 1270, 1290)과 이트륨 지르코늄 산화물(1240, 1260, 1280)의 교번 층들의 스택을 형성하기 위해, 수 사이클들에 걸쳐 반복되었다.
[00156] 플라즈마 저항성 보호 코팅 내의 제1 층(1230)은 단상 결정질 이트륨 산화물 층이었다. 단상 결정질 이트륨 산화물 층들은 PDF(Powder Diffraction File) 번호 04-005-4378에 대응하는 약 95 내지 100 wt% 입방정계 상을 가졌다. 단상 결정질 이트륨 산화물 층들은 도 6a에 도시된 바와 같은 X-선 회절(XRD) 프로파일을 나타냈다.
[00157] 희토류 산화물 층들(즉, 결정질 이트륨 산화물 층들) 각각의 두께는 약 240 nm 내지 약 260 nm이었으며, 차단 층들의 두께는 약 0.5 nm 내지 약 2.0 nm, 또는 약 1.6 nm이었다.
[00158] 플라즈마 저항성 보호 코팅 내의 차단 층들은, 특히, 톱-다운 스캐닝 전자 현미경(SEM) 이미지, TEM 이미지, 및 TEM/EDS 라인 스캔을 사용하여 특성화되었다.
[00159] 톱-다운 SEM 이미지들은 도 13a 및 도 13b에 도시된다. 도 13a는 차단 층 없이 ALD에 의해 증착된 1 μm 이트리아 코팅의 톱-다운 SEM 이미지를 도시한다. 도 13a에 도시된 바와 같이, 과성장된 그레인들(1305)이 표면 코팅 밖으로 돌출된다. 구역(1308)은 TEM에 대한 컷 위치(cut location)(예컨대, FIB(focused ion beam) 컷 위치)를 도시한다. 도 13b는 본 예에 따른, 차단 층들을 갖는 1 μm 이트리아 코팅의 톱-다운 SEM 이미지를 도시한다. 도 13b에 도시된 바와 같이, 과성장된 그레인들이 코팅의 표면으로부터 돌출되지 않는다. 구역(1310)은 TEM에 대한 컷 위치(예컨대, FIB(focused ion beam) 컷 위치)를 도시한다.
[00160] 단면 TEM 이미지들이 도 14a 및 도 14b에 도시된다. 도 14a는 차단 층 없이 ALD에 의해 증착된 1 μm 이트리아 코팅의 단면 TEM 이미지를 도시한다. 도 14a는 컷 위치(1308)로부터 취해진 샘플의 TEM을 도시한다. 도 14a에 도시된 바와 같이, 과성장된 그레인(1405)이 코팅의 표면 밖으로 돌출된다. 도 14b는 본 예에 따른, 차단 층들을 갖는 1 μm 이트리아 코팅의 단면 TEM 이미지를 도시한다. 도 14b는 컷 위치(1310)로부터 취해진 샘플의 TEM을 도시한다. 도 14b에 도시된 바와 같이, 과성장된 그레인들이 코팅의 표면으로부터 돌출되지 않는다.
[00161] TEM/EDS 라인 스캔들은 도 15a 및 도 15b에 도시된다. 라인 스캔은 도 15a에 도시된다. TEM/EDS 라인 스캔은 코팅(1504)에 의해 덮인 Al 기판(1502)을 도시하며, 그 코팅(1504)은 차례로 FIB 캡 층(1506)에 의해 덮인다. 조성은 약 250 내지 350 nm, 약 500 내지 600 nm, 및 약 750 내지 850 nm에서(즉, 차단 층들의 위치들에서) 3개의 지르코늄 피크들을 나타내었다.
[00162] 도 15b는, 라인 스캔에서 식별된 3개의 차단 층들(1505, 1510, 1515)(각각 지르코늄 피크로서 도시됨)을 나타내고, 원자 층 증착을 통해 획득된 등각적이고 균일하며 비-다공성인 코팅을 추가로 나타내는 TEM 이미지를 도시한다.
[00163] 본원에서 논의 및 예시되는 모든 차단 층들은 결정질 희토류 산화물 층들 내의 그레인들의 제어되지 않는 그레인 성장만을 억제한다. 차단 층들은 희토류 산화물 층들의 결정질 상에 영향을 미치지 않는다.
[00164] 본원에서 제시되는 XRD 데이터는, 구리 X-선 튜브 및 평행 빔 옵틱(optic)들이 장비된 PANalytical X’Pert Pro MRD 6-축 회절계 상의 GIXRD(grazing incidence XRD)에 의해 획득되었다.
[00165] TEM 샘플들은 FEI Helios 650 Dual Beam FIB/SEM 상에서 인-시튜 FIB(Focused Ion Beam) 리프트 아웃(lift out) 기법을 사용하여 준비되었다. 샘플들은 밀링 전에, 스퍼터링된 이리듐(Ir), 보호 탄소 잉크, 및 e-Pt/I-Pt로 캐핑(cap)되었다. TEM 라멜라 두께는 ~100nm이었다.
[00166] TEM 샘플들은, 명시야(BF) TEM 모드, 고-해상도(HR) TEM 모드에서 200 kV로 동작되는 FEI Tecnai TF-20 FEG/TEM으로 이미징되었다.
[00167] Z-콘트라스트 STEM은 러더포드 산란(Rutherford Scattering)의 형태이며, 여기서, 전자들이 매우 큰 각도들로 산란되고, 특수 검출기에 의해 수집된다. 산란은 Z2로서 이동하고, 결과적인 이미지는 정성 화학 맵(qualitative chemical map)으로서 직접적으로 해석될 수 있다. 이미지 콘트라스트는 평균 원자 질량의 차이들로 인한 것이며; 더 무거운 원자 질량들은 더 가벼운 평균 원자 질량들보다 더 밝게 나타난다. 전형적으로, 이들 이미지들에서 회절 콘트라스트가 거의 없다. 이들 이미지들은 종종, HAADF(High Angle Annular Dark Field) 이미지들이라고 지칭된다. "Z 콘트라스트"는 최고 해상도 이미지들로 원자 컬럼(column)들을 나타낼 수 있다.
[00168] 이전의 설명은 본 발명의 여러 실시예들의 양호한 이해를 제공하기 위해, 다수의 특정 세부사항들, 이를테면, 특정 시스템들, 컴포넌트들, 방법들 등의 예들을 제시한다. 그러나, 본 발명의 적어도 일부 실시예들이 이들 특정 세부사항들 없이도 실시될 수 있다는 것이 당업자에게 자명할 것이다. 다른 경우들에서, 본 발명을 불필요하게 불명료히 하는 것을 방지하기 위해, 잘-알려져 있는 컴포넌트들 또는 방법들은 상세히 설명되지 않거나, 또는 간단한 블록도 형식으로 제공된다. 따라서, 제시된 특정 세부사항들은 단지 예시적인 것일 뿐이다. 특정 실시예들은 이들 예시적인 세부사항들로부터 변화될 수 있고, 여전히, 본 발명의 범위 내에 있는 것으로 고려될 수 있다.
[00169] "일 실시예" 또는 "실시예"에 대한 본 명세서 전체에 걸친 참조는, 실시예에 관하여 설명되는 특정 특징, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전체에 걸친 다양한 개소들에서의 "일 실시예에서" 또는 "실시예에서"와 같은 문구의 출현들이 모두, 반드시 동일한 실시예를 참조하는 것은 아니다. 부가하여, "또는"이라는 용어는 배타적 "또는"보다는 포괄적 "또는"을 의미하는 것으로 의도된다.
[00170] 본 명세서 전체에 걸친 수치 범위들에 대한 참조는 제한적인 것으로 해석되지 않아야 하며, 범위의 외측 한계들 뿐만 아니라 열거된 수치 범위 내의 각각의 수 및/또는 더 좁은 범위를 포괄하는 것으로 이해되어야 한다.
[00171] 본원의 방법들의 동작들이 특정 순서로 도시 및 설명되지만, 특정 동작들이 역순으로 수행될 수 있거나 또는 특정 동작이 다른 동작들과 적어도 부분적으로 동시에 수행될 수 있도록, 각각의 방법의 동작들의 순서가 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 서브-동작들 또는 명령들은 간헐적 및/또는 교번적 방식으로 이루어질 수 있다.
[00172] 위의 설명은 예시적인 것으로 의도되고, 제한적인 것으로 의도되지 않는다는 것이 이해될 것이다. 다수의 다른 실시예들은 위의 설명을 읽고 이해할 시에 당업자에게 자명하게 될 것이다. 따라서, 본 발명의 범위는, 첨부된 청구항들에 의해 권리가 부여되는 균등물들의 전체 범위와 함께, 첨부된 청구항들에 관하여 결정되어야 한다.

Claims (20)

  1. 챔버 컴포넌트로서,
    상기 챔버 컴포넌트는 상기 챔버 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 포함하며,
    상기 플라즈마 저항성 보호 코팅은,
    결정질 희토류 산화물 층들과 결정질 또는 비정질 금속 산화물 층들의 교번 층들의 스택(stack)을 포함하고,
    상기 교번 층들의 스택 내의 제1 층은 결정질 희토류 산화물 층이고,
    상기 금속 산화물 층들이 결정질인 경우, 상기 금속 산화물 층들은 상기 결정질 희토류 산화물 층들의 상(phase)과 상이한 원자 결정질 상을 갖고,
    상기 결정질 또는 비정질 금속 산화물 층들은, 상기 결정질 희토류 산화물 층들의 모든 그레인들이 100 nm의 미만의 길이 및 200 nm 미만의 폭의 그레인 크기를 갖도록 상기 결정질 희토류 산화물 층들의 그레인 성장을 억제하는 차단 층(interruption layer)들이고,
    상기 결정질 또는 비정질 금속 산화물 층의 두께가 상기 결정질 희토류 산화물 층의 두께보다 작도록 상기 결정질 희토류 산화물 층들 각각의 두께 대 상기 결정질 또는 비정질 금속 산화물 층들 각각의 두께의 두께 비는 10:1 내지 500:1이고, 그리고
    상기 결정질 또는 비정질 금속 산화물 층들은 상기 결정질 희토류 산화물 층들의 그레인(grain) 성장을 억제하는,
    챔버 컴포넌트.
  2. 제1 항에 있어서,
    상기 결정질 또는 비정질 금속 산화물 층은, 하나 이상의 희토류 금속-함유 산화물들, 지르코늄 산화물, 알루미늄 산화물, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되고,
    상기 결정질 희토류 산화물 층은 입방정계 상의 결정질 이트륨 산화물을 포함하는,
    챔버 컴포넌트.
  3. 제2 항에 있어서,
    상기 하나 이상의 희토류 금속-함유 산화물들은, 란타늄 산화물, 프라세오디뮴 산화물, 네오디뮴 산화물, 사마륨 산화물, 유로퓸 산화물, 가돌리늄 산화물, 테르븀 산화물, 디스프로슘 산화물, 홀뮴 산화물, 에르븀 산화물, 툴륨 산화물, 이테르븀 산화물, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되는,
    챔버 컴포넌트.
  4. 제1 항에 있어서,
    상기 금속 산화물 층들은 결정질이며,
    상기 결정질 희토류 산화물의 상과 상이한 원자 결정질 상은, 단사정계 상, 입방정계 상, 육방정계 상, 정방정계 상, 및 이들의 조합들로 구성된 그룹으로부터 선택되는,
    챔버 컴포넌트.
  5. 제2 항에 있어서,
    결정질 이트륨 산화물 층은, 최대로 상기 결정질 이트륨 산화물 층의 두께까지의 사이즈를 갖는 그레인들을 포함하는,
    챔버 컴포넌트.
  6. 제1 항에 있어서,
    상기 플라즈마 저항성 보호 코팅은 500 nm 내지 10 μm의 범위의 두께를 갖고, 상기 플라즈마 저항성 보호 코팅의 두께는 균일하고, 상기 플라즈마 저항성 보호 코팅은 아래에 있는 표면을 등각적으로 덮고, 그리고 비-다공성인,
    챔버 컴포넌트.
  7. 제1 항에 있어서,
    상기 챔버 컴포넌트는, 정전 척, 노즐, 가스 분배 플레이트, 샤워헤드, 정전 척 컴포넌트, 챔버 벽, 라이너, 라이너 키트, 가스 라인, 덮개, 챔버 덮개, 노즐, 단일 링, 프로세싱 키트 링, 베이스, 차폐부, 플라즈마 스크린, 유동 이퀄라이저(flow equalizer), 냉각 베이스, 챔버 뷰포트, 벨로즈(bellow), 페이스플레이트, 선택비 조절 디바이스, 플라즈마 생성 유닛, 확산기로 이루어진 그룹으로부터 선택되는 챔버 컴포넌트인,
    챔버 컴포넌트.
  8. 제1 항에 있어서,
    상기 금속 산화물 층은 결정질이며,
    상기 금속 산화물 층은,
    정방정계 상 또는 단사정계 상 중 적어도 하나의 상의 순수 결정질 단상 지르코니아로부터, 조성 내의 총 원자들에 기초하여 5%의 지르코늄의 원자 퍼센트를 갖는 결정질 다상 또는 결정질 단상 이트륨 지르코늄 산화물까지의 범위의 상기 조성;
    정방정계 상의 65 wt%의 지르코늄 산화물과 단사정계 상의 35 wt%의 지르코늄 산화물의 혼합물;
    정방정계 상의 지르코늄 이트륨 산화물의 100 wt% 다-원소 산화물;
    제1 입방정계 상의 지르코늄 이트륨 산화물의 70 wt%의 다-원소 산화물과 제2 입방정계 상의 30 wt%의 이트륨 산화물의 혼합물 ― 상기 제1 입방정계 상과 상기 제2 입방정계 상은 결정질 이트륨 산화물 층의 격자 구조와 상이한 격자 구조를 가짐 ―; 및
    상기 제1 입방정계 상의 지르코늄 이트륨 산화물의 30 wt%의 다-원소 산화물과 상기 제2 입방정계 상의 70 wt%의 이트륨 산화물의 혼합물
    로 구성된 그룹으로부터 선택되는,
    챔버 컴포넌트.
  9. 제7 항에 있어서,
    상기 챔버 컴포넌트는 정전 척 또는 정전 척 컴포넌트인,
    챔버 컴포넌트.
  10. 원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법으로서,
    상기 플라즈마 저항성 보호 코팅을 증착하는 단계는,
    ALD 또는 CVD를 사용하여 결정질 희토류 산화물 층을 증착하는 단계;
    ALD 또는 CVD를 사용하여 상기 결정질 희토류 산화물 층 상에 결정질 또는 비정질 금속 산화물 층을 증착하는 단계
    를 포함하고,
    상기 금속 산화물 층이 결정질인 경우, 상기 금속 산화물 층은 상기 희토류 산화물의 결정질 상과 상이한 원자 결정질 상을 갖고,
    상기 결정질 또는 비정질 금속 산화물 층은, 상기 결정질 희토류 산화물 층의 모든 그레인들이 100 nm의 미만의 길이 및 200 nm 미만의 폭의 그레인 크기를 갖도록 상기 결정질 희토류 산화물 층의 그레인 성장을 억제하는 차단 층이고, 그리고
    상기 결정질 또는 비정질 금속 산화물 층의 두께가 상기 결정질 희토류 산화물 층의 두께보다 작도록 상기 결정질 희토류 산화물 층의 두께 대 상기 결정질 또는 비정질 금속 산화물 층의 두께의 두께 비는 10:1 내지 500:1인,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
  11. 제10 항에 있어서,
    상기 결정질 희토류 산화물은 입방정계 상의 이트륨 산화물을 포함하며,
    ALD를 사용하여 결정질 이트륨 산화물 층을 증착하는 것은 증착 사이클을 수행하는 것을 포함하고,
    상기 증착 사이클을 수행하는 것은,
    제1 반-반응을 형성하도록, 이트륨-함유 전구체가 상기 정전 척 또는 정전 척 컴포넌트의 표면 상에 흡착되게 하기 위해, 상기 정전 척 또는 정전 척 컴포넌트를 포함하는 증착 챔버 내에 상기 이트륨-함유 전구체를 주입하는 것;
    제2 반-반응을 형성하도록, 상기 증착 챔버 내에 산소-함유 반응물을 주입하는 것; 및
    상기 결정질 이트륨 산화물 층에 대해 목표 두께가 달성될 때까지, 상기 증착 사이클을 하나 이상의 횟수들로 반복하는 것
    을 포함하는,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
  12. 제11 항에 있어서,
    ALD를 사용하여 상기 결정질 또는 비정질 금속 산화물 층을 증착하는 것은 증착 사이클을 수행하는 것을 포함하며,
    상기 증착 사이클을 수행하는 것은,
    제1 반-반응을 형성하도록, 금속-함유 전구체가 상기 결정질 이트륨 산화물 층 상에 흡착되게 하기 위해, 상기 정전 척 또는 정전 척 컴포넌트를 포함하는 증착 챔버 내에 상기 금속-함유 전구체를 주입하는 것;
    제2 반-반응을 형성하도록, 상기 증착 챔버 내에 산소-함유 반응물을 주입하는 것; 및
    결정질 또는 비정질 금속 산화물 층에 대해 목표 두께가 달성될 때까지, 상기 증착 사이클을 하나 이상의 횟수들로 반복하는 것
    을 포함하는,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
  13. 제11 항에 있어서,
    상기 금속 산화물 층은 결정질이며,
    상기 결정질 이트륨 산화물 층의 결정질 상과 상이한 원자 결정질 상은, 단사정계 상, 입방정계 상, 육방정계 상, 정방정계 상, 및 이들의 조합들로 구성된 그룹으로부터 선택되는,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
  14. 제10 항에 있어서,
    상기 금속 산화물 층은 결정질이며,
    상기 금속 산화물 층은,
    정방정계 상 또는 단사정계 상 중 적어도 하나의 상의 순수 결정질 단상 지르코니아로부터, 조성 내의 총 원자들에 기초하여 5%의 지르코늄의 원자 퍼센트를 갖는 결정질 다상 또는 결정질 단상 이트륨 지르코늄 산화물까지의 범위의 상기 조성;
    정방정계 상의 65 wt%의 지르코늄 산화물과 단사정계 상의 35 wt%의 지르코늄 산화물의 혼합물;
    정방정계 상의 지르코늄 이트륨 산화물의 100 wt% 다-원소 산화물;
    제1 입방정계 상의 지르코늄 이트륨 산화물의 70 wt%의 다-원소 산화물과 제2 입방정계 상의 30 wt%의 이트륨 산화물의 혼합물 ― 상기 제1 입방정계 상과 상기 제2 입방정계 상은 결정질 이트륨 산화물 층의 격자 구조와 상이한 격자 구조를 가짐 ―; 및
    상기 제1 입방정계 상의 지르코늄 이트륨 산화물의 30 wt%의 다-원소 산화물과 상기 제2 입방정계 상의 70 wt%의 이트륨 산화물의 혼합물
    로 구성된 그룹으로부터 선택되는,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
  15. 제10 항에 있어서,
    상기 결정질 또는 비정질 금속 산화물 층은, 하나 이상의 희토류 금속-함유 산화물들, 지르코늄 산화물, 알루미늄 산화물, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되는,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
  16. 제15 항에 있어서,
    상기 하나 이상의 희토류 금속-함유 산화물들은, 란타늄 산화물, 프라세오디뮴 산화물, 네오디뮴 산화물, 사마륨 산화물, 유로퓸 산화물, 가돌리늄 산화물, 테르븀 산화물, 디스프로슘 산화물, 홀뮴 산화물, 에르븀 산화물, 툴륨 산화물, 이테르븀 산화물, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되는,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
  17. 제15 항에 있어서,
    상기 결정질 또는 비정질 금속 산화물 층은 다-원소 산화물, 또는 제1 금속 산화물과 제2 금속 산화물의 혼합물을 포함하며,
    상기 결정질 또는 비정질 금속 산화물 층을 증착하는 단계는,
    수퍼(super) 증착 사이클을 수행하는 단계; 및
    최종 목표 두께가 달성될 때까지, 상기 수퍼 증착 사이클을 하나 이상의 횟수들로 반복하는 단계
    를 포함하고,
    상기 수퍼 증착 사이클은,
    제1 증착 사이클; 및
    제2 증착 사이클
    을 포함하고,
    상기 제1 증착 사이클은,
    제1 반-반응을 형성하도록, 제1 금속-함유 전구체가 상기 결정질 희토류 산화물 층 상에 흡착되게 하기 위해, 상기 결정질 희토류 산화물 층으로 코팅된 상기 정전 척 또는 정전 척 컴포넌트를 포함하는 증착 챔버 내에 상기 제1 금속-함유 전구체를 주입하는 것;
    제2 반-반응을 형성하도록, 상기 증착 챔버 내에 산소-함유 반응물을 주입하는 것; 및
    제1 목표 두께가 달성되고 제1 층이 형성될 때까지, 상기 제1 증착 사이클을 하나 이상의 횟수들로 반복하는 것
    을 포함하고,
    상기 제2 증착 사이클은,
    제3 반-반응을 형성하도록, 제2 금속-함유 전구체가 상기 제1 층 상에 흡착되게 하기 위해, 상기 제1 층으로 코팅된 정전 척 또는 정전 척 컴포넌트를 포함하는 증착 챔버 내에 상기 제2 금속-함유 전구체를 주입하는 것;
    제4 반-반응을 형성하도록, 상기 증착 챔버 내에 산소-함유 반응물을 주입하는 것;
    제2 목표 두께가 달성되고 제2 층이 형성될 때까지, 상기 제2 증착 사이클을 하나 이상의 횟수들로 반복하는 것
    을 포함하는,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
  18. 제17 항에 있어서,
    상기 결정질 또는 비정질 금속 산화물 층은 제3 금속 산화물을 더 포함하며,
    상기 수퍼 증착 사이클은 제3 증착 사이클을 더 포함하고,
    상기 제3 증착 사이클은:
    제5 반-반응을 형성하도록, 제3 금속-함유 전구체가 상기 제2 층 상에 흡착되게 하기 위해, 상기 제2 층으로 코팅된 정전 척 또는 정전 척 컴포넌트를 포함하는 증착 챔버 내에 상기 제3 금속-함유 전구체를 주입하는 것;
    제6 반-반응을 형성하도록, 상기 증착 챔버 내에 산소-함유 반응물을 주입하는 것; 및
    제3 목표 두께가 달성되고 제3 층이 형성될 때까지, 상기 제3 증착 사이클을 하나 이상의 횟수들로 반복하는 것
    을 포함하는,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
  19. 제17 항에 있어서,
    상기 플라즈마 저항성 보호 코팅의 최종 목표 두께는 500 nm 내지 10 μm이며,
    상기 플라즈마 저항성 보호 코팅의 두께는 균일하고, 상기 플라즈마 저항성 보호 코팅은 아래에 있는 표면을 등각적으로 덮고, 그리고 비-다공성인,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
  20. 제15 항에 있어서,
    상기 결정질 또는 비정질 금속 산화물 층은 다-원소 산화물, 또는 제1 금속 산화물과 제2 금속 산화물의 혼합물을 포함하며,
    상기 결정질 또는 비정질 금속 산화물 층을 증착하는 단계는 증착 사이클을 수행하는 단계를 포함하고,
    상기 증착 사이클을 수행하는 단계는,
    제1 반-반응을 형성하도록, 제1 금속-함유 전구체 및 제2 금속-함유 전구체가 상기 결정질 희토류 산화물 층 상에 흡착되게 하기 위해, 상기 결정질 희토류 산화물 층으로 코팅된 상기 정전 척 또는 정전 척 컴포넌트를 포함하는 증착 챔버 내에, 상기 제1 금속-함유 전구체와 상기 제2 금속-함유 전구체의 혼합물을 공동-주입하거나, 또는 상기 제1 금속-함유 전구체 및 상기 제2 금속-함유 전구체를 순차적으로 주입하는 단계;
    제2 반-반응을 형성하도록, 상기 증착 챔버 내에 산소-함유 반응물을 주입하는 단계; 및
    목표 두께가 달성될 때까지, 상기 증착 사이클을 하나 이상의 횟수들로 반복하는 단계
    를 포함하는,
    원자 층 증착(ALD) 프로세스 또는 화학 기상 증착(CVD) 프로세스를 사용하여, 정전 척 또는 정전 척 컴포넌트의 표면 상에 플라즈마 저항성 보호 코팅을 증착하기 위한 방법.
KR1020210079333A 2018-04-06 2021-06-18 구역-제어식 희토류 산화물 ald 및 cvd 코팅들 KR102526653B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230053273A KR20230062496A (ko) 2018-04-06 2023-04-24 구역-제어식 희토류 산화물 ald 및 cvd 코팅들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/947,402 US10443126B1 (en) 2018-04-06 2018-04-06 Zone-controlled rare-earth oxide ALD and CVD coatings
US15/947,402 2018-04-06
KR1020190040339A KR102269066B1 (ko) 2018-04-06 2019-04-05 구역-제어식 희토류 산화물 ald 및 cvd 코팅들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020190040339A Division KR102269066B1 (ko) 2018-04-06 2019-04-05 구역-제어식 희토류 산화물 ald 및 cvd 코팅들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230053273A Division KR20230062496A (ko) 2018-04-06 2023-04-24 구역-제어식 희토류 산화물 ald 및 cvd 코팅들

Publications (2)

Publication Number Publication Date
KR20210077663A KR20210077663A (ko) 2021-06-25
KR102526653B1 true KR102526653B1 (ko) 2023-04-26

Family

ID=68096452

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020190040339A KR102269066B1 (ko) 2018-04-06 2019-04-05 구역-제어식 희토류 산화물 ald 및 cvd 코팅들
KR1020210079333A KR102526653B1 (ko) 2018-04-06 2021-06-18 구역-제어식 희토류 산화물 ald 및 cvd 코팅들
KR1020230053273A KR20230062496A (ko) 2018-04-06 2023-04-24 구역-제어식 희토류 산화물 ald 및 cvd 코팅들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020190040339A KR102269066B1 (ko) 2018-04-06 2019-04-05 구역-제어식 희토류 산화물 ald 및 cvd 코팅들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230053273A KR20230062496A (ko) 2018-04-06 2023-04-24 구역-제어식 희토류 산화물 ald 및 cvd 코팅들

Country Status (5)

Country Link
US (3) US10443126B1 (ko)
JP (2) JP7304192B2 (ko)
KR (3) KR102269066B1 (ko)
CN (4) CN114672787B (ko)
TW (3) TWI707977B (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017149205A1 (en) 2016-03-04 2017-09-08 Beneq Oy A plasma etch-resistant film and a method for its fabrication
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
WO2020116384A1 (ja) * 2018-12-05 2020-06-11 京セラ株式会社 プラズマ処理装置用部材およびこれを備えるプラズマ処理装置
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
CN112981360B (zh) * 2019-12-17 2023-01-24 中微半导体设备(上海)股份有限公司 一种微波退火装置和微波退火方法
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
CN113707525A (zh) * 2020-05-20 2021-11-26 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
CN114068274A (zh) * 2020-08-03 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及耐腐蚀涂层形成方法
CN114639584A (zh) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及形成复合涂层的方法
TWI807253B (zh) * 2021-01-29 2023-07-01 優材科技有限公司 半導體反應裝置與反應方法
WO2022197796A1 (en) * 2021-03-19 2022-09-22 Entegris, Inc. Substrate with fluorinated yttrium coatings, and methods of preparing and using the substrates
FI130562B (en) * 2021-05-21 2023-11-21 Picosun Oy Plasma resistant coating, related manufacturing process and uses
US11742416B2 (en) * 2021-05-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
JP2023124884A (ja) * 2022-02-26 2023-09-07 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
US20240043992A1 (en) * 2022-08-08 2024-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multilayer ald coating for critical components in process chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001355070A (ja) * 2000-04-14 2001-12-25 Asm Microchemistry Oy 酸化物薄膜を製造する方法
US20110014359A1 (en) * 2008-04-23 2011-01-20 Imran Hashim Yttrium and Titanium High-K Dielectric Film

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US7384438B1 (en) * 2000-07-19 2008-06-10 3M Innovative Properties Company Fused Al2O3-Y2O3-ZrO2 eutectic abrasive particles, abrasive articles, and methods of making and using the same
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US8357454B2 (en) * 2001-08-02 2013-01-22 Siemens Energy, Inc. Segmented thermal barrier coating
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
DE60319470T2 (de) 2002-08-02 2009-03-26 Fujikura Ltd. Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
US20060037536A1 (en) 2003-10-24 2006-02-23 Toshiba Ceramics Co., Ltd. Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
US7291403B2 (en) * 2004-02-03 2007-11-06 General Electric Company Thermal barrier coating system
TWI291713B (en) * 2004-04-13 2007-12-21 Applied Materials Inc Process chamber component having electroplated yttrium containing coating
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
WO2006137541A1 (ja) * 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
CN101577211B (zh) * 2008-05-09 2011-07-20 中微半导体设备(上海)有限公司 抗等离子体腐蚀的反应室部件、其制造方法以及包含该部件的等离子体反应室
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8470460B2 (en) * 2008-11-25 2013-06-25 Rolls-Royce Corporation Multilayer thermal barrier coatings
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US8449994B2 (en) * 2009-06-30 2013-05-28 Honeywell International Inc. Turbine engine components
US20110091700A1 (en) 2009-10-20 2011-04-21 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
DE102010015470A1 (de) * 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Verfahren zur Innenbeschichtung von Funktionsschichten mit einem Vergütungsmaterial
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20120103519A1 (en) * 2010-10-25 2012-05-03 Greene, Tweed Of Delaware, Inc. Plasma Etch Resistant, Highly Oriented Yttria Films, Coated Substrates and Related Methods
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9988702B2 (en) 2012-05-22 2018-06-05 Kabushiki Kaisha Toshiba Component for plasma processing apparatus and method for manufacturing component for plasma processing apparatus
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
JP5578383B2 (ja) * 2012-12-28 2014-08-27 Toto株式会社 耐プラズマ性部材
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104711541A (zh) * 2013-12-11 2015-06-17 北京有色金属研究总院 一种氧化锆和氧化铝梯度复合涂层及其制备方法
US10497598B2 (en) 2014-02-07 2019-12-03 Entegris, Inc. Electrostatic chuck and method of making same
KR20160119187A (ko) 2014-03-31 2016-10-12 가부시끼가이샤 도시바 내플라즈마 부품 및 내플라즈마 부품의 제조 방법 및 내플라즈마 부품의 제조에 사용하는 막 퇴적 장치
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
KR102182699B1 (ko) * 2014-11-11 2020-11-25 (주) 코미코 플라즈마 처리 장치용 내부재 및 이의 제조 방법
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
CN105990081B (zh) * 2015-02-09 2018-09-21 中微半导体设备(上海)有限公司 等离子体处理装置及其制作方法
EP3739079A1 (en) 2015-02-13 2020-11-18 Entegris, Inc. Porous metallic filter
US10961617B2 (en) 2015-03-18 2021-03-30 Entegris, Inc. Articles coated with fluoro-annealed films
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP2017092156A (ja) 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
WO2017149205A1 (en) * 2016-03-04 2017-09-08 Beneq Oy A plasma etch-resistant film and a method for its fabrication
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) * 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
EP4228894A1 (en) * 2020-10-15 2023-08-23 Heraeus Conamic North America LLC Multilayer sintered ceramic body and method of making

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001355070A (ja) * 2000-04-14 2001-12-25 Asm Microchemistry Oy 酸化物薄膜を製造する方法
US20110014359A1 (en) * 2008-04-23 2011-01-20 Imran Hashim Yttrium and Titanium High-K Dielectric Film

Also Published As

Publication number Publication date
CN114672787B (zh) 2024-02-23
CN110344024A (zh) 2019-10-18
KR20190117395A (ko) 2019-10-16
TW202212613A (zh) 2022-04-01
US20190309413A1 (en) 2019-10-10
TWI799018B (zh) 2023-04-11
CN114672787A (zh) 2022-06-28
KR102269066B1 (ko) 2021-06-23
US20210301395A1 (en) 2021-09-30
KR20210077663A (ko) 2021-06-25
US20190382888A1 (en) 2019-12-19
TW202117057A (zh) 2021-05-01
JP2023120395A (ja) 2023-08-29
TWI753572B (zh) 2022-01-21
US10443126B1 (en) 2019-10-15
CN210826347U (zh) 2020-06-23
TW201945576A (zh) 2019-12-01
CN110344024B (zh) 2022-04-08
CN114921770A (zh) 2022-08-19
TWI707977B (zh) 2020-10-21
JP2019183278A (ja) 2019-10-24
KR20230062496A (ko) 2023-05-09
JP7304192B2 (ja) 2023-07-06

Similar Documents

Publication Publication Date Title
KR102526653B1 (ko) 구역-제어식 희토류 산화물 ald 및 cvd 코팅들
KR102230128B1 (ko) 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착
US11251023B2 (en) Multi-layer plasma resistant coating by atomic layer deposition
US10745805B2 (en) Plasma resistant coating of porous body by atomic layer deposition
CN110735128B (zh) 通过原子层沉积来沉积的抗侵蚀金属氟化物涂层
US20230286867A1 (en) Erosion resistant metal oxide coatings deposited by atomic layer deposition

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant